aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/top/b200/coregen
diff options
context:
space:
mode:
authorBen Hilburn <ben.hilburn@ettus.com>2013-10-10 10:17:27 -0700
committerBen Hilburn <ben.hilburn@ettus.com>2013-10-10 10:17:27 -0700
commit0df4b801a34697f2058b4a7b95e08d2a0576c9db (patch)
treebe10e78d1a97c037a9e7492360a178d1873b9c09 /fpga/usrp3/top/b200/coregen
parent6e7bc850b66e8188718248b76b729c7cf9c89700 (diff)
downloaduhd-0df4b801a34697f2058b4a7b95e08d2a0576c9db.tar.gz
uhd-0df4b801a34697f2058b4a7b95e08d2a0576c9db.tar.bz2
uhd-0df4b801a34697f2058b4a7b95e08d2a0576c9db.zip
Squashed B200 FPGA Source. Code from Josh Blum, Ian Buckley, and Matt Ettus.
Diffstat (limited to 'fpga/usrp3/top/b200/coregen')
-rw-r--r--fpga/usrp3/top/b200/coregen/.gitignore3
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy9
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v27
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo28
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco56
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt15
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt45
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl88
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy21
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc83
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf17
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf17
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v33
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo31
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco141
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt18
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt48
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl87
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen.asy33
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen.gise31
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen.ucf72
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen.v163
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen.veo83
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen.xco269
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen.xdc68
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen.xise408
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt181
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt181
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html192
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdfbin0 -> 42657 bytes
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf73
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v180
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc70
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat90
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh91
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat58
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh59
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl78
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat58
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh57
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl69
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj2
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr9
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v146
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl8
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat59
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do65
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh62
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh72
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key5
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl18
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do60
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv119
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v160
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file2
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl9
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh62
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat59
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do65
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh64
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh72
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key5
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl1
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do72
-rw-r--r--fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt53
-rwxr-xr-xfpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl144
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.asy13
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.ucf9
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.v29
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.veo29
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.xco56
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.xdc7
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt16
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt46
-rwxr-xr-xfpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl88
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy17
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc144
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.v31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo30
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco141
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128_flist.txt18
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt48
-rwxr-xr-xfpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl87
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy17
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc272
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.v31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo30
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco141
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt18
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt53
-rwxr-xr-xfpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl87
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy17
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc48
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf15
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.v31
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo30
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco141
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc6
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt18
-rw-r--r--fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt48
-rwxr-xr-xfpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl87
-rw-r--r--fpga/usrp3/top/b200/coregen/coregen.cgp10
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy49
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v491
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo79
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco213
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt236
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html247
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdfbin0 -> 75348 bytes
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf56
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd145
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt236
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh87
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat87
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh86
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat54
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh55
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl67
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj1
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr13
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd123
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd150
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd541
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd350
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd100
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd300
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd208
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat63
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh65
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat47
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do74
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh49
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh69
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh69
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key4
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl77
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl68
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv70
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh63
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat47
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do72
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh49
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh73
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh67
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key4
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl76
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl68
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv70
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt56
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl251
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy49
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise31
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf0
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc3
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.v491
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo79
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco213
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise73
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt236
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html247
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdfbin0 -> 75348 bytes
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf56
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd145
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt236
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh87
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat87
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh86
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat54
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh55
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl67
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj1
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr13
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd123
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd150
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd541
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd350
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd100
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd300
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd208
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat63
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh65
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat47
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do74
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh49
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh69
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh69
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key4
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl77
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl68
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv70
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat61
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh63
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat47
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do72
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh49
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh73
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh67
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key4
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl76
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl68
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do88
-rwxr-xr-xfpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv70
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt57
-rw-r--r--fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl251
260 files changed, 19599 insertions, 0 deletions
diff --git a/fpga/usrp3/top/b200/coregen/.gitignore b/fpga/usrp3/top/b200/coregen/.gitignore
new file mode 100644
index 000000000..5f2f0dd7d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/.gitignore
@@ -0,0 +1,3 @@
+/tmp
+/_xmsgs
+/*.log
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy
new file mode 100644
index 000000000..eb4747f81
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.asy
@@ -0,0 +1,9 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 b200_chipscope_icon
+RECTANGLE Normal 32 32 544 864
+LINE Wide 576 112 544 112
+PIN 576 112 RIGHT 36
+PINATTR PinName control0[35:0]
+PINATTR Polarity BOTH
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf
new file mode 100644
index 000000000..b83296f8e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.ucf
@@ -0,0 +1,9 @@
+NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
+TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
+#Update Constraints
+NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
+NET "U0/iSHIFT_OUT" TIG ;
+TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
+TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
+TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
+TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc
new file mode 100644
index 000000000..903799425
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.constraints/b200_chipscope_icon.xdc
@@ -0,0 +1,7 @@
+# icon XDC
+create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
+create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
+set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
+set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.gise b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.gise
new file mode 100644
index 000000000..d2bb766ed
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="b200_chipscope_icon.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="b200_chipscope_icon.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="b200_chipscope_icon.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ncf
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc
new file mode 100644
index 000000000..d43ee8b57
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$0`;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0127?406881986<?016864679>18:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNi7NAATSMO4969k2IDBY\@L1>24;e<KFD_^BB?<03=g>EHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;e<KFD_^BB?<0;=f>EHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;e<KFD_^BB?<20=g>EHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2<M=::?6HKC59E@FC43ONN?6HKR59E@WC63N90KCJ>;H08M54<A880E?<4I208M14<A<30ECG[SUCWA6=NF_k0FYOH_BJBMK?<B]KLSYK\T39OM7=KG?1GCNEJD69OKBODIE>0@XZ>4:NVP72<D\^?86BZT758IP^DQVF>7@[WF478IP^A>;1E<:5AEUULVN0<FFIGE]94NNOFVBC63F;<7B^[ILKYAZVUADC_E[K\_OE@5>V03Y$?5iQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD<0\^J@ND38U4=U=2XN_HZ8;SWMP@US92Y87^KC5:QKMCR692YC^HIPEYVQEFRXFNIn7^F]EF]NMKYTASO=7^AZRBG5?VRF\\Y87YMD3:VGM6=SLF90X@];;U[SA6b<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[V0)AZSE"DQZSD]PKCRE[JKESH_?.T]AW32<]9%^SDM@N.K\]@UXJZIJB#[PBR-J[PUBWZEMXO]LAO]FU5(NW\YNSU][_UAH[RIUCHXDYCJPEP3-QZODGGUI_NOA_CWEC*SXJ\LL>;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<<R.H]MA5(RW]KYKHZ=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:?P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8>V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KWCXAMUXIA!F4/DJ_0[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX2X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\>T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_2[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX<X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2<>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT<l;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU<]/EmL+SXE\RHU?94U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV=R.T]E@WC5>2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S<W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP9P Z_YMQG\473\:$YRGLOO-V[VRF\&_T_YO[_BLW+V:76;:0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR([5;5>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@<m4U1-V[LEHF&_T_YO[/hFBPDYQIECO=45Z0.W\MFII'\UXXLZ iRVBP969901^<"[PIBMM+PYT\H^$e^ZNT=3=5<=R8&_TENAA/T]PPDR(aZ^JX1<1189V4*SXAJEE#XQ\T@V,mVRF\595=n5Z0.W\MFII'\UXXLZ iRVBPFKCWJO:i6[?/T]JGJH(]VY_MY!fSUCWGHBXKLUe=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5:5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5;5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\585=i5Z0.W\MFII'\UXXLZ iRVBPZEI\595=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5>5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV=R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLD<k4U1-V[LEHF&_T_T@L/hELPZU^FJUGCZ?j;T2,QZODGG%^S^WAC.kP]KEX_FXO0=0>e:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=<?<;T2,QZODGG%^SYJ@_sgd50=R8&_TENAA/T]j@DRFWJGO=:5Z0.W\MFII'\UbHLZN_BOG[k753\:$YRGLOO-V[lUBDVd:56[?/T]JGJH(aJEFAL@K_FPS858612_;#XQFCNL,mFIJEHDOSJ\_<0<2=>S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}<b:]\[]JIEVUT<RQPU1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX51[)]VF^X>m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6d<WVUS@CCP_^35[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ8Q#[PLBG0f>YXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NK<b:]\[]JIEVUT=4QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_3[)]VFHI>l4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7b<WVUS@CCP_^00[ZYR8&_TENAA/T]@PWJXG\^$YRMBDFPS466<WVUS@CCP_^07[ZYR8&_TENAA/T]@PWJXG\^$YRJNT@]UEIOC:h1TSRVCNL]\[73XWV_;#XQFCNL,QZU^FJ%^SJA[_R[MG6d<WVUS@CCP_^0\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;;Q#[PLTV0e>YXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP<P Z_MAF7g=XWVRGB@QP_9]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS8:V"XQCCD1a?ZYXPEDFSRQ6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}q;87o<?0^akmuudgyoTenaa359a656Xkac{nae^k`kk*d``zxob~j_haljZp6W9<Tm!@@ND.MKKC4>o1i>=>PcikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=5.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox6<!mPurg\wlk:8%iTy~kPsndwfveff4:'oR~nru]j`ficmVxnkd}{es?3(fYr{lUaxliPbtdd94*dWgfSnaatsmo[uiu|z7: nQzsd]`kkruge:6=!mPurg\gjhszff:1="l_tqf[fii|{eg>0>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$to0oegsbmsaZodgg997nffpralt`YnkfdTz<Q?6^c/$]okagr+OB\J Fgmawgsg{*:8#:8;bmvjqcu>2ixS`{w8:ap[hs9?k0enaa_cq`ek`<ajeeSo}lao]aqcaa3`idbRmbd^ffgjbb12chccQlosgg?lehfVi~aQ`uu`8mfiiWz~jxx}6;haljZu~fj80bb?>;ya5wi~0=$llk96;-2CDu2712JKt<o8:G81>4}T=j0:44475;3077?fj39=::?tn0:4>4=i9121:6*>8782<5=z[:?1=5758482764>ik08:;9;;e3b3?6=93;p_8m519;9<0<6;:82mo4<6752?sR><3:1=7?57gyP1f<6000397?<33;bf?51>>;0(<9i:648 =0=9k=0n<o8:1810?>?2>lpD<9k;%;b>4g03S;o6<u>5;'5=3=9hh0(??51`:8 0d=9h30(4=50:&:6?7?i2!>87>5$9:917=i0>09<65$5283>!>?2<80b5950:9(14<72-2368<4n9595>=,=90;6)67:408j=1=:21 8k4?:%:;>04<f1=1?65$4d83>!>?2<80b5954:9(0a<72-2368<4n9591>=,<k0;6)67:408j=1=>21 8l4?:%:;>04<f1=1;65$4883>!>?2<80b5958:9(0=<72-2368<4n959=>=,<>0;6)67:408j=1=i21 8;4?:%:;>04<f1=1n65$4483>!>?2<80b595c:9(01<72-2368<4n959`>=,<:0;6)67:408j=1=m21 8?4?:%:;>04<f1=1j65$4183>!>?2<80b5951198/6`=83.347;=;o:4>47<3"9n6=4+89866>h??3;976%<d;29 =>==;1e4:4>3:9(7f<72-2368<4n95951=<#:h1<7*78;71?k>028?07&=n:18'<=<2:2d3;7?9;:)0=?6=,1219?5a86823>=,;10;6)67:408j=1=9110'>950;&;<?353g2<6<74;*7b>5<#010>>6`77;3b?>-213:1(565539m<2<6j21 954?:%:;>04<f1=1=n54+4594?"?03?97c68:0f8?.31290/454:2:l;3?7b32!>97>5$9:917=i0>0:j65$4b83>!>?2<80b5952098/17=83.347;=;o:4>74<3"9=6=4+89866>h??38876l>8583>4<729q/5l4>7d9K5=4<@8=o7b6;:188yg7?;3:1=7>50z&:e?003A;3>6F>7e9l23<722wi5n4?:383>5}#1h0:o6F>839K52b<,191=o84o9094?=n>10;66sm9c83>g<729q/5l4>b:J2<7=O9>n0b:?51:l46?6<g0;1<75`9683>>i?m3:17b79:188k41d2900c5j50;9l=5<722c247>5;n3e>5<<g031<75`5g83>>{e9<<1<7<50;2x <g=?91C=5<4H05g?!>428h=7d87:188k=4=831vn<;8:181>5<7s-3j6:>4H0:1?M70l2.3?7?m6:k5<?6=3f296=44}c360?6=<3:1<v*6a;40?M7?:2B:;i5+8282f3=#>o0:7d?j:188m76=831b9i4?::m;f?6=3th:9?4?:583>5}#1h0=?6F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831d4o4?::a503=83>1<7>t$8c924=O9180D<9k;%:0>4d13-<m6<5f1d83>>o4<3:17d;k:188k=d=831vnn650;094?6|,0k1;=5G1908L41c3-286<l9;h4;>5<<g181<75rbb;94?5=83:p(4o5799K5=4<@8=o7)6<:0`5?!0a28<0(57518a8m3?=831b:l4?::m;6?6=3thj87>55;294~">i3<97E?72:J23a=#0:0:n;5+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<<ukk>6=4::183!?f2?80D<6=;I34`>"?;3;i:6*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjh<1<7;50;2x <g=>;1C=5<4H05g?!>428h=7)8i:09j5`<722c9<7>5;h17>5<<a<n1<75`8c83>>{ei>0;684?:1y'=d<1:2B:4?5G16f8 =5=9k<0(;h51:k2a?6=3`8;6=44i2694?=n=m0;66a7b;29?xd>m3:197>50z&:e?053A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<<a;:1<75f3583>>o2l3:17b6m:188yg?a290>6=4?{%;b>34<@8297E?8d:&;7?7e>2.=j7?4i0g94?=n:90;66g<4;29?l3c2900c5l50;9~fd6=83?1<7>t$8c927=O9180D<9k;%:0>4d13-<m6<5f1d83>>o583:17d=;:188m0b=831d4o4?::ae4<72<0;6=u+9`856>N60;1C=:j4$9195g0<,?l1=6g>e;29?l472900e>:50;9j1a<722e3n7>5;|`b6?6==3:1<v*6a;41?M7?:2B:;i5+8282f3=#>o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc0>5<2290;w)7n:708L4>53A;<h6*73;3a2>"1n3;0e<k50;9j65<722c887>5;h7g>5<<g1h1<75rb`g94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57519`8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjhn1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:058 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rb`a94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2890(5751848m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjhh1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:028 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rb`c94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2l1/444>9e9j2<<722c=m7>5;h4a>5<<a?i1<75`8383>>{ei00;684?:1y'=d<012B:4?5G16f8 =5=9k<0(;h5d:&;=?7>l2c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17plm7;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<d3-226<7j;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188ygd1290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7o4$9;95<c<a?31<75f6`83>>o1j3:17d8l:188k=4=831vno;50;794?6|,0k1;45G1908L41c3-286<l9;%4e><=#000:5k5f6883>>o1i3:17d8m:188m3e=831d4?4?::af1<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l146*79;3:b>o113:17d8n:188m3d=831b:n4?::m;6?6=3thi?7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g84?!>>28k;7d86:188m3g=831b:o4?::k5g?6=3f296=44}c`1>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3<0(5751`28m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjk;1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:49'<<<6i81b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smb183>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=<2.357?n1:k5=?6=3`<j6=44i7`94?=n>j0;66a72;29?xdfn3:197>50z&:e?1>3A;3>6F>7e9'<6<6j?1/:k4<;h4:>5<<a?k1<75f6c83>>o1k3:17b6=:188ygg?290>6=4?{%;b>2?<@8297E?8d:&;7?7e>2.=j7<4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgc=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6<74i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgb=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6<94i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fge=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6<=4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgd=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6<>4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fgg=83?1<7>t$8c93<=O9180D<9k;%:0>4d13-<m6h5f6883>>o1i3:17d8m:188m3e=831d4?4?::af<<72<0;6=u+9`84=>N60;1C=:j4$9195g0<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|``3?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o0h7d86:188m3g=831b:o4?::k5g?6=3f296=44}ca5>5<2290;w)7n:6;8L4>53A;<h6*73;3a2>"1n3k0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbb794?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a201b:44?::k5e?6=3`<i6=44i7a94?=h0;0;66smc583>0<729q/5l489:J2<7=O9>n0(5=51c48 3`=02c=57>5;h4b>5<<a?h1<75f6b83>>i?:3:17pll3;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<03`<26=44i7c94?=n>k0;66g9c;29?j>52900qom=:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?0<a?31<75f6`83>>o1j3:17d8l:188k=4=831vnn?50;794?6|,0k1;45G1908L41c3-286<l9;%4e>0=n>00;66g9a;29?l0e2900e;m50;9l<7<722wio=4?:483>5}#1h0<56F>839K52b<,191=o84$7d90>o113:17d8n:188m3d=831b:n4?::m;6?6=3thij7>55;294~">i3=27E?72:J23a=#0:0:n;5+6g80?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<<ukh36=4::183!?f2>30D<6=;I34`>"?;3;i:6*9f;08m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm:1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe394?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751`08m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjmo1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbed94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751828m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl:1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd394?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751838m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl81<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd194?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751808m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl>1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd794?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751818m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl<1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd594?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751868m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjl21<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd;94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751878m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjlk1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbd`94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751858m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjli1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbdf94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57518:8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjlo1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbdd94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57518;8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm81<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe194?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751`18m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm>1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe794?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(5751`68m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm<1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe594?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57519a8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjm21<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe;94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57519f8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjmk1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbe`94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57519g8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjmi1<7;50;2x <g=?01C=5<4H05g?!>428h=7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<<g181<75rbef94?3=83:p(4o5789K5=4<@8=o7)6<:0`5?!0a2830(57519d8m3?=831b:l4?::k5f?6=3`<h6=44o9094?=zjo;1<7=50;2x <g=?11C=5<4H05g?!>428h=7)8i:008 =?=90k0e;750;9j2d<722e3>7>5;|`e4?6=;3:1<v*6a;5;?M7?:2B:;i5+8282f3=#>o0m7)66:0;b?l0>2900e;o50;9l<7<722wij?4?:283>5}#1h0<46F>839K52b<,191=o84$7d95==#000:5o5f6883>>o1i3:17b6=:188yg7513:187>50z&:e?1c3A;3>6F>7e9'<6<6j?1b:44?::k5e?6=3`2m6=44o9094?=zj88<6=4;:183!?f2>i0D<6=;I34`>"?;3;i:6g99;29?l0f2900e5h50;9l<7<722wi=?850;694?6|,0k1;n5G1908L41c3-286<l9;h4:>5<<a?k1<75f8g83>>i?:3:17pli6;291?6=8r.2m796;I3;6>N6?m1/4>4>b79'2c<e3`<26=44i7c94?=n>k0;66g9c;29?j>52900qoh8:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?073`<26=44i7c94?=n>k0;66g9c;29?j>52900qoh7:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?733`<26=44i7c94?=n>k0;66g9c;29?j>52900qoh6:186>5<7s-3j6:74H0:1?M70l2.3?7?m6:&5b?763`<26=44i7c94?=n>k0;66g9c;29?j>52900qohk:181>5<7s-3j6:>4H0:1?M70l2.3?7?m6:k5<?6=3f296=44}cdb>5<4290;w)7n:6:8L4>53A;<h6*73;3a2>"1n3l0e;750;9j2d<722e3>7>5;|`eg?6==3:1<v*6a;5:?M7?:2B:;i5+8282f3=#>o0:56g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ef?6=;3:1<v*6a;5;?M7?:2B:;i5+8282f3=#>o0:46*79;3:f>o113:17d8n:188k=4=831vn<<7:187>5<7s-3j6;=4H0:1?M70l2.3?7?m6:&5b?7<a8o1<75f2183>>o2l3:17b6m:188yg77k3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24<<72=0;6=u+9`84f>N60;1C=:j4$9195g0<a;81<75f5d83>>o?n3:17b9;:188yg7683:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24a<72=0;6=u+9`84f>N60;1C=:j4$9195g0<a;81<75f5d83>>o?n3:17b9;:188yg76<3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`254<72=0;6=u+9`84f>N60;1C=:j4$9195g0<a;81<75f5d83>>o?n3:17b9;:188yg7603:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`250<72=0;6=u+9`84f>N60;1C=:j4$9195g0<a;81<75f5d83>>o?n3:17b9;:188yg76k3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`25<<72=0;6=u+9`84f>N60;1C=:j4$9195g0<a;81<75f5d83>>o?n3:17b9;:188yg76n3:1?7>50z&:e?7?92B:4?5G16f8 =5=9k<0e?<50;9j36<722e3>7>5;|`24g<72;0;6=u+9`843>N60;1C=:j4$9195g0<,?l1=?5f6883>>i?:3:17pl>0g83>7<729q/5l487:J2<7=O9>n0(5=51c48 3`=9;1b:44?::m;6?6=3th:=>4?:383>5}#1h0<;6F>839K52b<,191=o84$7d957=n>00;66a72;29?xd69>0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k<0(;h5139j2<<722e3>7>5;|`25g<72;0;6=u+9`843>N60;1C=:j4$9195g0<,?l1=?5f6883>>i?:3:17pl>1d83>7<729q/5l487:J2<7=O9>n0(5=51c48 3`=9;1b:44?::m;6?6=3th:<l4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:<h4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:=?4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:=;4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:=l4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:=i4?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:894?:483>5}#1h0<56F>839K52b<,191=o84$7d9g>o113:17d8n:188m3d=831b:n4?::m;6?6=3th:8?4?:283>5}#1h0<46F>839K52b<,191=o84$7d95==#000:5n5f6883>>o1i3:17b6=:188yg73>3:1>7>50z&:e?173A;3>6F>7e9'<6<6j?1b:54?::m;6?6=3th:8>4?:483>5}#1h0<56F>839K52b<,191=o84$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3th:884?:483>5}#1h0=96F>839K52b<,191=o84$7d95>o6m3:17d<?:188m0b=831b4n4?::m;f?6=3th:?o4?:583>5}#1h0=86F>839K52b<,191=o84$7d95>o6m3:17d;k:188m=e=831d4o4?::a56e=83>1<7>t$8c921=O9180D<9k;%:0>4d13-<m6<5f1d83>>o2l3:17d6l:188k=d=831vn<=k:187>5<7s-3j6;:4H0:1?M70l2.3?7?m6:&5b?7<a8o1<75f5e83>>o?k3:17b6m:188yg74m3:187>50z&:e?033A;3>6F>7e9'<6<6j?1/:k4>;h3f>5<<a<n1<75f8b83>>i?j3:17pl>3g83>1<729q/5l494:J2<7=O9>n0(5=51c48 3`=92c:i7>5;h7g>5<<a1i1<75`8c83>>{e9=:1<7:50;2x <g=>=1C=5<4H05g?!>428h=7)8i:09j5`<722c>h7>5;h:`>5<<g1h1<75rb062>5<3290;w)7n:768L4>53A;<h6*73;3a2>"1n3;0e<k50;9j1a<722c3o7>5;n:a>5<<uk;>?7>57;294~">i3=j7E?72:J23a=#>o0:m6g99;29?l0f2900e;l50;9j2f<722c=h7>5;h4f>5<<g181<75rs4694?4|V<>01<;<:7f8yv342909wS;<;<f`>=4<uz?:6=4={_72?8bf2180q~;?:181[3734n365<4}r6e>5<5sW>m70j9:908yv2b2909wS:j;<f7>=4<uz>o6=4={_6g?8b52180q~:m:181[2e34on65<4}r6b>5<5sW>j70kl:908yv2>2909wS:6;<gb>=4<uz>36=4={_6;?8c?2180q~:8:181[2034o=65<4}r65>5<5sW>=70k;:908yv222909wS::;<g1>=4<uz>?6=4={_67?8c72180q~:<:181[2434nn65<4}r61>5<5sW>970j?:908yv272909wS:?;<fg>=4<uz9m6=4={_1e?8be2180q~=j:181[5b34n265<4}r1g>5<5sW9o70j8:908yv5d2909wS=l;<f6>=4<uz9i6=4={_1a?8b42180q~=n:181[5f34om65<4}r1:>5<5sW9270kk:908yv5?2909wS=7;<ga>=4<uz9<6=4={_14?8c>2180q~;n:181[3f34o<65<4}r7:>5<5sW?270k::908yv3?2909wS;7;<g0>=4<uz?<6=4={_74?8c62180q~;9:181[3134nm65<4}r76>5<5sW?>70j>:908yv26290>wS:>;<366?>e34k868j4=062>0b<58>86;m4}r346?6=9mqU?;529b8;6>;6=;0:i63>5582a>;f;3;n70o=:0g89d7=9l16m=4>e:?:b?7b343n6<k4=`595`=:i?0:i63n5;3f?8g328o01<<7:0g8947c28o01<?n:0g8947128o01<?=:0g8946b28o01<>n:0g8942628o01<:?:0g8945a28o01<=j:0g8945c28o01<=l:0g8945e28o01<:::0g8yv1b290ow0?74;:7?872:38;70?:4;03?875038;70?>d;03?876i38;70?>6;03?876:38;70??e;03?877i38;70??9;01?877k38970?;5;7g?xu3k3:1;v3>82852>X3k27:=44:e:?250<2m27:=<4:e:?24a<2m27:<44:e:p52d=838p1<;::0g89<d=9>i0q~?8a;290~;d13<j70h=:7c89cd=>h165o461:p=a<72;q65o4:f:?:g?0?3ty:;<4?:3y>503==m16=885839~w4132909w0?:5;17?872?3297p}>7483>6}:n;0=563ib;4:?873=32i7p}>7683>7}:9<>14o529c8:<>{t9>21<7<t=00;>=d<58?86;k4}r36e?6=<r7j476=;<f2>3g<5m:1:l52fb85e>{t9?;1<7=t=`d9<7=:lo0=m63ke;4b?xu6>;0;6>u2b18;6>;b93<j70k?:7c8yv71;3:1?v3m1;:1?8c42?k01h<56`9~w4032908w0l=:9089`3=>h16i949a:p533=839p1o=5839>a2<1i27n:78n;|q223<72:q6n9472:?f=?0f34o36;o4}r353?6=;r7i976=;<ga>3g<5lk1:l5rs04;>5<4s4h=65<4=df92d=:mj0=m6s|17;94?5|5k=14?52eg85e>;bm3<j7p}>5c83>6}:i003>63k3;4b?8b52?k0q~?:c;297~;fi32970j::7c89a2=>h1v<;k:1808ge21801i956`9>`3<1i2wx=8k50;1x9de=0;16h449a:?g<?0f3ty:9k4?:2y>ea<?:27on78n;<fb>3g<uz;=<7>53z?ba?>534no6;o4=ea92d=z{8<n6=4=1z?a<?>534no6;l4=ea92g=:lk0=n63ka;4a?8b>2?h01i656c9>`2<1j27o:78m;<f6>3d<5m>1:o52d285f>;c:3<i70ki:7`89`c=>k16ii49b:?fg?0e34oi6;l4=dc92g=:m00=n63j8;4a?8c02?h01h856c9>a0<1j27n878m;<g0>3d<5l81:o52e085f>;b83<i70ji:7`89ac=>k16h<49b:?g4?0e3ty::k4?:3y>f`<?:27mo78m;|q22d<7289p1l>58c9>=c<2l27i4786;<`e>3?<5j:1:452c085=>;d:3<270m<:7;89f2=>016o8499:?`2?0>34i<6;74=c;92<=:jh0=563mb;4:?8dd2?301oj5689>f`<1127:9>49c:p53d=83;8w0o>:9`89d6==m16n549a:?ab?0f34i;6;o4=b392d=:k;0=m63l3;4b?8e32?k01n;56`9>g3<1i27h;78n;<`:>3g<5kk1:l52bc85e>;ek3<j70lk:7c89gc=>h16=8=56c9~w40d290:?v3n2;:a?8g62<n01o656c9>fc<1j27h<78m;<a2>3d<5j81:o52c285f>;d<3<i70m::7`89f0=>k16o:49b:?a=?0e34hj6;l4=c`92g=:jj0=n63md;4a?8db2?h01<;<:7c8yv71l3:1=>u2a28;f>;f:3?o70l7:7a89g`=>j16o=49c:?`5?0d34i96;m4=b192f=:k=0=o63l5;4`?8e12?i01n956b9>f<<1k27im78l;<`a>3e<5ki1:n52be85g>;em3<h70?:3;4:?xu6=10;69u2a58;f>;a83<270h>:7;89cg=>01v<;6:1868g221h01l:55e9>b5<1i27m=78n;<db>3g<uz;<<7>54z?210<?j27:9;498:?`=?0>34;?:787;|q23<<72;q6=8:55e9>505=0;1v<9<:180872?3<3707m:8289f>=>11v<99:181872:3?o707m:858yvef290iw0o<:2689d4=;=16m<4<4:?b4?53343m6>:4=8g971=:i>08863n6;17?8g22:>01l:5359>g=<?:2wxok4?:cy>e6<5827j>7<?;<c2>76<5h:1>=529g814>;>m38;70o8:3289d0=:916m84=0:?b0?4734i265<4}rag>5<6:r7j:76m;<c6>0b<5h21:452ag85=>;e83<270l>:7;89g4=>016n>499:?a0?0>34h>6;74=c492<=:j>0=563n9;4:?8gf2?301ll5689>ef<1127jh786;<cf>3?<uzin6=4>2z?b3?>e34k=68j4=`:92d=:io0=m63m0;4b?8d62?k01o<56`9>f6<1i27i878n;<`6>3g<5k<1:l52b685e>;f13<j70on:7c89dd=>h16mn49a:?b`?0f34kn6;o4}raa>5<6:r72i76m;<c4>0b<5h21:o52ag85f>;e83<i70l>:7`89g4=>k16n>49b:?a0?0e34h>6;l4=c492g=:j>0=n63n9;4a?8gf2?h01ll56c9>ef<1j27jh78m;<cf>3d<uzih6=4>2z?:b?>e343n68j4=`:92f=:io0=o63m0;4`?8d62?i01o<56b9>f6<1k27i878l;<`6>3e<5k<1:n52b685g>;f13<h70on:7a89dd=>j16mn49c:?b`?0d34kn6;m4}rd7>5<69r7m=76=;<f`>3e<5mk1:n52d985g>;c>3<h70j;:7a89a4=>j16ih49c:?fg?0d34oj6;m4=d:92f=:m?0=o63j4;4`?8c52?i01h>56b9>``<1k27o<78l;|qe7?6=98q6j=472:?g`?0d34ni6;m4=e;92f=:l>0=o63k5;4`?8b42?i01hh56b9>aa<1k27nn78l;<g:>3e<5l=1:n52e485g>;b;3<h70k>:7a89a`=>j16h<49c:pb0<72;;p1k<5839>`a<1127oo786;<fa>3?<5mk1:452d885=>;c03<270j8:7;89a0=>016h8499:?g0?0>34n86;74=e092<=:mo0=563je;4:?8cc2?301hm5689>ag<1127nm786;<g:>3?<5l21:452e685=>;b>3<270k::7;89`2=>016i>499:?f6?0>34o:6;74=d292<=:lo0=563ke;4:?8b62?301i>5689~w45f2909w0?=8;7g?87513297p}>3883>7}:9;=14?5213;92<=z{8936=4={<312?>534;9578n;|q26f<72;q6j;472:?262<112wx=?j50;0x9c1=0;16=?956`9~w44b2909w0h7:90894412?30q~?=f;296~;a132970?=6;4b?xu6;80;6:u2fe8;6>;69m03o63>1`8;g>;69?03o63>138;g>;68l03o63>0`8;g>{t9;k1<7<t=ga92f=:nh03>6s|12294?4|5oi14?52fe85<>{t9;h1<7<t=g`9<7=:nj0=56s|12594?5|588265h4=03g>=d<58;n6;74}r302?6=<r7:>;47f:?262<?n27:=l47b:?25g<112wx=>;50;4x9c?=>j16j549c:?e3?0d34l=6;m4=035>=d<58;<6;74}r300?6=>r7m578m;<d;>3d<5o=1:o52f785f>;69;03n63>1285=>{t9:91<78t=g;92d=:n10=m63i7;4b?8`12?k01<>j:9`8946a2?30q~?<2;292~;a13<270h7:7;89c1=>016j;499:?24d<?j27:<o499:p555=838p1<>n:4f8946d2180q~hj:180877l38970?>0;01?87713=?7p}>0583>7}:99o19i521029<7=z{ol1<7=t=032>74<58;?6?<4=02g>22<uz;;97>52z?257<2l27:=9472:p556=839p1<?::308947?2;801<?>:668yv77>3:1>v3>1786`>;69103>6s|11394?5|58;26?<4=03`>74<58;>6::4}r333?6=:r7:=l4:d:?25f<?:2wx==<50;0x947a2;801<?6:668yv7703:1>v3>1e86`>;69o03>6s|13294?5|58:i65<4=02:>=`<58:h6:=4}r315?6=;r7:<k472:?24a<?n27:==483:p574=839p1<?<:908947621l01<?;:618yv75;3:1?v3>168;6>;69<03j63>19847>{t9;>1<7=t=03a>=4<58;265h4=03`>25<uz;997>52z?25`<?:27:=k483:p51g=838p1<:=:7;894232180q~?;8;296~;6<<09<63>438;6>{t9==1<77t=062>=e<58>;65m4=01e>=e<589n65m4=01g>=e<589h65m4=01a>=e<58>>65m4=065>=4<uz;?n7>52z?27g<?j27:89499:p51e=839p1<=l:9`8945e2<n01<:;:7c8yv73l3:1?v3>3e8;f>;6;j0>h63>4585f>{t9=o1<7=t=01f>=d<589o68j4=067>3e<uz;?j7>53z?27c<?j27:?h4:d:?206<112wx=8>50;1x942721h01<=i:4f894242?k0q~?;9;296~;6<:03>63>4385e>{t9<;1<7=t=062>=d<58>;68j4=060>3d<utd8<o4?:0yK52b<ug9;o7>51zJ23a=zf::o6=4>{I34`>{i;9o1<7?tH05g?xh48o0;6<uG16f8yk5683:1=vF>7e9~j676290:wE?8d:m744=83;pD<9k;|l056<728qC=:j4}o120?6=9rB:;i5rn236>5<6sA;<h6sa30494?7|@8=o7p`<1683>4}O9>n0qc=>8;295~N6?m1vb>?6:182M70l2we?<o50;3xL41c3td8=o4?:0yK52b<ug9:o7>51zJ23a=zf:;o6=4>{I34`>{i;8o1<7?tH05g?xh49o0;6<uG16f8yk5583:1=vF>7e9~j646290:wE?8d:m774=83;pD<9k;|l066<728qC=:j4}o110?6=9rB:;i5rn206>5<6sA;<h6sa33494?7|@8=o7p`<2683>4}O9>n0qc==8;295~N6?m1vb><6:182M70l2we??o50;3xL41c3td8>o4?:0yK52b<ug99o7>51zJ23a=zf:8o6=4>{I:2?M>73A;<h6sa33g94?7|@8=o7p`<2g83>4}O9>n0qc=<0;295~N?92B3<6F>7e9~j656290:wE6>;I:3?M70l2we?><50;3xL41c3td8?>4?:0yK52b<ug9887>51zJ23a=zf:9>6=4>{I34`>{i;:<1<7?tH05g?xh4;>0;6<uG16f8yk5403:1=vF>7e9~j65>290:wE?8d:m76g=83;pD<9k;|l07g<728qC=:j4}o10g?6=9rB:;i5rn21g>5<6sA;<h6sa32g94?7|@8=o7p`<3g83>4}O9>n0qc=;0;295~N6?m1vb>:>:182M70l2we?9<50;3xL41c3td88>4?:0yK52b<ug9?87>51zJ23a=zf:>>6=4>{I34`>{i;=<1<7?tH05g?xh4<>0;6<uG16f8yk5303:1=vF>7e9~j62>290:wE?8d:m71g=83;pD<9k;|l00g<728qC=:j4}o17g?6=9rB:;i5rn26g>5<6sA;<h6sa35g94?7|@8=o7p`<4g83>4}O9>n0qc=:0;295~N6?m1vb>;>:182M70l2we?8<50;3xL41c3td89>4?:0yK52b<ug9>87>51zJ23a=zf:?>6=4>{I34`>{i;<<1<7?tH05g?xh4=>0;6<uG16f8yk5203:1=vF>7e9~j63>290:wE?8d:m70g=83;pD<9k;|l01g<728qC=:j4}o16g?6=9rB:;i5rn27g>5<6sA;<h6sa34g94?7|@8=o7p`<5g83>4}O9>n0qc=90;295~N6?m1vb>8>:182M70l2we?;<50;3xL41c3td8:>4?:0yK52b<ug9=87>51zJ23a=zf:<>6=4>{I34`>{i;?<1<7?tH05g?xh4>>0;6<uG16f8yk5103:1=vF>7e9~j60>290:wE?8d:m73g=83;pD<9k;|l02g<728qC=:j4}o15g?6=9rB:;i5rn24g>5<6sA;<h6sa37g94?7|@8=o7p`<6g83>4}O9>n0qc=80;295~N6?m1vb>9>:182M70l2we?:<50;3xL41c3td8;>4?:0yK52b<ug9<87>51zJ23a=zf:=>6=4>{I34`>{i;><1<7?tH05g?xh4?>0;6<uG16f8yk5003:1=vF>7e9~j61>290:wE?8d:m72g=83;pD<9k;|l03g<728qC=:j4}o14g?6=9rB:;i5rn25g>5<6sA;<h6sa36g94?7|@8=o7p`<7g83>4}O9>n0qc=70;295~N6?m1vb>6>:182M70l2we?5<50;3xL41c3td84>4?:0yK52b<ug9387>51zJ23a=zf:2>6=4>{I34`>{i;1<1<7?tH05g?xh40>0;6<uG16f8yk5?03:1=vF>7e9~j6>>290:wE?8d:~yxFGKr>;57686dc~DED|8tJK\vsO@ \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf
new file mode 100644
index 000000000..b83296f8e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.ucf
@@ -0,0 +1,9 @@
+NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
+TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
+#Update Constraints
+NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
+NET "U0/iSHIFT_OUT" TIG ;
+TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
+TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
+TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
+TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v
new file mode 100644
index 000000000..30b31cca5
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.v
@@ -0,0 +1,27 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : b200_chipscope_icon.v
+// /___/ /\ Timestamp : Tue Feb 19 14:28:40 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module b200_chipscope_icon(
+ CONTROL0) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL0;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo
new file mode 100644
index 000000000..6c82410b6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.veo
@@ -0,0 +1,28 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : b200_chipscope_icon.veo
+// /___/ /\ Timestamp : Tue Feb 19 14:28:40 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+b200_chipscope_icon YourInstanceName (
+ .CONTROL0(CONTROL0) // INOUT BUS [35:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco
new file mode 100644
index 000000000..2b7395488
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xco
@@ -0,0 +1,56 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Tue Feb 19 22:27:58 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
+# END Select
+# BEGIN Parameters
+CSET component_name=b200_chipscope_icon
+CSET constraint_type=external
+CSET enable_jtag_bufg=true
+CSET example_design=false
+CSET number_control_ports=1
+CSET use_ext_bscan=false
+CSET use_softbscan=false
+CSET use_unused_bscan=false
+CSET user_scan_chain=USER1
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:25Z
+# END Extra information
+GENERATE
+# CRC: 729764e8
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc
new file mode 100644
index 000000000..903799425
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xdc
@@ -0,0 +1,7 @@
+# icon XDC
+create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
+create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
+set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
+set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xise b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xise
new file mode 100644
index 000000000..4a695b8b0
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="b200_chipscope_icon.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="b200_chipscope_icon.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|b200_chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="b200_chipscope_icon.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/b200_chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="b200_chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-02-19T14:28:45" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="A24BB47ADAFD196B0AED5F1EDE7080BB" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt
new file mode 100644
index 000000000..97a36c09d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_flist.txt
@@ -0,0 +1,15 @@
+# Output products list for <b200_chipscope_icon>
+b200_chipscope_icon.asy
+b200_chipscope_icon.constraints/b200_chipscope_icon.ucf
+b200_chipscope_icon.constraints/b200_chipscope_icon.xdc
+b200_chipscope_icon.gise
+b200_chipscope_icon.ngc
+b200_chipscope_icon.ucf
+b200_chipscope_icon.v
+b200_chipscope_icon.veo
+b200_chipscope_icon.xco
+b200_chipscope_icon.xdc
+b200_chipscope_icon.xise
+b200_chipscope_icon_flist.txt
+b200_chipscope_icon_readme.txt
+b200_chipscope_icon_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt
new file mode 100644
index 000000000..c3b896f44
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_readme.txt
@@ -0,0 +1,45 @@
+The following files were generated for 'b200_chipscope_icon' in directory
+/home/bhilburn/xilinx/
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * b200_chipscope_icon.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * b200_chipscope_icon.constraints/b200_chipscope_icon.ucf
+ * b200_chipscope_icon.constraints/b200_chipscope_icon.xdc
+ * b200_chipscope_icon.ngc
+ * b200_chipscope_icon.ucf
+ * b200_chipscope_icon.v
+ * b200_chipscope_icon.veo
+ * b200_chipscope_icon.xdc
+ * b200_chipscope_icon_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * b200_chipscope_icon.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * b200_chipscope_icon.gise
+ * b200_chipscope_icon.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * b200_chipscope_icon_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * b200_chipscope_icon_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl
new file mode 100755
index 000000000..c9d1c0b14
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_icon_xmdf.tcl
@@ -0,0 +1,88 @@
+# The package naming convention is <core_name>_xmdf
+package provide b200_chipscope_icon_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::b200_chipscope_icon_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::b200_chipscope_icon_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_chipscope_icon
+}
+# ::b200_chipscope_icon_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::b200_chipscope_icon_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.constraints/b200_chipscope_icon.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.constraints/b200_chipscope_icon.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.xcf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_icon_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_chipscope_icon
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy
new file mode 100644
index 000000000..fcca7f4e0
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.asy
@@ -0,0 +1,21 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 b200_chipscope_ila
+RECTANGLE Normal 32 32 288 704
+LINE Wide 0 80 32 80
+PIN 0 80 LEFT 36
+PINATTR PinName control[35:0]
+PINATTR Polarity IN
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName clk
+PINATTR Polarity IN
+LINE Wide 0 144 32 144
+PIN 0 144 LEFT 36
+PINATTR PinName data[63:0]
+PINATTR Polarity IN
+LINE Wide 0 176 32 176
+PIN 0 176 LEFT 36
+PINATTR PinName trig0[7:0]
+PINATTR Polarity IN
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc
new file mode 100644
index 000000000..985834f8d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.cdc
@@ -0,0 +1,83 @@
+#ChipScope Core Generator Project File Version 3.0
+#Tue Feb 19 16:52:47 PST 2013
+SignalExport.clockChannel=CLK
+SignalExport.dataChannel<0000>=DATA[0]
+SignalExport.dataChannel<0001>=DATA[1]
+SignalExport.dataChannel<0002>=DATA[2]
+SignalExport.dataChannel<0003>=DATA[3]
+SignalExport.dataChannel<0004>=DATA[4]
+SignalExport.dataChannel<0005>=DATA[5]
+SignalExport.dataChannel<0006>=DATA[6]
+SignalExport.dataChannel<0007>=DATA[7]
+SignalExport.dataChannel<0008>=DATA[8]
+SignalExport.dataChannel<0009>=DATA[9]
+SignalExport.dataChannel<0010>=DATA[10]
+SignalExport.dataChannel<0011>=DATA[11]
+SignalExport.dataChannel<0012>=DATA[12]
+SignalExport.dataChannel<0013>=DATA[13]
+SignalExport.dataChannel<0014>=DATA[14]
+SignalExport.dataChannel<0015>=DATA[15]
+SignalExport.dataChannel<0016>=DATA[16]
+SignalExport.dataChannel<0017>=DATA[17]
+SignalExport.dataChannel<0018>=DATA[18]
+SignalExport.dataChannel<0019>=DATA[19]
+SignalExport.dataChannel<0020>=DATA[20]
+SignalExport.dataChannel<0021>=DATA[21]
+SignalExport.dataChannel<0022>=DATA[22]
+SignalExport.dataChannel<0023>=DATA[23]
+SignalExport.dataChannel<0024>=DATA[24]
+SignalExport.dataChannel<0025>=DATA[25]
+SignalExport.dataChannel<0026>=DATA[26]
+SignalExport.dataChannel<0027>=DATA[27]
+SignalExport.dataChannel<0028>=DATA[28]
+SignalExport.dataChannel<0029>=DATA[29]
+SignalExport.dataChannel<0030>=DATA[30]
+SignalExport.dataChannel<0031>=DATA[31]
+SignalExport.dataChannel<0032>=DATA[32]
+SignalExport.dataChannel<0033>=DATA[33]
+SignalExport.dataChannel<0034>=DATA[34]
+SignalExport.dataChannel<0035>=DATA[35]
+SignalExport.dataChannel<0036>=DATA[36]
+SignalExport.dataChannel<0037>=DATA[37]
+SignalExport.dataChannel<0038>=DATA[38]
+SignalExport.dataChannel<0039>=DATA[39]
+SignalExport.dataChannel<0040>=DATA[40]
+SignalExport.dataChannel<0041>=DATA[41]
+SignalExport.dataChannel<0042>=DATA[42]
+SignalExport.dataChannel<0043>=DATA[43]
+SignalExport.dataChannel<0044>=DATA[44]
+SignalExport.dataChannel<0045>=DATA[45]
+SignalExport.dataChannel<0046>=DATA[46]
+SignalExport.dataChannel<0047>=DATA[47]
+SignalExport.dataChannel<0048>=DATA[48]
+SignalExport.dataChannel<0049>=DATA[49]
+SignalExport.dataChannel<0050>=DATA[50]
+SignalExport.dataChannel<0051>=DATA[51]
+SignalExport.dataChannel<0052>=DATA[52]
+SignalExport.dataChannel<0053>=DATA[53]
+SignalExport.dataChannel<0054>=DATA[54]
+SignalExport.dataChannel<0055>=DATA[55]
+SignalExport.dataChannel<0056>=DATA[56]
+SignalExport.dataChannel<0057>=DATA[57]
+SignalExport.dataChannel<0058>=DATA[58]
+SignalExport.dataChannel<0059>=DATA[59]
+SignalExport.dataChannel<0060>=DATA[60]
+SignalExport.dataChannel<0061>=DATA[61]
+SignalExport.dataChannel<0062>=DATA[62]
+SignalExport.dataChannel<0063>=DATA[63]
+SignalExport.dataEqualsTrigger=false
+SignalExport.dataPortWidth=64
+SignalExport.triggerChannel<0000><0000>=TRIG0[0]
+SignalExport.triggerChannel<0000><0001>=TRIG0[1]
+SignalExport.triggerChannel<0000><0002>=TRIG0[2]
+SignalExport.triggerChannel<0000><0003>=TRIG0[3]
+SignalExport.triggerChannel<0000><0004>=TRIG0[4]
+SignalExport.triggerChannel<0000><0005>=TRIG0[5]
+SignalExport.triggerChannel<0000><0006>=TRIG0[6]
+SignalExport.triggerChannel<0000><0007>=TRIG0[7]
+SignalExport.triggerPort<0000>.name=TRIG0
+SignalExport.triggerPortCount=1
+SignalExport.triggerPortIsData<0000>=false
+SignalExport.triggerPortWidth<0000>=8
+SignalExport.type=ila
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf
new file mode 100644
index 000000000..e1ce12a9d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.ucf
@@ -0,0 +1,17 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_b200_chipscope_ila = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
+NET "DATA<*" S;
+NET "DATA<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/b200_chipscope_ila.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.gise b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.gise
new file mode 100644
index 000000000..991df1547
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="b200_chipscope_ila.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="b200_chipscope_ila.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="b200_chipscope_ila.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ncf
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc
new file mode 100644
index 000000000..82baab030
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$85b7=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?012255=789:;<=>?0133456339:;895?0627?5779=1;==H>0:22546798::<<>?006844@A:2:386>I233857=68=1:<=>>a:30>LHW]]0JHI\NWSCG@W:6:3:5=l5>3;KMTPR=IMNYN_JNDEP?57<768>0=94FNQWW>DBCZH6:?7>115920?OIX\^1MIJ]B=30>586<2;=6D@_UU8GMDBN48?1<3?;;049MKVR\3NBNIG31483:43<9?0DYY^ZT;FLEAI;9<0;2<;4178LQQVR\3NDNIA31483:4=5:28;=95=:HLSQQ<CAYKOE]2>:1<20>4=AGZ^X7JFPCFJT97=87;87?4FNQWW>RCF_LF7=7>11291>LHW]]0\IOYJU=394;723;0DYY^ZT;FLTDBHX5;1<3?:;38LQQVR\3ND\OJ@P=394;753:81EC^ZT;FJE956294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=;5<6;KMKPR=KFD_^BB33483:4=3<2>:<=?>;58JJUSS2MC[M1=50?32?1<NFY__6IG_B=194;773=0BB][[:VGB86<768:087GAPTV9S@D;;3:5=?5;:NWWTPR=LFZJ0>4?>0080?IR\Y__6IA_B=194;773<>>98:;402614678l1>6D@_UU8B81<76890:94FNQWW>AGSI5<86=0>;931?=<NFY__6Y\FG1>4>586822J4?>N03:;<56?8980M=<4A008E74<I:>0M1>14:C?5;2<I58586O33?48E92=87>0M1:18:CG@WG;8730MIJ]A=33:<=FLMXJ0<?19:CG@WG;9;4i7LJKR@>27?6912KOH_O312<;?DBCZH6:255NDEPB878?3HNO^L2<>99B@ATF4=437LJKR@>6:==FLMXJ0;07;@FGVD:0611JHI\N<9<;?DBCZH622h5NDEPBSWGCL[6;2k5NDEPBSWGCL[6:<3h4AEFQERTFLMX7=<0>1:CG@WGPZHNO^1?=:1<e?DBCZH]YMIJ]<00=a>GCL[K\^LJKR=3=a>GCL[K\^LJKR=0=a>GCL[K\^LJKR=1=a>GCL[K\^LJKR=6=a>GCL[K\^LJKR=7=a>GCL[K\^LJKR=4=a>GCL[K\^LJKR=5=a>GCL[K\^LJKR=:=a>GCL[K\^LJKR=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>d9B@ATEZMKOH_2?>g9B@ATEZMKOH_2>0?d8EABUJ[NJHI\310<25>GCL[HYHLJKR=31>58a3HNO^O\KAEFQ8449m2KOH_L]D@FGV979m2KOH_L]D@FGV949m2KOH_L]D@FGV959m2KOH_L]D@FGV929m2KOH_L]D@FGV939m2KOH_L]D@FGV909m2KOH_L]D@FGV919m2KOH_L]D@FGV9>9m2KOH_L]D@FGV9?9991IJOLNF@C03657=9:37O[\_HLEK4=D:2IJ>6MJ139@L@ELWECHIC]J_U[SA7=DA:1H@F:4CMI32>EKC82;:6MCK3531>EKC;R37NBD2Y3;40=DDB3;86MCK@78GIMF9>1H@FO>D358GIMF9M><7NBDA0F;=>EKCH]YOAE;;BNHF0=DDBH:56MCKCPGGIM23JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNj7NAATSMO858e3JEEX_AC<02=f>EHF]XD@1?>>c9@KKRUGE6:>3l4CNLWVJJ;9:4i7NAATSMO8429j2IDBY\@L=36:g=DGG^YCA2>6?`8GJHSZFF7=:0m;BMMPWIK4825n6M@NUPLH97>6h1HCCZ]OM>2:g=DGG^YCA2=0?`8GJHSZFF7><0m;BMMPWIK4;85n6M@NUPLH9446k1HCCZ]OM>10;d<KFD_^BB324<a?FII\[EG0?81b:ALJQTHD58<2o5LOOVQKI:507h0OB@[RNN?6<8f3JEEX_AC<3<a?FII\[EG0>>1b:ALJQTHD59:2o5LOOVQKI:4:7h0OB@[RNN?768e3JEEX_AC<26=`>EHF]XD@1=::1<a?FII\[EG0>;1a:ALJQTHD595m6M@NUPLH929i2IDBY\@L=7=e>EHF]XD@181a:ALJQTHD5=5m6M@NUPLH9>9i2IDBY\@L=;=51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B?3M::<N>?069GEQG;8720HLZN<02=<>BF\H6:=364D@VB844902NJXL2>3?:8@DRF48>546JNT@>21;><LH^J0<818:FBPD:6?720HLZN<0:=<>BF\H6:5394D@VB848?3MK_M1<?>99GEQG;:8437IO[A=01:==CI]K7>>07;ECWE943611OMYO324<;?AGSI58=255KAUC?628?3MK_M1<7>99GEQG;:04<7IO[A=0=<>BF\H68<364D@VB867902NJXL2<2?:8@DRF4:9546JNT@>00;><LH^J0>;18:FBPD:4>720HLZN<25=<>BF\H684364D@VB86?9?2NJXL2<>99GEQG;<9437IO[A=62:==CI]K78?07;ECWE924611OMYO345<;?AGSI5>>255KAUC?038?3MK_M1:8>99GEQG;<1437IO[A=6::2=CI]K78364D@VB806902NJXL2:1?:8@DRF4<8546JNT@>67;><LH^J08:18:FBPD:2=720HLZN<44=<>BF\H6>;364D@VB80>902NJXL2:9?58@DRF4<437IO[A=43:==CI]K7:<07;ECWE9056h1OMYO36283:==CI]K7:>08;ECWE909?2NJXL28>69GEQG;07=0HLZN<8<`?AGSIV]BHYFPAb9GEQGX_@N_DRL>0:FG<=@C012345O78908@L0<L@K7<394DHC?55803MCJ0<?17:FJE9756>1OEL2>3?58@LG;9=4<7IGN<07=3>BNI5;=2:5KI@>23;1<L@K7=508;EKB84?9>2NBM1?17:FJE9476>1OEL2=1?58@LG;:;4<7IGN<31=3>BNI58?2:5KI@>11;1<L@K7>;08;EKB8719?2NBM1<7>69GMD:517<0HDO32?58@LG;;9427IGN<2394;1<L@K7?<09;EKB86813MCJ0909;EKB80813MCJ0;09;EKB82813MCJ0509;EKB8<8?3MCJHD2?>89GMDBN48:556JFAEK?548>3MCJHD2>2?;8@LGCA5;8245KI@FJ8429j2NBMIG31483:<=CAHNB0<;18:FJEAO;9720HDOKI=0=<>BNIMC7?364DHCGM92902NBMIG35?:8@LGCA5<546JFAEK?3;><L@KOE1618:FJEAO;17<0HDL30?58@LD;994<7IGM<03=3>BNJ5;92:5KIC>27;1<L@H7=908;EKA8439?2NBN1?9>69GMG:6?7=0HDL319<4?AOE4835:6JFB=3=3>BNJ58;2:5KIC>15;1<L@H7>?08;EKA8759?2NBN1<;>69GMG:5=7=0HDL327<4?AOE4;=5;6JFB=0;:2=CAK695384DH@?6;1<L@H7?=06;EKA867=87=0HDL330<5?AOE4:4=7IGM<5<5?AOE4<4=7IGM<7<5?AOE4>4=7IGM<9<5?AOE40437IGMDH>3:<=CAKNB0<>19:FJFAO;98427IGMDH>26;?<L@HOE1?<>89GMGBN48>5n6JFBEK?50<7601OEOJF<07=<>BNJMC7=364DH@GM94902NBNIG33?:8@LDCA5>546JFBEK?1;><L@HOE1818:FJFAO;?720HDLKI=:=<>BNJMC75394DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682l5KIQCGMU:76j1OE]OKIQ>2>58f3MC[MIG_<0<4?AOWJ5:5;6JFPC>2:2=CAYH7>374DHRA86<76>1OE]L33?c8@LVEL@Z7<3m4DHRA@LV;93:5m6JFPCFJT979>2NDM1>17:FLE9776>1OCL2>1?58@JG;9;4<7IAN<01=3>BHI5;?2:5KO@>21;1<LFK7=;08;EMB8419?2NDM1?7>69GKD:617<0HBO31?58@JG;:94<7IAN<33=3>BHI5892:5KO@>17;1<LFK7>908;EMB8739?2NDM1<9>69GKD:5?7=0HBO329<4?AIF4;35:6J@A=0=3>BHI59;245KO@>05?69?2NDM1=>>79GKD:46?1OCL2;>79GKD:26?1OCL29>79GKD:06?1OCL27>79GKD:>611OCLJ@<1<:?AIFLF6:<374DNCGK976601OCLJ@<00==>BHIME7=>06;EMB@J:6<7h0HBOKO=36>58>3MEJHB2>5?:8@JGCG5;546J@AEM?6;><LFKOC1=18:FLEAI;<720HBOKO=7=<>BHIME7:364DNCGK91902NDMIA38?:8@JGCG535;6J@A^PFC3=CGK6;2:5KOC>24;1<LFH7=<08;EMA8449?2NDN1?<>69GKG:6<7=0HBL314<4?AIE48<5;6J@B=34:2=CGK6:4394DN@?5<813MEI0<08;EMA8769?2NDN1<>>69GKG:5:7=0HBL322<4?AIE4;>5;6J@B=06:2=CGK69:394DN@?62803MEI0?617:FLF94>6?1OCO2=>69GKG:48730HBL33083:2=CGK68=384DN@?7;0<LFH78384DN@?1;0<LFH7:384DN@?3;0<LFH74384DN@?=;><LFHOC1>19:FLFAI;99427IAMDN>25;?<LFHOC1?=>89GKGBH489556J@BEM?518e3MEIHB2>5;2==>BHJME7=807;EMA@J:6611OCOJ@<3<;?AIELF68255KOCFL818?3MEIHB2:>99GKGBH4?437IAMDN>4:==CGKND0507;EMA@J:>6>1OCOQ]EF58@JVF494<7IA_A=3=3>BHXH69245KOQC?7?69?2ND\L2<>`9GKUGCGY6;2n5KOQCGKU:6294j7IA_AEMS84803ME[N1>17:FLTG:66>1OC]L32?;8@JVE4:0;2:5KOQ@?7;g<LFZIHB^30?a8@JVELFZ7=7>1a:FLTGBHX5;5=6K=;D67?@27:8:0IHOJFGDE0163NOLM>6KI3:GME2=BFH]YIC=4EO@4?@HEZMOEn6KA_SQWVDKXIk1NBR\\TSCN[G2<N9:8==5I0E2F4F6E88:J<=>;;G4240=AIEYN>6HK119E@23E1:;NO;:N8320?CBD<2LOOH=4FEG;?CBA01N34>5IDQ18BAT33ONYI>5IDR68B@BD02LNJ9;J5533?C@BMOONIKKJEGGFA1=ANO:?7KHIE99EBCC2=<>:<6HIFGC1<5G509K94=??;GDEBCGA:OLMJK6I0028BC@ANOLM9<:?416355=ANOLMJKH:5466106682LMJKHIFG:B<=>?01;;7KHIFGDEB@CFM=>;8<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:8:KMMQVX8920ECG[P^22<>OIA]ZT<?64IOKWTZ6402CEEY^P05:8MKOSXV:>46GAIUR\43><AGC_\R>89:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471<AGC_S==8;HLJPZ63?2CEEYQ?569JJLRX8?=0ECG[_154?LHN\V:3;6GAIU]3=2=NF@^T<L94IOKW[5D03@DBXR>L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571<AGC_S<=8;HLJPZ73?2CEEYQ>569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<7D@FT^033>OIA]U9=:5FNHV\671<AGC_S?=8;HLJPZ43?2CEEYQ=569JJLRX:?=0ECG[_354?LHN\V83;6GAIU]1=2=NF@^T>L94IOKW[7D03@DBXR<L7:KMMQY5L>1BBDZP2D58MKOSW;L<7D@FT^133>OIA]U8=:5FNHV\771<AGC_S>=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;6GAIU]0=2=NF@^T?L94IOKW[6D03@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:L=7D@FT^C5?LHN\VH27D@FT^DJH@5<AG\87AJL2:NJ6>JH>2FDOFKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__::5BUYAZ[I3<E\RM;85BUYD;6>H6;2D:;>5A1918J7643G8:?6@=229M665<F;>87C<:3:L126=I:>=0BHZXOSI7?KIIM81D=:5@PUKNM_CXX[CFEYGYER]MCF7<X>1["97k_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE89SOZNK]]DF?6^]E79SWAIIM81Z?6_>529R747<Zh1YM@L>6CUFV<=UIDH3NZK]8:PBIZKHLL<0^HILE@48V@ADMKh0^HILECPFCFC33[Y_M95]SU@4?WUSJ[Y_;6\\TU[SA`=U[]U[^DA]IU[\E`=U[]U[^DA]IU[\F4=T981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@95:QQH4013ZXG=;K8;RPOG40B?2YY@N==E69PVSGKWH=0__XNL^@5?VRF\\Y?7^WAC29W@U><\[CL<1>18:VQMB6;9720X_GH0=0=<>RUAN:7?364TSKD492902^YEJ>35?:8PWO@85<5m6Z]IF2?3?6902^YEJ>37?68P\VB9=1^<"GPDP,D[AQ\8T$^SI_>5:W3+LYCY'MTHZU>0\,V[AW6=2_;#DQKQ/E\@R]69T$^SI_>5:W3+LYCY'MTHZU>2\,V[AW6=2_;#DQKQ/E\@R]6;T$^SI_>5:W3+LYCY'MTHZU>4\,V[AW6=2_;#DQKQ/E\@R]6=T$^SI_>5:W3+LYCY'MTHZU>6\,V[AW6=2_;#DQKQ/E\@R]6?T$^SI_>5:W3+LYCY'MTHZU>8\,V[AW6=2_;#DQKQ/E\@R]61T$^SI_>4:W3+LYCY'MTHZU>]/W\@T723\:$ERJ^.F]GS^47U'_TH\?:;T2,MZBV&NUO[V<>]/W\@T723\:$ERJ^.F]GS^45U'_TH\?:;T2,MZBV&NUO[V<<]/W\@T723\:$ERJ^.F]GS^43U'_TH\?:;T2,MZBV&NUO[V<:]/W\@T723\:$ERJ^.F]GS^41U'_TH\?:;T2,MZBV&NUO[V<8]/W\@T723\:$ERJ^.F]GS^4?U'_TH\?:;T2,MZBV&NUO[V<6]/W\@T733\:$ERJ^.F]GS^4Z&\UO]<;4U1-J[AW)OVN\W>>R.T]GU43<]9%BSI_!G^FT_67Z&\UO]<;4U1-J[AW)OVN\W><R.T]GU43<]9%BSI_!G^FT_65Z&\UO]<;4U1-J[AW)OVN\W>:R.T]GU43<]9%BSI_!G^FT_63Z&\UO]<;4U1-J[AW)OVN\W>8R.T]GU43<]9%BSI_!G^FT_61Z&\UO]<;4U1-J[AW)OVN\W>6R.T]GU43<]9%BSI_!G^FT_6?Z&\UO]<:4U1-J[AW)OVN\W>S!U^FR50=R8&CTH\ H_EUX05[)]VNZ=85Z0.K\@T(@WM]P8<S!U^FR50=R8&CTH\ H_EUX07[)]VNZ=85Z0.K\@T(@WM]P8>S!U^FR50=R8&CTH\ H_EUX01[)]VNZ=85Z0.K\@T(@WM]P88S!U^FR50=R8&CTH\ H_EUX03[)]VNZ=85Z0.K\@T(@WM]P8:S!U^FR50=R8&CTH\ H_EUX0=[)]VNZ=85Z0.K\@T(@WM]P84S!U^FR51=R8&CTH\ H_EUX0X(RWM[:96[?/H]GU+AXL^Q><P Z_ES21>S7'@UO]#IPDVY65X(RWM[:96[?/H]GU+AXL^Q>>P Z_ES21>S7'@UO]#IPDVY67X(RWM[:96[?/H]GU+AXL^Q>8P Z_ES21>S7'@UO]#IPDVY61X(RWM[:96[?/H]GU+AXL^Q>:P Z_ES21>S7'@UO]#IPDVY63X(RWM[:96[?/H]GU+AXL^Q>4P Z_ES21>S7'@UO]#IPDVY6=X(RWM[:86[?/H]GU+AXL^Q>Q#[PDP36?P6(AVNZ"JQKWZ43Y+SXLX;>7X> I^FR*BYC_R<:Q#[PDP36?P6(AVNZ"JQKWZ41Y+SXLX;>7X> I^FR*BYC_R<8Q#[PDP37?P6(AVNZ"JQKWZ4^*PYCY8>0Y=!F_ES-CZBPS>W%YRJ^159V4*OXLX$LSIYT8\,V[AW6<2_;#DQKQ/E\@R]>U'_TH\?:;T2,MZRV8'MTXZU?]/W\PT723\:$ERZ^0/E\PR]6U'_TX\?:;T2,MZRV8'MTXZU=]/W\PT723\:$ERZ^0/E\PR]4U'_TX\?:;T2,MZRV8'MTXZU;]/W\PT723\:$ERZ^0/E\PR]2U'_TX\?:;T2,MZRV8'MTXZU9]/W\PT723\:$ERZ^0/E\PR]0U'_TX\=i;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW=S!I^QQHQYIMV:%HAW63e9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU?]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>0\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY25X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:?P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?<]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>4\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ37Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY21X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^72U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:;P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?8]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>8\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2=X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7>U'CT__B[_OG\4+@A;o1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6U'CT__B[_OG\4+BKQ09o7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>=S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T20_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[30^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX67[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;>V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?;R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T24_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[34^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX63[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_71Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:>W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;2V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>5S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?7R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T28_-MZUUD]UEIR>!FG1e?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3_-MZUUD]UEIR>!DM[:7a=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^57U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9:Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8>P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV==]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<3\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ10Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY00X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^53U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4=T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9>Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8:P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV=9]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<7\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ14Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0<X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^5?U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]41T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR92Q#GPSSNW[KCX8'LM?k5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8Q#GPSSNW[KCX8'NGU4=k;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9>R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T41_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[53^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX04[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_14Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<;W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=9V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8>S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9:R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T45_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX00[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\<?W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS==V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8:S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW96R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T49_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[5;^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX0<[)AVYY@YQAE^2-BC5a3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_1[)AVYY@YQAE^2-@I_>;m1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]28T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?;Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>=P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;>]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ71Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^34U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2<T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR??Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>9P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;:]/K\WWJSWGOT<#HI419V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:6\,J[VTK\VDNS= KLX;0a>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ75Y+OX[[F_SCKP0/DE05=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY63X(NWZXGXR@J_1,GH\?4m2_;#DQVER]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^30U'CT__B[_OG\4+@A<91^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]20T$BS^\CT^LF[5(CDP38i6[?/H]ZAVYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?3Q#GPSSNW[KCX8'LM8=5Z0.K\]@UXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>5P F_RPOPZHBW9$O@T7<e:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;6]/K\WWJSWGOT<#HI3g9V4*OXQLYTH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81g?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[4_-MZUUD]UEIR>!FG63?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.ENZ=6c<]9%BSTK\_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX25[)AVYY@YQAE^2-BC273\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_37Z&@UX^AZPND]3*AJ^1:o0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>8W%ER]]LU]MAZ6)NO>;7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?8V"DQ\RMV\J@Y7&MFR5>k4U1-J[\CTWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:?S!I^QQHQYIMV:%JK:?;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;=R.H]PVIRXFLU;"IBV92g8Q5)NWPOXSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T62_-MZUUD]UEIR>!FG1e?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7_-MZUUD]UEIR>!DM[:7a=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/DE7c=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4Y+OX[[F_SCKP0/FO]<5c3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2[)AVYY@YQAE^2-BC5a3\:$ERWJS^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=[)AVYY@YQAE^2-@I_>;m1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?U'CT__B[_OG\4+@A;o1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>U'CT__B[_OG\4+BKQ09o7X> I^[FWZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0W%ER]]LU]MAZ6)NO887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1>1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?5585<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=31:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4895>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~315<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:6=78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1?9>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8419:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7=50=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>2=;443\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5;5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~321<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:5978?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1<=>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8759:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7>90=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>11;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx58=2?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<35=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;:14986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2=9?00?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt949:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7?=0=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>05;433\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5992?:4U1-J[\CTWM$^SDBN/H]GU+SXLX[$xhc<21=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;;=4986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2<5?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9516;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{0>91259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?7=85<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6853<<;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=1=61=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;<94986[?/H]ZAVYC&\UB@L!F_ES-QZBVY&~na}2;1?07?P6(AVSN_RJ!U^KOE*OXLX$^SI_^/ugnt9256;>0Y=!F_XGP[A(RW@FJ#DQKQ/W\@TW(|lg{09=1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?0185<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6?93<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=65:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4==5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~349<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:317887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1:1259V4*OXQLYTH#[PIMC,MZBV&\UO]\!{elr?1585<2_;#DQVER]G*PYNDH%BSI_!U^FRU*rbey6>=3<;;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=71:72<]9%BSTK\_E,V[LJF'@UO]#[PDPS,p`kw4<95>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~355<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:2=78?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|1;9>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8019:=1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7950=4:W3+LY^MZUO"XQFL@-J[AW)]VNZ]"zjmq>6=;443\:$ERWJS^F-QZOKI&CTH\ Z_ESR+qcjx5?5>95Z0.K\]@UXL'_TEAO I^FR*PYCYX%i`~361<10>S7'@URI^QK.T]JHD)NWM[%YRJ^Q.vfiu:1978?7X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|18=>368Q5)NWPOXSI Z_HNB+LYCY'_TH\_ tdos8359::1^<"GPYDQ\@+SXAEK$ERJ^.T]GUT)smdz7:3<<;T2,MZ_B[VN%YRGCA.K\@T(RWM[Z#ykbp=5=66=R8&CTUH]PD/W\MIG(AVNZ"XQKQP-wahv;07887X> I^[FWZB)]VCGM"GPDP,V[AWV'}of|171659V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_6,v[qe0>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ I^UJ@QNX?'TxnQ?/XGP[ISS>'_T@XZ9679V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_6,v[qeX9<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.ppp0`<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"zl_wg`8582n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ tb]uaf:66?;0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6:2;?4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2=>738Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>0:37<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:36?;0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6>2;?4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD29>728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=2=25=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-G848182_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;:7<;7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M682;>4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1:1619V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<4<54>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?2;0d3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'MeD#[PMTZ@]31<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^6Z&\UMH_K96:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,V[ISS>11^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S9W%YRV@RB[5g>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX5X(@fA$^S@[WCX44?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXNMXN:;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW<S!U^NVP3><]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^7Z&\USC_MV6b9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-CkN)]VG^TNW97:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM?<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR8V"XQCUU4;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY1Y+SXPFXHU;m4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV=R.FlK*PYJ]QIR::5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^DGV@013\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]4U'_T@XZ98:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT3\,V[]IUKP<h7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#IaH/W\IP^DQ?=0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR>V"XQIDSG52>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(RWE__:55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW9S!U^ZLVF_1k2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\=T$LbE Z_LW[G\003\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]2U'_TJI\J679V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[4_-QZJR\?20Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR?V"XQWOSAZ22=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VLO^H89;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU9]/W\HPR102_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\>T$^SUA]CX43?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>3:36<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W979>91^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)T4;4=<6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&Y7?38?;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2;>728Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz S=7=25=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8382j2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^ppp3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P<P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P=P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P>P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P?P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P8P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P9P Z_GFQA3b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P:P Z_GFQA<b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[1_-qZtfek;3#XQ]AL@2<d1<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[1_-qZtfek;3#XQ]AL@2<Zoi{|fjxh?n8:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q;Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb;83n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82j56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]68T$~Sobb0:,QZTFEK;3Sd`|umcwa47f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y24X(rW{kfn<6 U^PBIG7?W`dxyao{e33:a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>1\,v[wgjj82$YR\NMC3;e<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T10_-qZtfek;3#XQ]AL@2<Zoi{|fjxh?=a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P=<S!u^pbig7?'\UYM@L>8^kmwpjf|l8;5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\9;W%yR|nmc3;+PYUIDH:4l74U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S88V"xQ}al`2<*SXZHGI=5Qfnrwoeqc6;h30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_44Z&|Uym`l>8.W\VDKE91Ubb~{caug2=<c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[01^*pYuidh:4"[PR@OA5=g>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z30Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj15c:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?<]/w\vdke91%^S_OBB0:\mkurdh~n=57j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;?Q#{Pr`oa5=)RW[KFN<6n9:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:8P z_scnf4>(]VXJAO?7_hlpqigsm8?j56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]6<T$~Sobb0:,QZTFEK;3Sd`|umcwa41>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y21X(rW{kfn<6 U^PBIG7?i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X50[)}Vxjao?7/T]QEHD60Vcexbntd35=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T17_-qZtfek;3#XQ]AL@2<d?<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[04^*pYuidh:4"[PR@OA5=Ynfzgmyk>5`;8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W<8R.t]qehd60&_T^LCM19]jjvski}o:;4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S8=V"xQ}al`2<*SXZHGI=5o6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;<Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb9=k27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^70U'T~lcm19-V[WGJJ82Tec}zl`vf5=?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z3;Y+sXzhgi=5!Z_SCNF4>f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y2<X(rW{kfn<6 U^PBIG7?W`dxyao{e01b=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>8\,v[wgjj82$YR\NMC3;[lht}eki<76e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:5P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P=4S!u^pbig7?'\UYM@L>8^kmwpjf|l;9m45Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\90W%yR|nmc3;+PYUIDH:4Rgastnbp`471m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X5X(rW{kfn<6 U^PBIG7?i>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X5X(rW{kfn<6 U^PBIG7?W`dxyao{e3c;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?R.t]qehd60&_T^LCM19]jjvski}o8<4k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S;:V"xQ}al`2<*SXZHGI=5o6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R8;Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb98k27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^47U'T~lcm19-V[WGJJ82Tec}zl`vf64?b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z02Y+sXzhgi=5!Z_SCNF4>f12_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y15X(rW{kfn<6 U^PBIG7?W`dxyao{e02b=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=1\,v[wgjj82$YR\NMC3;[lht}eki?<6e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9>P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>?S!u^pbig7?'\UYM@L>8^kmwpjf|l88m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:;W%yR|nmc3;+PYUIDH:4Rgastnbp`?>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y17X(rW{kfn<6 U^PBIG7?i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X66[)}Vxjao?7/T]QEHD60Vcexbntd07e==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T22_-qZtfek;3#XQ]AL@2<Zoi{|fjxh66e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q98P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>9S!u^pbig7?'\UYM@L>8^kmwpjf|l8>m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:=W%yR|nmc3;+PYUIDH:4Rgastnbp`1>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y11X(rW{kfn<6 U^PBIG7?i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X60[)}Vxjao?7/T]QEHD60Vcexbntd05e==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T24_-qZtfek;3#XQ]AL@2<Zoi{|fjxh86e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9:P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>;S!u^pbig7?'\UYM@L>8^kmwpjf|l8<m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:?W%yR|nmc3;+PYUIDH:4Rgastnbp`3>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y13X(rW{kfn<6 U^PBIG7?i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X62[)}Vxjao?7/T]QEHD60Vcexbntd0;e==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T26_-qZtfek;3#XQ]AL@2<Zoi{|fjxh:6e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q94P z_scnf4>(]VXJAO?7a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>5S!u^pbig7?'\UYM@L>8^kmwpjf|l82m55Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\:1W%yR|nmc3;+PYUIDH:4Rgastnbp`5>m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y1=X(rW{kfn<6 U^PBIG7?i11^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X6<[)}Vxjao?7/T]QEHD60Vcexbntd0b=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=9\,v[wgjj82$YR\NMC3;[lht}eki>>6d:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6n8:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb:0k<7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^4Z&|Uym`l>8.W\VDKE91Ubb~{caug0=`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T31_-qZtfek;3#XQ]AL@2<d><]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[22^*pYuidh:4"[PR@OA5=Ynfzgmyk>a89V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P?=S!u^pbig7?'\UYM@L>8^kmwpjf|l9:5h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\;8W%yR|nmc3;+PYUIDH:4l64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S:;V"xQ}al`2<*SXZHGI=5Qfnrwoeqc7i01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X74[)}Vxjao?7/T]QEHD60Vcexbntd11=a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;e==R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;[lht}eki?6n7:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q8Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb<0n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_1[)}Vxjao?7/T]QEHD60h20Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_1[)}Vxjao?7/T]QEHD60Vcexbntd04e2=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T4\,v[wgjj82$YR\NMC3;[lht}eki87k;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R?V"xQ}al`2<*SXZHGI=5o7;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R?V"xQ}al`2<*SXZHGI=5Qfnrwoeqc5>h=0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_0[)}Vxjao?7/T]QEHD60Vcexbntd4:`>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U9]/w\vdke91%^S_OBB0:b<>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U9]/w\vdke91%^S_OBB0:\mkurdh~n>8o8;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R<V"xQ}al`2<*SXZHGI=5Qfnrwoeqc01m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X3X(rW{kfn<6 U^PBIG7?i11^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X3X(rW{kfn<6 U^PBIG7?W`dxyao{e36b3>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U8]/w\vdke91%^S_OBB0:\mkurdh~n44j4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S1W%yR|nmc3;+PYUIDH:4l64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S1W%yR|nmc3;+PYUIDH:4Rgastnbp`44i>1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X<X(rW{kfn<6 U^PBIG7?W`dxyao{e8;g?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V7R.t]qehd60&_T^LCM19c;?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V7R.t]qehd60&_T^LCM19]jjvski}o:<l64U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S0W%yR|nmc3;+PYUIDH:4Rgastnbp`450l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A=NAS8'T~lcm9.W\VDKE1020Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F<M@\9$~Sobb8-V[WGJJ0Ubb~{caug3=<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM9JEW4+sXzhgi5"[PR@OA=Zoi{|fjxh==5b9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B;#[PMTZ26=)Je|rTC<>:d:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==?:e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==??5d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B;#[PMTZ26=)Je|rTC<>>14g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E: Z_LW[57>(EdsSB??137f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D9!U^OV\44?'Dg~tRA>0016a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G8.T]NQ]750&GfyuQ@11371`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO02210c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I6,V[HS_9;2$A`{w_N33533b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M24412m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L557?=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4661<n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M2(RWD_S=?6 Mlw{[J77:<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M2(RWD_S=?6 Mlw{[J77:9?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L1)]VG^T<<7/Lov|ZI68;;>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH99899h5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N?'_TAXV>29-Nip~XG8:9?8k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A>$^S@[W13:,IhsWF;;>8;j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@=%YRCZX00;+HkrpVE:<?890:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==<9_G43?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D9!U^OV\44?'Dg~tRA>034\C0c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I6,V[HS_9;2$A`{w_N33623b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M247>2m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L554>=l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4648<o0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M2(RWD_S=?6 Mlw{[J77;8?n7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L1)]VG^T<<7/Lov|ZI68:9>i6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH999?:=5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N?'_TAXV>29-Nip~XG8:88RH90:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD===;_F7f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D9!U^OV\44?'Dg~tRA>0276a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G8.T]NQ]750&GfyuQ@11151a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO0261a=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO0251c=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F7/W\IP^6:1%FaxvPO025[C3a3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H5-QZKRP883#@czx^M243Y@=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K460=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K46?=m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K46>=j1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J3+SXE\R:>5!Bmtz\K4>2l2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L5=73n2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-@M9776=l0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+FO;984?j6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)DA5;929k4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7=3:j;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&IB0?0;e:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1=14d9V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$OD2;>5g8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#NG35?6f?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"MF<7<7a>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!LI=5=0`=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ CH>;:1c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?=;2c3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?4;2b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?5583m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G8479<l1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@9756=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:66=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:56=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:46=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:36=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:26=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:16=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:06=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:?6=n0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:>6<30Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]7U'MeD#[PMTZ@]02<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY3Y+SXNMXN9>5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR:V"XQCUU76?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT0\,V[]IUKP?j7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\99W%KcF!U^OV\F_2=2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_46Z&\UMH_K:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<>R.T]OQQ313\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX55[)]VRD^NW:a:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<?R.FlK*PYJ]QIR985Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;:Q#[PFEPF11=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ32Y+SXD\^>:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S8;V"XQWOSAZ10=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ31Y+SXNMXN995Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;9Q#[PLTV62>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[00^*PY_G[IR945Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR;V"J`G.T]NQ]E^==1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\UMH_K:3:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW<S!U^NVP03<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY2Y+SXPFXHU874U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ9Q#IaH/W\IP^DQ<>0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]5U'_TJI\J529V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV<R.T]OQQ323\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX6X(RWQEYOT;6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP?P HnI,V[HS_KP??7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\;T$^SKJ]E418Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU<]/W\HPR2=2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_6[)]VRD^NW:9:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!GoJ-QZKRPJS>86[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S=W%YRHKRD70?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT4\,V[ISS=<1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^2Z&\USC_MV589V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV;R.FlK*PYJ]QIR995Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR?V"XQIDSG67>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[4_-QZJR\<?0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]2U'_TTB\LY4;8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU9]/EmL+SXE\RHU8:4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ=Q#[PFEPF16=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PYK]]?>7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\>T$^SUA]CX7:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT7\,DjM(RWD_SOT;;;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP;P Z_GFQA05<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY4Y+SXD\^>96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%YRV@RB[6=>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-CkN)]VG^TNW:4:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW5S!U^DGV@343\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX<X(RWE__985Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR2V"XQWOSAZ1<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ;^*BhO&\UFYUMV559V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]E@WC2;2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_<[)]VF^X8;4U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ2Q#[PXNP@]1b<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>3:1c<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>24;2b3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.Q?5483m2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-P8449<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W979<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W949<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W959<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W929<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W939<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W909<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W919<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W9>9<m1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W9?9<01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=2=0<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1?1489V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz585845Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgv959<01^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=6=0<=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1;1489V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz5<58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5:58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5;58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5858i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5958i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5>58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5?58i5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5<5885Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=2=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;2984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=?0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8459<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?;>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6=7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo317<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48=58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3;:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:53::;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5;213\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=0;6:W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8779<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<=>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5;7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo325<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=05:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69;3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6=83>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?71449V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe949<?1^<"GPYDQ\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=?>548Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:497>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo333<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4:958;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=17:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6893:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?7383>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0>91479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe95?6=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2<9?66?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>=7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo341<72>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4=;58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=61:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6??3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?0183>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09;1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9216=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;7?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;<14?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<5;=00=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>58;5Z0.K\]@UXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=73:10<]9%BSTK\_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6>=3:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1783>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j08=1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2:5?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;=?4?:6[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<45=03=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5?32984U1-J[\CTWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>6=;223\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k793:9;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?2583>2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;?1479V4*OXQLYTH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9056=<0Y=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl293?65?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>=4?96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<7<71>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4>4?96[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<9<71>S7'@URI^QK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf404?56[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq8583i2_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<02=0d=R8&CTUH]PD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1?>>5c8Q5)NWPOXSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6:>3:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;97>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?6;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|33?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx783:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;=7>27X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?2;2>3\:$ERWJS^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|37?6:?P6(AVSN_RJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx743:6;T2,MZ_B[VN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;17>?7X> I^[FWZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQjn058Q5)NWPOXSI Z_HNB+PYCG\^9o6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/BOS[WCTM]9=7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^KMPFGWWO$^SNO_WD202>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UBBYMNP^D-QZEFX^O:9n5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM>=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; F_OM\VUK)]VG^TK9:3:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\E05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJNDBH!F_XGPHPR1&@UECR\_M/W\WWJ4:VH?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; \RM]NQ]2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIOCCK I^[FWISS>'YY@R_<15;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FBHFL%BSTK\LTV5*VTKWX6;2974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.RPO[T:66<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UFYUH8579V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GHVUMZO_#DQVERNVP3(NWGET^]C!U^QQH64XI<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UX^A==_C6a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZEJX[OXIY!F_XGPHPR1&ZXGS\=>4b9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GHVUMZO_#DQVERNVP3(TZEUZ0=0;c:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@IUTB[L^$ERWJSMWW2+UUDV[7=3;n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM>>6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SXE\RM;ROM539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]@@1`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(NWGET^]C!U^OV\C>292_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&@UECR\_M/W\WWJ4:VK>=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RL:1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.H]MKZTWE'_T__B<2^A65>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*LYIGVX[A#[PSSN06ZB3i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&ZXGS@[W7=2=0d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+UUDVG^T:2>>558Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYJ]Q2?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P12858312_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&ZXGS\=><0<7=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX9:0?0;7:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T:76==0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQ^<0<73>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX692994U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; \RM]R8682i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_<!F_XGPHPR1&@UECR\_M/K\]@UXG[OL"B[[_SGD17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_LW[B2YFJ<80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZKRPO=TOI:i;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!I^LL[WVJ&\UFYUH7509V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S^\C33]B14=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_RPO77YE=81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'CTBBQ]PL,V[VTK;;UH9<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#GPNN]QTH(RWZXG??QK4`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZKRP>6;29o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; \RM]NQ]1;97><7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\1.K\]@UK]]<%__BPMTZ;0<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+UUDV[8=1>1489V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZW495;5845Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S05949<>1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@R_30?64?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT9&CTUH]CUU4-WWJXY5;58:5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S?6;203\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX="GPYDQOQQ0)[[FT]1=1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\WFC(AVSN_A[[6/W\WWJD9?O?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQ\CLR@A*OXQLYGYY8!U^QQH64292_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UXO_][/H]ZAVJR\?$BSCAPRQO-QZKRPO=>?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQ\CSQW+LY^MZF^X; F_OM\VUK)]VYY@><PA418Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[VEU[]%BSTK\LTV5*LYIGVX[A#[PSSN06ZD302_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UXO_][/H]ZAVJR\?$X^AQ^306:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZUDZZ^$ERWJSMWW2+UUDV[7<3:6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^Q@VVR(AVSN_A[[6/QQHZW;97>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRYLE.K\]@UK]]<%YR]]LB35A1g<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RW^BHA]MJ/H]ZAVJR\?$^S^\C336b?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZQKKDZHI"GPYDQOQQ0)]VYY@><=a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIN>o5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.Q@JQYDEY8n7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B SBLW[FKWWJO9h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXV[9h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZTB[L^?=6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0=0;2:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<02=07=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p9766=80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6:>3:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz31?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?6;263\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;;7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"MNP^CG@WYimq~783:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz35?62?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?2;263\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;?7>:7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"MNP^CG@WYimq~743:>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz39?6b?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]7U'_T_HB;b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGV<?G#HPSDNX55[)]VYN@9l4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ32Y+SX[LF?n6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT13_-QZUBD=h0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V?<]/W\W@J3j2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP=9S!U^QFH1d<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;>Q#[PSDN7e>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\9T$^S^KC4`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_7[)]VYN@9o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ1^*PYTME>j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU;]/W\W@J3i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP9P Z_RGO0d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS?W%YR]JL5c8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^1Z&\UXIA:n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY;Y+SX[LF?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT9\,V[VCK<11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(NWZXG"XQ\EMZ66>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q;Q#[PC@R\EABU=?1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T0\,V[FGWWHNO^RCZX418Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS9W%YRgLAQ]B@AT2;2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U>0\,V[FGWWHNO^894U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_46Z&\UHM]QNDEP\IP^2<2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U>0\,V[lEFXVKOH_;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^76U'_TOL^PAEFQ12=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP=<S!U^ABTZGCL[UFYU;;;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^76U'_TeNO__@FGV05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW<<R.T]@EUYFLMX>;6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY26X(RWJK[SLJKR^OV\02<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW<<R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX5X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS8W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ3^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\:T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW?S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^4Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP?P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][2_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR9V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T4\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_1[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V:R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX1X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS<W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ7^*PYnKHZTMIJ]539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\>T$^SNO__@FGV00<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW;S!U^ABTZGCL[UFYU;<;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^0Z&\UbOL^PAEFQ17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP;P Z_BCS[DBCZ<<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][6_-QZEFXVKOH_QBUY70?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQfC@R\EABU=;1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T8\,V[FGWWHNO^884U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_=[)]VIJ\ROKDS]NQ]343\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V6R.T]jGDVXIMNY9?5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX=X(RWJK[SLJKR448Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS0W%YRMNP^CG@WYJ]Q?87X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ;^*PYn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e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ2^*BhO&\UFYUMV589V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY3Y+SXNMXN955Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU?]/W\HPR2i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR:V"XQWOSAZ1c=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]68T$LbE Z_LW[G\3f3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S8:V"XQIDSG6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^77U'_T@XZ:b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ33Y+SXPFXHU8h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT10_-CkN)]VG^TNW:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ32Y+SXNMXN945Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU>1\,V[ISS=k1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ:=P Z_YMQG\3f3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S88V"XQIDSG6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^75U'_T@XZ:b:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ31Y+SXPFXHU8k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT1\,DjM(RWD_SOT;6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[0_-QZ@CZL?37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW<S!U^NVP0g<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\9T$^SUA]CX7f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_7[)OgB%YRCZXB[6=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^4Z&\UMH_K:8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ0^*PYK]]?j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^ZLVF_2m2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR9V"J`G.T]NQ]E^=01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ8Q#[PFEPF1==R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]4U'_T@XZ:a:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ1^*PY_G[IR9h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/EmL+SXE\RHU874U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT4\,V[CBUM<20Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP8P Z_MWW1d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]3U'_TTB\LY4g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX1X(@fA$^S@[WCX7:?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_0[)]VLO^H;7;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[4_-QZJR\<k0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP9P Z_YMQG\3b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S?W%KcF!U^OV\F_212_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR<V"XQIDSG6<>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^0Z&\UGYY;n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ^HZJS>i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV9R.FlK*PYJ]QIR945Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU8]/W\BATB=11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ<Q#[PLTV6e>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^1Z&\USC_MV5d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+Ai@'_TAXVLY4;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX<X(RWONYI864U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT8\,V[ISS=h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ3Q#[PXNP@]0c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\1T$LbE Z_LW[G\3>3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S0W%YRHKRD7;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_<[)]VF^X8o4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT9\,V[]IUKP?97X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%X0=0:3:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=33:05<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;984>?6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1?=>408Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.Q?5;353\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)T4;4>>6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$_1=1539V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/R>7:04<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*U;=7?97X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%X0;0:2:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ S=5=17=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+V:?6<80Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&Y753:n;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+FO;994?m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.AJ8479<h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!LI=31:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2>>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(K@692974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,GL:46=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ CH>7:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD2:>5;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(K@6=2974U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,GL:06=30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ CH>;:1?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$OD26>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L5:5845Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-G8469<01^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<03=0<=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%O0<<1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)C484?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.F?6;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#I2<>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(L5>5855Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-G808302_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"J36?6;?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'M6<2964U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,@9>9<11^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!K<8<60>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP<P HnI,V[HS_KP>m7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXNMXN8h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_5[)]VF^X8>4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^6Z&\USC_MV549V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S8:V"J`G.T]NQ]E^=91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[02^*PYAL[O?j6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX55[)]VF^X8?4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^77U'_TTB\LY478Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;:Q#IaH/W\IP^DQ<:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ32Y+SXNMXN8k5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_47Z&\UGYY;>;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]69T$^SUA]CX73?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:>P Z_GFQA1`<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?=]/W\HPR292_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT13_-QZ^HZJS>86[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX5X(@fA$^S@[WCX6e?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:Q#[PFEPF0`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW<S!U^NVP06<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?R.T][KWE^==1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[3_-CkN)]VG^TNW;f:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\:T$^SKJ]E5g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR8V"XQCUU73?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ9Q#[PXNP@]02<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.FlK*PYJ]QIR8k5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_6[)]VLO^H:j;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]4U'_T@XZ:0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\;T$^SUA]CX77?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ?Q#IaH/W\IP^DQ=l0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ6^*PYAL[O?i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX0X(RWE__9=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VRD^NW:4:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\=T$LbE Z_LW[G\2a3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU:]/W\BATB<l1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[4_-QZJR\<:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ7^*PY_G[IR995Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_3[)OgB%YRCZXB[7b>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP:P Z_GFQA1c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV8R.T]OQQ373\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU9]/W\\JTDQ<>0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*BhO&\UFYUMV4g9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S>W%YRHKRD6f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ<Q#[PLTV64>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP;P Z_YMQG\333\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU7]/EmL+SXE\RHU9h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^>Z&\UMH_K;e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\0T$^SA[[519V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRV@RB[60>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P HnI,V[HS_KP>m7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY:Y+SXNMXN8h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_<[)]VF^X8>4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^?Z&\USC_MV499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T494?56[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?558312_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]310<7=>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7=?0;8:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;97>37X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>1:1><]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1=1499V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T4=4?46[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?1;2?3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#^29>5:8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5=5855Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-P8=8302_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]39?14?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A;?:5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^@QO4b73\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(AVCXSYK]MHLBPLIIWZFBOHQAE1,V[HS_Nk?0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAc78Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jk?0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCc78Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Lk?0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAc78Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKJk?0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCc78Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKLkh0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858ej2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3<ae>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1b`9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2oo4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7hj7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl868ej2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<0<af>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>c`8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0k1:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.K\MVYSM[GBBLZFOO]PHLEBWGO;"XQBUYDa2>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJn;5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fg0<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl9;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Be>2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^J@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNb79V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKJk<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LB`5?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENio6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87hh7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66ki0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949jk1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;de3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=fg=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQGCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?`a?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1=1bb9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0mc:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3ll;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692om4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!F_HQ\P@TJAGK_EB@PSMK@AZHB8'_TAXVIb79V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UGO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Ik<0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\HFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXC`5?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIi:6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZGf3=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMo84U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd13\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMm6:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCjj1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858ek2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;dd3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:gd<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<af>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>c`8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0mb:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682om4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5nn5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484io6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7hh7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46:i0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecwe969;j1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb8484k2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc?6;5d3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`>0:6e<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a=6=04=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8469<81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48;58<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<<1409V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<01=04=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8429<81^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48?58<5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<81419V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<0<74>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?6;273\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:46=:0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5>58=5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh080;0:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm36?63?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>4:16<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9>9<91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4048h6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4948i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU48:5?h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5;:2>k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[6:>3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7=3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7>3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7?3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX783=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX793=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7:3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7;3=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX743=k;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX753=6;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;879j7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?5584i2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%b_N@[<03=7d=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aZIEX1?=>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6:2>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:56:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>0:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2;>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6>2>74U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:16:30Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>4:6?<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY27>2;8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]622?h4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[VEI\VIF\R_=9:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M9m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]WVLA7:h1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PTSKD566<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%^SZMAT^J@IUYV;91^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PWBLW[IEJXV[9m6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZEB:j1^<"GPYDQ\@+SXAEK$YRI=_RS-QZEFXJ^Y@"YLNU]KGHV5n2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#ZMAT^J@IUYDM;o0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\LFKWWX8h7X> I^[FWZB)]VCGM"[PG3]PU+SXKHZHX_B WBLW[IEJX;l0Y=!F_XGP[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\HFKWWJO9i6[?/H]ZAVYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZJDEYUZ>h5Z0.K\]@UXL'_TEAO U^E1[VW)]VIJ\NZ]L.aecZbf|h6;2?k4U1-J[\CTWM$^SDBN/T]D6ZUV&\UHM]M[RM-`bbYci}k7=3<j;T2,MZ_B[VN%YRGCA.W\C7YTY'_TOL^LTSN,gcaXlh~j0?0=e:W3+LY^MZUO"XQFL@-V[B4X[X$^SNO_CUPO+f``Wmkm1=12d9V4*OXQLYTH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2;>3g8Q5)NWPOXSI Z_HNB+PY@:VYZ"XQLAQAWVI)dnnUomyo35?0f?P6(AVSN_RJ!U^KOE*SXO;UX]#[PC@R@PWJ(komThlzn<7<1a>S7'@URI^QK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=5=6`=R8&CTUH]PD/W\MIG(]VM9S^_!U^ABTFRUD&imkRjnt`>;:7c<]9%BSTK\_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?=;4c3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eNO__VP\AK4b3\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eY\FGFGQ[LH5n2_;#DQVER]G*PYNDH%^SJ<PSP,V[FGWK]XG#dZ]IFEFVZIR\;?0Y=!F_XGP[A(RW@FJ#XQ]SU-D[WUSS9W%YR\\T378Q5)NWPOXSI Z_HNB+PYU[]%LS_][[0_-QZTT\;?0Y=!F_XGP[A(RW@FJ#XQ]SU-D[WUSS;W%YR\\T378Q5)NWPOXSI Z_HNB+PYU[]%LS_][[2_-QZTT\;?0Y=!F_XGP[A(RW@FJ#XQ]SU-D[WUSS=W%YR\\T378Q5)NWPOXSI Z_HNB+PYU[]%LS_][[4_-QZTT\;?0Y=!F_XGP[A(RW@FJ#XQ]SU-D[WUSS?W%YR\\T378Q5)NWPOXSI Z_HNB+PYU[]%LS_][[6_-QZTT\;;0Y=!F_XGP[A(RW@FJ#XQ]SU-KEIRXx|fxi<o4U1-J[\CTWM$^SDBN/T]QWQ)WG[8:7X> I^[FWZB)]VCGM"[PRRV,TWCXZLYNX=<>;T2,MZ_B[VN%YRGCA.W\VVR(X[OT^H]JT00:?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWJFNM_<7;T2,MZ_B[VN%YRGCA.W\VVR(]VKYARVIES-V[AIR\;30Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PDNWW47?<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_THB[[1278Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXOLDTHHBNYZ3^*PYAL:?0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR8V"XQID278Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXOLDTHHBNYZ1^*PYAL:?0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR>V"XQID3;8Q5)NWPOXSI Z_HNB+PYU[]%^SL\B_YDFV*SXZONYI?74U1-J[\CTWM$^SDBN/T]QWQ)RWHXFSUHJR.W\PCBUM;n0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"jfn^nbpfnbl;<0Y=!F_XGP[A(RW@FJ#XQ]SU-V[DTJWQLN^"gLLS0:?P6(AVSN_RJ!U^KOE*SXZZ^$YRO]M^ZEAW)nL@D7<3<6;T2,MZ_B[VN%YRGCA.W\VVR(]VKYARVIES-j@LH;978<7X> I^[FWZB)]VCGM"[PRRV,QZGUEVRMI_!fDNWW6`=R8&CTUH]PD/W\MIG(]VXXX"[PASO\\CCU'`NDYYQklx>3:7c<]9%BSTK\_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?5;4b3\:$ERWJS^F-QZOKI&_T^^Z U^CQIZ^AM[%bHB[[_enz8785m2_;#DQVER]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}929:h1^<"GPYDQ\@+SXAEK$YR\\T.W\LDJSWQLN^"[PCMGBV7?<]9%BSTK\_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SIAZT3c8Q5)NWPOXSI Z_HNB+PYU[]%^SEOCT^ZEAW)RWME^X=<n;T2,MZ_B[VN%YRGCA.W\VVR(]VBJ@YQWFDP,QZBH]];8:6[?/H]ZAVYC&\UB@L!Z_SQW+PYOIE^TTKK]/T]DAKYCMEKRW<S!U^DG73=R8&CTUH]PD/W\MIG(]VXXX"[PH@NW[]@BZ&_TKH@PDDNB]^4Z&\UMH?o4U1-J[\CTWM$^SDBN/T]QWQ)RWAKGXRVIES-V[W@CZL8j7X> I^[FWZB)]VCGM"[PRRV,QZNFD]USJH\ U^VE@WC5m2_;#DQVER]G*PYNDH%^S_][/T]KEIRXPOOY#iga_mcwgmcc:>1^<"GPYDQ\@+SXAEK$YR\\T.W\LDJSWQLN^"gLLS0b?P6(AVSN_RJ!U^KOE*SXZZ^$YRFNLU][B@T(aMCE0=0=a:W3+LY^MZUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBNF5;5>55Z0.K\]@UXL'_TEAO U^PPP*SX@HF_SUHJR.kGKPR5n2_;#DQVER]G*PYNDH%^S_][/T]KEIRXPOOY#dJ@UU]gh|:76;l0Y=!F_XGP[A(RW@FJ#XQ]SU-V[MGK\VRMI_!fDNWW[aj~4;4:o6[?/H]ZAVYC&\UB@L!Z_SQW+PYWG[;n7X> I^[FWZB)]VCGM"[PRRV,QZVU[]::i6[?/H]ZAVYC&\UB@L!Z_SQW+PYWZZ^:=i5Z0.K\]@UXL'_TEAO U^PPP*SXZZ^;>=5Z0.K\]@UXL'_TEAO U^PPP*oUMZO_0=0=6:W3+LY^MZUO"XQFL@-V[VRF\&KHX_K\EU]sqiub:81^<"GPYDQ\@+SXAEK$YR][AU-BGQYc{}k><5Z0.K\]@UXL'_TEAO U^QWEQ)FZDUoyo{279V4*OXQLYTH#[PIMC,QZUSI]%HM]Q]ERGW[aj~9;:0Y=!F_XGP[A(RW@FJ#XQ\T@V,@LTSQVN;>=5Z0.K\]@UXL'_TEAO U^QWEQ)CA[^RSI?=1:W3+LY^MZUO"XQFL@-V[VRF\&NB^YWPSDN17>S7'@URI^QK.T]JHD)RWZ^JX"JFRU[\`vrf|;:0Y=!F_XGP[A(RW@FJ#XQ\T@V,@VRF\5>5>85Z0.K\]@UXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{260=R8&CTUH]PD/W\MIG(]VY_MY!KSUCW[`hXles9>85Z0.K\]@UXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{067=R8&CTUH]PD/W\MIG(]VY_MY!KSUCW[iifl;k0Y=!F_XGP[A(RW@FJ#XQ\T@V,A]RDIYUYILJV_eqweq453\:$ERWJS^F-QZOKI&_T_YO[/GWOHZbt|h~8<6[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV>R.H]PPDR)]VY_MY=>;T2,MZ_B[VN%YRGCA.W\WQGS'OUX_YO[[02^*LYT\H^%YR][AU13?P6(AVSN_RJ!U^KOE*SX[]K_#KQ\SUCW_4[)AVY_MY Z_RVBP66<]9%BSTK\_E,V[LJF'\UXXLZ F^QPPDR\:T$BS^ZNT/W\WQGS;91^<"GPYDQ\@+SXAEK$YR][AU-E[VUSI]Q8Q#GPSUCW*PYT\H^8<6[?/H]ZAVYC&\UB@L!Z_RVBP*@X[Z^JXV:R.H]PPDR)]VY_MY=?;T2,MZ_B[VN%YRGCA.W\WQGS'OUX_YO[[4_-MZUSI]$^S^ZNT228Q5)NWPOXSI Z_HNB+PYT\H^$JR]\T@VX2X(NWZ^JX#[PSUCW75=R8&CTUH]PD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR482_;#DQVER]G*PYNDH%^S^ZNT.D\WVRF\R2V"DQ\T@V-QZUSI]9;7X> I^[FWZB)]VCGM"[PSUCW+CYT[]K_W4S!I^QWEQ(RWZ^JX?;4U1-J[\CTWM$^SDBN/T]PPDR(OVDXW=S!U^LPU70<]9%BSTK\_E,V[LJF'\UXXLZ G^LP_46Z&\UE_\<9;T2,MZ_B[VN%YRGCA.W\WQGS'NUE_V?>]/W\JVW5>2_;#DQVER]G*PYNDH%^S^ZNT.E\JV]6:T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T1\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\:T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T3\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\<T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T5\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\>T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T7\,V[KUV:<1^<"GPYDQ\@+SXAEK$YR][AU-D[KU\0T$^SC]^249V4*OXQLYTH#[PIMC,QZUSI]%LSC]T9\,V[KUV:h1^<"GPYDQ\@+SXAEK$YR][AU-Nip~XL@X_URj|t`v2575<]9%BSTK\_E,V[LJF'\UXXLZ NR]gwqgs494986[?/H]ZAVYC&\UB@L!Z_RVBP*HTWmymy2>0?07?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp9766;>0Y=!F_XGP[A(RW@FJ#XQ\T@V,JVYc{}k0<<1229V4*OXQLYTH#[PIMC,QZUSI]%E_Rj|t`v?5;443\:$ERWJS^F-QZOKI&_T_YO[/OQ\`vrf|585>>5Z0.K\]@UXL'_TEAO U^QWEQ)I[Vnxxlz33?00?P6(AVSN_RJ!U^KOE*SX[]K_#C]Pdrvbp929::1^<"GPYDQ\@+SXAEK$YR][AU-MWZbt|h~793<<;T2,MZ_B[VN%YRGCA.W\WQGS'GYTh~znt=4=66=R8&CTUH]PD/W\MIG(]VY_MY!AS^fppdr;?7887X> I^[FWZB)]VCGM"[PSUCW+KUXlz~jx161229V4*OXQLYTH#[PIMC,QZUSI]%E_Rj|t`v?=;7a3\:$ERWJS^F-QZOKI&_T_YO[/OQ\hjgc:?1^<"GPYDQ\@+SXAEK$YR][AU-PPDRBWmymy2?>348Q5)NWPOXSI Z_HNB+PYT\H^$_YO[E^fppdr;978;7X> I^[FWZB)]VCGM"[PSUCW+QBHWgosx?;4U1-J[\CTWM$^SDBN/T]PPDR(\[CLKH\Pdrvbp4b<]9%BSTK\_E,V[LJF'\UXXLZ U^CQI70<]9%BSTK\_E,V[LJF'\UXXLZ U^CQIZak}lUxiy?l;T2,MZ_B[VN%YRGCA.W\WQGS'\UH^?:4U1-J[\CTWM$^SDBN/T]PPDR(]VNB^YWPFEAF61=R8&CTUH]PD/W\MIG(]VY_MY!Z_EKQP\YALYO9?6[?/H]ZAVYC&\UB@L!Z_RVBP*SXL@X_URBKC2g8Q5)NWPOXSI Z_HNB+PYT\H^$YRJBUY6,QZETWD_S#D=!U^OV\=)Je|rTCR<Pf61a?P6(AVSN_RJ!U^KOE*SX[]K_#XQKMTZ7+PYD[VG^T"G<.T]NQ]>(EdsSBQ<3c9V4*OXQLYTH#[PIMC,QZUSI]%^SICZX5-V[FUXE\R$E> Z_LW[<*Kj}qUDS9?k;T2,MZ_B[VN%YRGCA.W\WQGS'\UO_A<9;T2,MZ_B[VN%YRGCA.W\WQGS'\UO_A? U^AOADT5=2_;#DQVER]G*PYNDH%^S^ZNT.W\@VJ6'\UOCXZ=6:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/T]GKPR7:?1^<"GPYDQ\@+SXAEK$YR][AU-V[AUK9&_THB[[1208Q5)NWPOXSI Z_HNB+PYT\H^$YRJ\L0-V[BCIWMOGMTU>]/W\BA413\:$ERWJS^F-QZOKI&_T_YO[/T]GWI7(]VXMH_K=6:W3+LY^MZUO"XQFL@-V[VRF\&_TH^B>/T]WBATB:h1^<"GPYDQ\@+SXAEK$YR][AU-V[AUK9&nbbRbntbjf`75<]9%BSTK\_E,V[LJF'\UXXLZ U^FPH4)nKEX9:6[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=63=R8&CTUH]PD/W\MIG(]VY_MY!Z_EQO5*oCAG6:2?l4U1-J[\CTWM$^SDBN/T]PPDR(]VNX@<!fDNWW[aj~4949n6[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:668o0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZBTD;;n7X> I^[FWZB)]VCGM"[PSUCW+PYC[E9:h6[?/H]ZAVYC&\UB@L!Z_RVBP*SXLZX:h6[?/H]ZAVYC&\UB@L!Z_RVBP*SXMJX9:6[?/H]ZAVYC&\UB@L!Z_RVBP*SXMJXTka{j_rgw5`=R8&CTUH]PD/W\MIG(]VY_MY!Z_GWOH71<]9%BSTK\_E,V[LJF'\UXXLZ U^DVHIY`d|oThz<8:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8927X> I^[FWZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L545f3\:$ERWJS^F-QZOKI&_T_YO[/T]NQ])RWJYTAXV I0,V[HS_:&GfyuQ@1020e>S7'@URI^QK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<?>3b9V4*OXQLYTH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=RH<c:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8;:SJ=n;T2,MZ_B[VN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9888m6[?/H]ZAVYC&\UB@L!Z_RVBP*SXE\R$YRM\_LW[+L7)]VG^T?!Bmtz\K474;h1^<"GPYDQ\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3206g<]9%BSTK\_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>141b?P6(AVSN_RJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=<8<9:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG88856[?/H]ZAVYC&\UB@L!Z_RVBP*SXE\R$YRM\_LW[+L7)]VG^T?!Bmtz\K45412_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO070=>S7'@URI^QK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<8<d:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8<T_Z><d:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8<T_Z?<9:W3+LY^MZUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8=856[?/H]ZAVYC&\UB@L!Z_RVBP*SXE\R$YRM\_LW[+L7)]VG^T?!Bmtz\K4>412_;#DQVER]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO0;2`>S7'@URI^QK.T]JHD)RWZ^JX"[PNRN05>S7'@URI^QK.T]JHD)RWZ^JX"[PRDQFPZCCOL%BSE<C.T]GKPR5k2_;#DQVER]G*PYNDH%^S^ZNT.W\V@UB\VOOKH!Z_EMVP54d3\:$ERWJS^F-QZOKI&_T_YO[/T]QAVCSWLNLI"[PDNWW57b<]9%BSTK\_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>3:7b<]9%BSTK\_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>2:76<]9%BSTK\_E,V[LJF'\UXXLZ U^PJWLH@:81^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\JGO>>5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXNCK_o03?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@VF476<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQC6:11^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"MF<0<1<>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'JC7>3<7;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,GL:46;20Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=6=6==R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&IB080=8:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>7837X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>4:7><]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%HE161299V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*EN4049;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>3:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%O0<0=7:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+A:56;=0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<2<13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'M6?2?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-G8085?2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#I29>358Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)C4>49;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>;:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%O040<3:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]7U'MeD#[PMTZ@]7c<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^DGV@4c3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4a3\:$ERWJS^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T][KWE^;:1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT1\,DjM(RWD_SOT<j;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^7Z&\UMH_K=d:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]6U'_T@XZ=f:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY218Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S;W%KcF!U^OV\F_5m2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU=]/W\BATB:m1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT2\,V[ISS:o1^<"GPYDQ\@+SXAEK$YR][AU-V[VRF\VIEX"IT2\,V[]IUKP987X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*BhO&\UFYUMV2d9V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$^SKJ]E3f8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRBZT3d8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRV@RB[07>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ?Q#IaH/W\IP^DQ;o0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ@CZL8o7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8m7X> I^[FWZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PY_G[IR?>5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX1X(@fA$^S@[WCX0f?P6(AVSN_RJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR?V"XQIDSG1`>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PLTV1b>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PXNP@]65<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW;S!GoJ-QZKRPJS9i6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY5Y+SXNMXN>i5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX2X(RWE__>k5Z0.K\]@UXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX2X(RWQEYOT=<;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&NdC"XQBUYAZ6`=R8&CTUH]PD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P Z_GFQA7b<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^NVP7`<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^ZLVF_4;2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU7]/EmL+SXE\RHU?k4U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-D_=[)]VLO^H<k;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UGYY<i;T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\USC_MV2d9V4*OXQLYTH#[PIMC,QZUSI]%^S^ZNT^AMP*A\1T$^SKJ]E3f8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S0W%YRBZT3d8Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)@S0W%YRV@RB[13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6;2?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-P8485?2_;#DQVER]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2=>358Q5)NWPOXSI Z_HNB+PYT\H^$YR][AU]@JQ)T4:49;6[?/H]ZAVYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>7:71<]9%BSTK\_E,V[LJF'\UXXLZ U^QWEQYDF]%X080=7:W3+LY^MZUO"XQFL@-V[VRF\&_T_YO[_BLW+V:16;=0Y=!F_XGP[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<6<13>S7'@URI^QK.T]JHD)RWZ^JX"[PSUCW[FHS'Z632?94U1-J[\CTWM$^SDBN/T]PPDR(]VY_MYQLNU-P8<86l2_;#DQVER]G*PYNDH%^S^ZNT.W\PAI592_;#DQVER]G*PYNDH%^S^ZNT.W\PWO@OLX9m6[?/H]ZAVYC&\UB@L!Z_RVBP*SX\[CLKH\Pgmwf[vcs:91^<"GPYDQ\@+SXAEK$YR][AU-jWQGS4949=6[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^7==0=0:W3+LY^MZUO"XQFL@-V[VRF\&cXXLZ31?03?P6(AVSN_RJ!U^KOE*SX[]K_#d][AU>1:76<]9%BSTK\_E,V[LJF'\UXXLZ iRVBP959:91^<"GPYDQ\@+SXAEK$YR][AU-jWQGS4=49<6[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^793<?;T2,MZ_B[VN%YRGCA.W\WQGS'`Y_MY29>328Q5)NWPOXSI Z_HNB+PYT\H^$e^ZNT=5=65=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW8=8582_;#DQVER]G*PYNDH%^S^ZNT.kPPDR;17887X> I^[FWZB)]VCGM"[PSUCW+lUSI]IFHRMJ249V4*OXQLYTH#[PIMC,QZUSI]%b_YO[CLF\G@Yi:=1^<"GPYDQ\@+SXAEK$YR][AU-jWQGSWJD_0=0=4:W3+LY^MZUO"XQFL@-V[VRF\&cXXLZPCOV?5;433\:$ERWJS^F-QZOKI&_T_YO[/hQWEQYDF]692?:4U1-J[\CTWM$^SDBN/T]PPDR(aZ^JXRMAT=1=61=R8&CTUH]PD/W\MIG(]VY_MY!fSUCW[FHS4=4986[?/H]ZAVYC&\UB@L!Z_RVBP*oT\H^TOCZ35?07?P6(AVSN_RJ!U^KOE*SX[]K_#d][AU]@JQ:16;>0Y=!F_XGP[A(RW@FJ#XQ\T@V,mVRF\VIEX191259V4*OXQLYTH#[PIMC,QZUSI]%b_YO[_BLW8=85<2_;#DQVER]G*PYNDH%^S^ZNT.kPPDRXKG^753<8;T2,MZ_B[VN%YRGCA.W\PWO@'OUECRZLMB,V[CBU;:1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWJK[SO=:;T2,MZ_B[VN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]GH\1a3\:$ERWJS^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B>01^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWZ^D^LIJ_PWBH*OX[[F_SCKP1/K\JHSX9V^DS9 Z_UAO+LYH]]XNK#[PDNWW1c=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.K\WWJSWGOT=#GPNLW\5ZRHW=$^SYMC/hFLQQ3e3\:$ERWJS^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[RHER06=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[=i7X> I^[FWZB)]VCGM"[PTSKD+PYSK&CTX^K^_OGR6+SX\JUN]XO[INL,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B><1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.K\WWJSWGOT=#GPNLW\5ZRHW=$^SYMC/H]LQQTBO'_THB[[5c9V4*OXQLYTH#[PIMC,QZRUAN%^SYM I^VPATYIMX8%YRZL_DSVEQOHF&CT__B[_OG\5+OXFD_T=RZ@_5,V[QEK'`NDYY;8;T2,MZ_B[VN%YRGCA.W\PWO@'\U_O"GPTRGR[KCV:'_TXNQJQTCWMJH(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WVLAV;o1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.kWVLA@M[8>7X> I^[FWZB)]VCGM"[PTSKD+PYSK&cHM]ZZRD0:?P6(AVSN_RJ!U^KOE*SX\[CL#XQ[C.k@BBYCI]K7<3=k;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%BS@MPNN,V[KIXEJUYIJ=8;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ DNWW[qkwim1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q8Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6101^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q;Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y1Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U<]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]2U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y5Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U8]/K\MKYUIGMN"XQHAOF\@I_599n0Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#GPURG\KPRW]]UYIJQAE1,V[JTBOo20Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZE`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e29V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c53\:$ERWJS^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_5[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n>6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;;7o97X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd090j3:W3+LY^MZUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`5<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868?82_;#DQVER]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;872;7X> I^[FWZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:25>4U1-J[\CTWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<1819V4*OXQLYTH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><2<;4>S7'@URI^QK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?0;>73\:$ERWJS^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:261:0Y=!F_XGP[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<54=5Z0.K\]@UXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0:070:W3+LY^MZUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<30?:3?P6(AVSN_RJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2:=6<]9%BSTK\_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6949091^<"GPYDQ\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54:43<6[?/H]ZAVYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87836?;T2,MZ_B[VN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:>928Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=4=<5=R8&CTUH]PD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18283?2_;#DQVER]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UD^HI!I^[FWZIUMN$^SB\JG208Q5)NWPOXSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"j`uu]wiu453\:$ERWJS^F-QZOKI&_TX_GH/upjcFiil@d9?6[?/H]ZAVYC&\UB@L!Z_UPJC*ruanIdbiAzt078Q5)NWPOXSI Z_HNB+lGUE830Y=!F_XGP[A(RW@FJ#dMNPUWQA4g<]9%BSTK\_E,V[LJF'`IJ\RJ@ND07?P6(AVSN_RJ!U^KOE*oDIYUEY@Q\ALROAV:76;?0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1??>378Q5)NWPOXSI Z_HNB+lEFXVD^AR]NMQNFW9766;?0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1?=>368Q5)NWPOXSI Z_HNB+lEFXVD^AR]NMQNFW979:=1^<"GPYDQ\@+SXAEK$eNO__OWN[VGJXEOX0?0=4:W3+LY^MZUO"XQFL@-jGDVXF\GT_LC_LDQ?7;433\:$ERWJS^F-QZOKI&cHM]QAUL]PEHVKMZ6?2?:4U1-J[\CTWM$^SDBN/hABTZHREVYJA]BJS=7=61=R8&CTUH]PD/W\MIG(aJK[SC[B_RCNTICT4?4986[?/H]ZAVYC&\UB@L!fC@R\JPKX[HG[@H]37?07?P6(AVSN_RJ!U^KOE*oDIYUEY@Q\ALROAV:?6;>0Y=!F_XGP[A(RW@FJ#dMNP^LVIZUFEYFN_1711d9V4*OXQLYTH#[PIMC,mFGWWZ^JXH2?>0g8Q5)NWPOXSI Z_HNB+lEFXVY_MYK31?02?P6(AVSN_RJ!U^KOE*oDIYU_^DIHES]LQQ473\:$ERWJS^F-QZOKI&cHM]QXR^CG@W:76;;0Y=!F_XGP[A(RW@FJ#dMNP^UQ[DBCZ5;;2??4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1?>>338Q5)NWPOXSI Z_HNB+lEFXV]YSLJKR=31:76<]9%BSTK\_E,V[LJF'`IJ\RY]_@FGV979:91^<"GPYDQ\@+SXAEK$eNO__VP\EABU4;49<6[?/H]ZAVYC&\UB@L!fC@R\SWYFLMX7?3<?;T2,MZ_B[VN%YRGCA.k@EUYPZVKOH_2;>328Q5)NWPOXSI Z_HNB+lEFXV]YSLJKR=7=65=R8&CTUH]PD/W\MIG(aJK[SZ\PAEFQ838582_;#DQVER]G*PYNDH%bOL^PWS]B@AT;?78;7X> I^[FWZB)]VCGM"gLAQ]TVZGCL[632?>4U1-J[\CTWM$^SDBN/hABTZQUWHNO^1711c9V4*OXQLYTH#[PIMC,mFGWW^XTIC?6;T2,MZ_B[VN%YRGCA.kGEQG;87;j7X> I^[FWZB)]VCGM"gKAUC?5586i2_;#DQVER]G*PYNDH%bHLZN<03=5d=R8&CTUH]PD/W\MIG(aMK_M1?=>0c8Q5)NWPOXSI Z_HNB+lBF\H6:?3?n;T2,MZ_B[VN%YRGCA.kGEQG;9=4:m6[?/H]ZAVYC&\UB@L!fD@VB84399h1^<"GPYDQ\@+SXAEK$eIO[A=35:4g<]9%BSTK\_E,V[LJF'`NJXL2>7?3b?P6(AVSN_RJ!U^KOE*oCI]K7=50>a:W3+LY^MZUO"XQFL@-j@DRF4835=45Z0.K\]@UXL'_TEAO iECWE9799h1^<"GPYDQ\@+SXAEK$eIO[A=03:4g<]9%BSTK\_E,V[LJF'`NJXL2=1?3b?P6(AVSN_RJ!U^KOE*oCI]K7>?0>a:W3+LY^MZUO"XQFL@-j@DRF4;95=l5Z0.K\]@UXL'_TEAO iECWE94368k0Y=!F_XGP[A(RW@FJ#dJNT@>11;7f3\:$ERWJS^F-QZOKI&cOMYO327<2e>S7'@URI^QK.T]JHD)nLH^J0?911`9V4*OXQLYTH#[PIMC,mAGSI5832<o4U1-J[\CTWM$^SDBN/hFBPD:517;27X> I^[FWZB)]VCGM"gKAUC?6;7f3\:$ERWJS^F-QZOKI&cOMYO331<2e>S7'@URI^QK.T]JHD)nLH^J0>?11`9V4*OXQLYTH#[PIMC,mAGSI5992<o4U1-J[\CTWM$^SDBN/hFBPD:4;7;j7X> I^[FWZB)]VCGM"gKAUC?7186i2_;#DQVER]G*PYNDH%bHLZN<27=5d=R8&CTUH]PD/W\MIG(aMK_M1=9>0c8Q5)NWPOXSI Z_HNB+lBF\H68;3?n;T2,MZ_B[VN%YRGCA.kGEQG;;14:m6[?/H]ZAVYC&\UB@L!fD@VB86?9901^<"GPYDQ\@+SXAEK$eIO[A=1=5d=R8&CTUH]PD/W\MIG(aMK_M1:?>0c8Q5)NWPOXSI Z_HNB+lBF\H6?=3?n;T2,MZ_B[VN%YRGCA.kGEQG;<;4:m6[?/H]ZAVYC&\UB@L!fD@VB81599h1^<"GPYDQ\@+SXAEK$eIO[A=67:4g<]9%BSTK\_E,V[LJF'`NJXL2;5?3b?P6(AVSN_RJ!U^KOE*oCI]K78;0>a:W3+LY^MZUO"XQFL@-j@DRF4==5=l5Z0.K\]@UXL'_TEAO iECWE92?68k0Y=!F_XGP[A(RW@FJ#dJNT@>7=;7>3\:$ERWJS^F-QZOKI&cOMYO34?3b?P6(AVSN_RJ!U^KOE*oCI]K79=0>a:W3+LY^MZUO"XQFL@-j@DRF4<;5=l5Z0.K\]@UXL'_TEAO iECWE93568k0Y=!F_XGP[A(RW@FJ#dJNT@>67;7f3\:$ERWJS^F-QZOKI&cOMYO355<2e>S7'@URI^QK.T]JHD)nLH^J08;11`9V4*OXQLYTH#[PIMC,mAGSI5?=2<o4U1-J[\CTWM$^SDBN/hFBPD:2?7;j7X> I^[FWZB)]VCGM"gKAUC?1=86i2_;#DQVER]G*PYNDH%bHLZN<4;=5<=R8&CTUH]PD/W\MIG(aMK_M1;11`9V4*OXQLYTH#[PIMC,mAGSI5<;2<o4U1-J[\CTWM$^SDBN/hFBPD:197;j7X> I^[FWZB)]VCGM"gKAUC?2786i2_;#DQVER]G*PYNDH%bHLZN<71=5<=R8&CTUH]PD/W\MIG(aMK_M181189V4*OXQLYTH#[PIMC,mAGSI5=5=45Z0.K\]@UXL'_TEAO iECWE9>9901^<"GPYDQ\@+SXAEK$eIO[A=;=5g=R8&CTUH]PD/W\MIG(aMK_MRJ@UU3b?P6(AVSN_RJ!U^KOE*oUMZO_0=0>a:W3+LY^MZUO"XQFL@-jV@UB\5;5=l5Z0.K\]@UXL'_TEAO iSGPAQ:568k0Y=!F_XGP[A(RW@FJ#d\JSDV?7;7f3\:$ERWJS^F-QZOKI&cYI^K[<5<2e>S7'@URI^QK.T]JHD)nZLYNX1;11`9V4*OXQLYTH#[PIMC,mWCTM]6=2<o4U1-J[\CTWM$^SDBN/hPFW@R;?7;i7X> I^[FWZB)]VCGM"g\T@V\@JSS901^<"GPYDQ\@+SXAEK$eY\FGFGQf>S7'`NJXL2?>b9V4*oCI]K7==0l;T2,mAGSI5;:2n5Z0.kGEQG;9;4h7X> iECWE9746j1^<"gKAUC?518d3\:$eIO[A=36:f=R8&cOMYO317<`?P6(aMK_M1?8>b9V4*oCI]K7=50l;T2,mAGSI5;22o5Z0.kGEQG;97i0Y=!fD@VB8769k2_;#dJNT@>15;e<]9%bHLZN<30=g>S7'`NJXL2=3?a8Q5)nLH^J0?:1c:W3+lBF\H6993m4U1-j@DRF4;<5o6[?/hFBPD:5?7i0Y=!fD@VB87>9k2_;#dJNT@>1=;d<]9%bHLZN<3<`?P6(aMK_M1=?>b9V4*oCI]K7?<0l;T2,mAGSI5992n5Z0.kGEQG;;:4h7X> iECWE9536j1^<"gKAUC?708d3\:$eIO[A=15:f=R8&cOMYO336<`?P6(aMK_M1=7>b9V4*oCI]K7?40m;T2,mAGSI595o6[?/hFBPD:387i0Y=!fD@VB8179k2_;#dJNT@>76;e<]9%bHLZN<51=g>S7'`NJXL2;4?a8Q5)nLH^J09;1c:W3+lBF\H6?:3m4U1-j@DRF4==5o6[?/hFBPD:307i0Y=!fD@VB81?9j2_;#dJNT@>7:f=R8&cOMYO351<`?P6(aMK_M1;>>b9V4*oCI]K79?0l;T2,mAGSI5?82n5Z0.kGEQG;==4h7X> iECWE9326j1^<"gKAUC?138d3\:$eIO[A=74:f=R8&cOMYO359<`?P6(aMK_M1;6>c9V4*oCI]K793m4U1-j@DRF4?:5o6[?/hFBPD:197i0Y=!fD@VB8349k2_;#dJNT@>57;d<]9%bHLZN<7<a?P6(aMK_M191b:W3+lBF\H632o5Z0.kGEQG;17o0Y=!fTSKD[LH;87o0Y=!fTSKD[LH;97o0Y=!fTSKD[LH;:7o0Y=!fTSKD[LH;;7o0Y=!fTSKD[LH;<7o0Y=!fTSKD[LH;=7o0Y=!fTSKD[LH;>7o0Y=!fTSKD[LH;?7i0Y^K]_WKPMGJB;2\HO45YIDU\P\VBk2]J^CGAG^MMH\0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=19:UFERCK494i7ZKNWDN?5?6912]NMZKC<0<5?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK68245XECUFQ969j2]NNZKZ<083:<=PMK]NY1?1b:UQMQCXN@XXXn5XRHVF[HICMVKh7Z\FTD]NKACXJ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}=b:]\[]JIEVUT<RQPU1-J[\CTWM$^SDBN/T]PPDR(]VDX@;l4_^][HKKXWV;TSR[?/H]ZAVYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O0&\UFYU?=8.Onq}YH91;=n6QP_YNMIZYX:VUTY=!F_XGP[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M2(RWD_S=?6 Mlw{[J771?i0SRQWLOO\[Z5XWV_;#DQVER]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K4*PYJ]Q;94"Cbuy]L5545<<1TSRVCNL]\[1YXW\:$ERWJS^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_TN_E?2g9\[Z^KFDUTS8QP_T2,MZ_B[VN%YRGCA.W\WQGS'\UXXLZLME0`?ZYXPEDFSRQ9_^]V4*OXQLYTH#[PIMC,QZTT\&_T\_][07`8[ZY_DGGTSR9P_^W3+LY^MZUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C<"XQBUY31<*Kj}qUD==<<4:]\[]JIEVUT4RQPU1-J[\CTWM$^SDBN/T]PPDR(]VKYARicud]paqd<izseoRczx^3a?du~fjUfyuQ=b:cp}keXe|rT?<>4ar{mgZruigymiQ>119bw|hdW}xjb~hjr^024>gtqgiTxoasggq[6753k8;<Rmgiqq`kucXaek8>6l=01]`llvtkfznSdbn,bjjtvehxlUb`lQy1^26[d*IGGO'BB@J135g?g478Vice}}loqg\mig+kac{nae^koeZp6W9?Tm!ul_yal[iot|4Ida}aaeov\Jdkb5k8;<Rmgiqq`kucXaek0Bxcmes]LbZRuanmn~R^`ruq>5>KfpVYn}xkacd]Oasck{4;0Y~kPRQOp9cgk{l1Nbllce^VqmbabzVE~x}{{_Qmqp8`fdzo0_lcld]Lj8Tn{`dl7^obpmg\@drfWMo{xe371808AkgedlUXxb|ngd]Rqdjnn`ijxdaa=upva>Bf|hUXm`kPAr]Wvla`m{7mma}j;EcweZVhz}U\eizg=768Pwo`olxT\b|{_VkgpmY6511Fmymg_TljpvY6581Hcx`{es]TmaroW87Oe~omldf8Idrd`V^r|hQ>=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT=0z}ud9Wvla`m{U[czPWhfwlZ4:02GjxnfPUokwwZ4:92Idyczjr^Uj`qnX:4Nbllcee9NeqeoW]s{iR<2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU91y|ze:VqmbabzVZd~yQXievk[6;?3DkoeQZnhvp[6;63Je~byk}_VkgpmY45Mcxmobjd:ObpfnX\pznS>3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV96x{j;UpjcbcuWYeyxRYfduj\08><Eh~hdR[aiuq\087<Kfexh|PWhfwlZ2:L`yjnakk;LcwgmYSqyoT80lnsha\slroWlnli~5Jxbnv``YAzfgTHlzn_RvlvdabW=7~xk4Tskdc`tXXfxSZgkti]69==Ji}icSX`ftr]694=Dg|diQXievk[0;Cazki`hj4M`v`lZR~xlU>1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4~yyh5[rhedawYWg{~T[dj{h^4><>Kf|jbTYcg{s^4>5>Eh}g~n~RYfduj\28Bn{hhgii5Bauak[QwmV<6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y15}x~i6Z}ifefvZVhz}U\eizg_6?;?HgskaU^bdz|_6?2?Firf}oySZgkti]49Aotikfnh6Cntbj\P|vbW>7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ1:|{n7Y|fgfgq[Uiu|V]bhyfP8<:8Idrd`V_eey}P8<38Gjsi|lxT[dj{h^:>@lufjeoo7@o{ci]W}ucX04hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[=;sz|o0Xghgdp\TjtsW^coxeQ6=99NeqeoW\dbx~Q6=09@kphsm{U\eizg_8?Gmvgedln0Alzlh^Vzt`Y>5kkxenQxiuj\aaab{2Osoa{ke^DqkhYCi}kT_ya}afg\=8ru}l1_~dihes]SkwrX_`ndR??=99NeqeoW\dbx~Q>0<38Gjsi|lxT[dj{h^339Aotikfnh6Cntbj\P|vbW8:6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y684~yyh5[rhedawYWg{~T[dj{h^329==Ji}icSX`ftr]2587<Kfexh|PWhfwlZ765Mcxmobjd:ObpfnX\pznS<?2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU:=0z}ud9Wvla`m{U[czPWhfwlZ75511Fmymg_TljpvY6:4;0Ob{atdp\Slbs`V;91Ig|acnf`>Kf|jbTXt~j_00>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>2<vqq`=Sz`mliQ_osv\Slbs`V;8155Bauak[Phn|zU:?0?4Cnwmp`tX_`ndR?<=Ekpegjbl2GjxnfPTxrf[45:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]278ru}l1_~dihes]SkwrX_`ndR?;=99NeqeoW\dbx~Q>4<38Gjsi|lxT[dj{h^379Aotikfnh6Cntbj\P|vbW8>6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y6<4~yyh5[rhedawYWg{~T[dj{h^369==Ji}icSX`ftr]2187<Kfexh|PWhfwlZ725Mcxmobjd:ObpfnX\pznS<;2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU:90z}ud9Wvla`m{U[czPWhfwlZ71511Fmymg_TljpvY6>4;0Ob{atdp\Slbs`V;=1Ig|acnf`>Kf|jbTXt~j_04>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>6<vqq`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6>!mPmge\ma;6$jUfmga}_wgqwlii58>'oRcfnnp\r`ttafd68!mPbtko`Ztb~`ybcc3?,b]`kwcXeh`d~Rxjr<3/gZehzlUfeca}_wgq91*dWjeyiRcfnnp\eivoiV|n~078,b]qehYsqyo6=!mPssn22Zr~xl79 nQzsd]jjsYddb7; nQzsd]qth;7$jU~hQ{rhe\kpr:8%iTy~kPaua\gimnf4:'oR{|e^fbpd;6$jU~hQ{rhe394*dW|ynSy|fg0?3(fYr{lU~di==1.`[pubW}xbk>3?,b]vw`Ysz`m?1="l_tqf[qtno<7; nQzsd]wvla159&hSx}j_upjc2;7$jU~hQ{rhe;95*dW|ynSy|fg8?3(fYr{lU~di>0<2/gZstmV~yej?>=1.`[pubW}xbk<<20-a\qvcX|{cl=>3?,b]vw`Ysz`m:80>#c^wpaZruan;>1="l_tqf[qtnomkm=3?,b]vw`Ysz`momyo>=1.`[pubW}xbkio{a3?3(fYr{lU~dikauc095*dW|ynSy|fgecwe1;7$jU~hQ{rhegeqg259&hSx}j_upjcagsi?7; nQzsd]wvlaci}k<1="l_tqf[qtnomkm53?,b]vw`Ysz`momyo6=1.`[pubW}xbkio{a02>4)eX}zoTxghd`vb54;7$jU~hQ{rhegeqg6:4:'oR{|e^vqmbbf|h;81="l_tqf[qtnomkm<:20-a\qvcX|{clhlzn14?3(fYci}kT{dj{h<47(fYci}kThh~{h<:2=7*dW|ynSjo=1.`[qojmz~ja}Q{yqg>4)eX|`gnyobp^uj`qn:;;&hSygbervbiuYcmy~c18?=,b]vw`Yt|fxjkhQ~u`n>5)eX|zozSywe<2/gZhreV~xi|Qlnu?3(fYs{l{Tocz>_vkgpm;6$jUhPcov3[roc|a7: nQaul]ww`wX{}ki~3>,b]vw`YskVghby3?,b]wgZkdf}U|eizg=0.`[`~sWjk{So{e^ol``;7$jUntyQlaq]smkYjgmo6<!mPnto\a}rXkhzT|d`|=9.`[`~sWjk{Sx}j_sgd94*dWgfS`o{ci]vjlrt58&hSy|fg1]tmaro51&hSy|fg0]tmaro58&hSy|fg3]tmaro58&hSy|fg2]tmaro58&hSy|fg5]tmaro58&hSy|fg4]tmaro58&hSy|fg7]tmaro58&hSy|fg6]tmaro58&hSy|fg9]tmaro58&hSy|fg8]tmaro58&hSy|fg02\slbs`4;'oRz}if32[roc|a7: nQ{rhe26Zqnl}b6=!mPtskd56Ypam~c1<"l_upjc42X`nd0?#c^vqmb72W~coxe3>,b]n4Zrwam7; nQb1^vsma;6$jUf>Rzie?1(fYj;V~{ei3<,b]n0Zrwam7? nQb5^vsma;2$jUf:Rzie?5(fYj?V~{ei38,b]n<Zrwam73 nQb9^vsma;>$jUf==Q{phf>55*dWd;:Sy~fd<32(fYj9;U|dj213.`[h74W}zbh0?<,b]n51Ysx`n6=9"l_l36[qvnl4;> nQb0^vzt`;6$jUf=Rzvpd?3(fYj:V~r|h3?,b]n7Zr~xl7; nQb4^vzt`;7$jUf9Rzvpd?3(fYj>V~r|h3?,b]n3Zr~xl7; nQb8^vzt`;7$jUf5Rzvpd?3(fYj99Uu}k20-a\i47X|pzn1="l_l31[qwm4:'oRc>3^vzt`;7$jUf=9Q{yqg>4)eXe8?Txt~j=1.`[pubWdiex=3?,b]vw`Yjkg~:1="l_tqf[hei|;7; nQzsd]ngkr459&hSx}j_lamp1;7$jU~hQbcov695*dW|ynS`mat7?3(fYr{lUfocz8=1.`[pubWdiex53?,b]vw`Yjkg~21="l_tqf[hei|8:6<!mPurg\ifhs987; nQzsd]ngkr6:4:'oR{|e^o`jq7459&hSx}j_lamp42:8%iTy~kPmblw50;7$jUfocz?_vkgpm;6$jUfocz>_vkgpm;6$jUfocz=_vkgpm;6$jUfocz<_vkgpm;6$jUfocz;_vkgpm;6$jUfocz:_vkgpm;6$jUfocz9_vkgpm;6$jUfocz8_vkgpm;6$jUfocz7_vkgpm;6$jUfocz6_vkgpm;6$jUfocz>0^uj`qn:9%iTan`{10]tmaro58&hS`mat00\slbs`4;'oRclnu30[roc|a7: nQbcov20Zqnl}b6=!mPmblw50Ypam~c1<"l_bmmwqtfag~Txt~j=dzwawhfd%iTiuobpmg\``unog7mma}j,|f8gmow{je{iRgca238gmow{je{iRgca^t2[53Xi%*Seagax!ALV@&@mgoymya} 06-0<=dg|diQ>9:alqkrbzV827naznugq[6`<kzUi~lcPc`q`eac6:2ixSo|nm^abwfgcmVy==<5ls^`qehYtadzgiR}9199`wZduidUxe`~ce^q5[wgjj82:;6m|_cpbiZuneyfnS~8Pr`oa=d=d{Vimka{{4`9`wZeaoe9n5ls^aeciss>V;h7n}Pcgeoqq0X:h1hRmigmww34d<kzUhccz}om`vwZ~t|~eygl|`uofe?fuXkfexh|Pmnfhf>etWnkehR}}l028gvY`ignTbPs7]255=d{VmjbiQ|rm]p2Z46<2ixSjoad^qqhZu1WzoS<?;;bq\cdhcWzxgS~8Psdv\64b<kzUlmcjPssn\w3Ytd`inScaPrqo\54b<kzUlmcjPssn\w3Ytd`inScaPrqo\6f=d{VmjbivPssne?fuXohdotR}}l^q53>etWe:45ls^ov|44??2ixS`{w299`wZkrp?><7n}Pmtz;<>etWdsS<64cr]nq}Y502ixS`{w_2:8gvYj}qU?n6m|_sgdmvrbz:1oh`j4eeef[acsmj~d~k5fl`]`euYflmxlic?=;hnb[fgwWj~y`Ri=_rse?ljfWjk{S~z`r`ef<>okiVid~h74imc\idrd`8;0eaoPm`v`lZehekceik5fl`]neqeoWjefnbk4imc\idrd`Vmjbih4imc\idrd`Vmjbivj;hnb[wctm}Uhxbn;hnb[vrf||y:<6gca^vqefcXj|lmik4imc\pwo`Wdkoem4imc\pwo`kfdo==5fl`]wvladggnT`xzm;hnb[qtnonoy>6``139svjauidgjnakPddnb}47<pj<x`u9:-ged0=2*;tJK|?h<d:BC|<5b2O096<u\c18:6a<50k0:?><6ab82026|f08i6<5a93a92>">:h02>95rS8;9=7b=:1h1=>==9`a951123m38i7>51;3xWf6=1;n1>5l51211=de=9==;7{Z=a683>4<62:3=w^m?:80g>7>e2898>4ol:0644>">8o09;<5+29a9=2?<j09n6=49d;136?5>>rB2<i5+2c`9=6c<R>i1?v=<:2796=<z,08264:=;%:3><5a3-hm64:?;%0b0?6<,;k864<j;hde>5<#:1o1jh5a29f94>=nnm0;6)<7e;df?k4?l3;07dhl:18'6=c=nl1e>5j52:9jbg<72-83i7hj;o0;`?5<3`l26=4+29g9b`=i:1n1865ff983>!4?m3ln7c<7d;78?l`0290/>5k5fd9m6=b=>21bj;4?:%0;a?`b3g83h794;hd6>5<#:1o1jh5a29f9<>=nn=0;6)<7e;df?k4?l3307dh<:18'6=c=nl1e>5j5a:9jb7<72-83i7hj;o0;`?d<3`l:6=4+29g9b`=i:1n1o65ff183>!4?m3ln7c<7d;f8?lcb290/>5k5fd9m6=b=m21bii4?:%0;a?`b3g83h7h4;hg`>5<#:1o1jh5a29f955=<alh1<7*=8d8ea>h50m0:=65fe`83>!4?m3ln7c<7d;31?>ob13:1(?6j:gg8j7>c28907dk7:18'6=c=nl1e>5j51598m`1=83.94h4ie:l1<a<6=21bi;4?:%0;a?`b3g83h7?9;:kf1?6=,;2n6kk4n3:g>41<3`o86=4+29g9b`=i:1n1=554id094?"50l0mi6`=8e82=>=nm80;6)<7e;df?k4?l3;j76gj0;29 7>b2oo0b?6k:0`8?lba290/>5k5fd9m6=b=9j10eik50;&1<`<am2d94i4>d:9j`a<72-83i7hj;o0;`?7b32coo7>5$3:f>cc<f;2o6<h4;hfa>5<#:1o1jh5a29f965=<amk1<7*=8d8ea>h50m09=65fd983>!4?m3ln7c<7d;01?>oc?3:1(?6j:gg8j7>c2;907dj9:18'6=c=nl1e>5j52598ma3=83.94h4ie:l1<a<5=21bh94?:%0;a?`b3g83h7<9;:kg7?6=,;2n6kk4n3:g>71<3`n96=4+29g9b`=i:1n1>554ie394?"50l0mi6`=8e81=>=nl90;6)<7e;df?k4?l38j76glf;29 7>b2oo0b?6k:3`8?lec290/>5k5fd9m6=b=:j10enm50;&1<`<am2d94i4=d:9jgg<72-83i7hj;o0;`?4b32chm7>5$3:f>cc<f;2o6?h4;ha:>5<#:1o1jh5a29f975=<aj21<7*=8d8ea>h50m08=65fc683>!4?m3ln7c<7d;11?>od>3:1(?6j:gg8j7>c2:907dm::18'6=c=nl1e>5j53598mf2=83.94h4ie:l1<a<4=21b===50;&1<`<am2d94i4<6:9j554=83.94h4ie:l1<a<4?21b==?50;&1<`<am2d94i4<8:9j556=83.94h4ie:l1<a<4121bjl4?:%0;a?`b3g83h7=n;:kfb?6=,;2n6kk4n3:g>6d<3`o?6=4+29g9b`=i:1n1?n54ie;94?"50l0mi6`=8e80`>=nkl0;6)<7e;df?k4?l39n76gl3;29 7>b2oo0b?6k:2d8?l4ei3:1(?6j:3`:?k4?l3:07d<m8;29 7>b2;h27c<7d;38?l4e?3:1(?6j:3`:?k4?l3807d<m6;29 7>b2;h27c<7d;18?l4e=3:1(?6j:3`:?k4?l3>07d<m4;29 7>b2;h27c<7d;78?l4e;3:1(?6j:3`:?k4?l3<07d<m2;29 7>b2;h27c<7d;58?.d0290/>5k5b79m6=b=821 n84?:%0;a?d13g83h7?4;*`7>5<#:1o1n;5a29f96>=,j:0;6)<7e;`5?k4?l3907&l=:18'6=c=j?1e>5j54:9(f4<72-83i7l9;o0;`?3<3"km6=4+29g9f3=i:1n1:65$ad83>!4?m3h=7c<7d;58?.gc290/>5k5b79m6=b=021 mn4?:%0;a?d13g83h774;*ca>5<#:1o1n;5a29f9e>=,ih0;6)<7e;`5?k4?l3h07&o6:18'6=c=j?1e>5j5c:9(e=<72-83i7l9;o0;`?b<3"k<6=4+29g9f3=i:1n1i65$a783>!4?m3h=7c<7d;d8?.g3290/>5k5b79m6=b=9910'l=50;&1<`<e>2d94i4>1:9(e7<72-83i7l9;o0;`?7532!j=7>5$3:f>g0<f;2o6<=4;*c3>5<#:1o1n;5a29f951=<#0l1<7*=8d8a2>h50m0:965$9d83>!4?m3h=7c<7d;35?>->l3:1(?6j:c48j7>c28=07&7l:18'6=c=j?1e>5j51998/<d=83.94h4m6:l1<a<6121 nh4?:%0;a?d13g83h7?n;:)a`?6=,;2n6o84n3:g>4d<3"hh6=4+29g9f3=i:1n1=n54+c`94?"50l0i:6`=8e82`>=,jh0;6)<7e;`5?k4?l3;n76%m9;29 7>b2k<0b?6k:0d8?.d7290/>5k5b79m6=b=:810'l;50;&1<`<e>2d94i4=2:9(=d<72-83i7l9;o0;`?4432c3>7>5;*`;>5<#:1o1n;5a29f965=<j0836=4>:183!4ej33;i6F6279K=5b<g;2j6=44}c;13?6=93:1<v*=bc815==O1;<0D4>k;n023?6=3th8o84?:683>5}#:kh1>:94H805?M?7l2.94446769'67>=?2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a7c?=8391<7>t$3`a>7143A39:6F60e9'6=?=1>=0(?<7:0d1?!4?n338:6g=1`83>>o59k0;66a=8983>>{e;j<1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e<a;;j6=44o3:;>5<<uk9h87>53;294~"5jk09;>5G9348L<6c3-8357787:&16=<4<2c9=l4?::k15g<722e9454?::a<47=8331<7>t$3`a>7ge3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<<a:k1<75f7g83>>o?:3:17dm>:188k7?52900c?7<:188yg>6>3:157>50z&1fg<5ik1C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h5e>5<<a181<75fc083>>i51;0;66a=9283>>{e09l1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>47<a;;j6=44o3:;>5<<uk??>7>54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2.94k46369j64g=831b><l50;9j64e=831d>5650;9~f167290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th8jk4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb2df>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd4nm0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn>hl:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`0bg<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj:lj6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl71683>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo6>2;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn5?;:187>5<7s-8in7<?8:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722c9584?::m1=7<722wi4<750;694?6|,;hi6?>7;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th3==4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<a;3>6=44o3;1>5<<uk2:h7>54;294~"5jk09<l5G9348L<6c3-8357787:&16=<692c<h7>5;ha2>5<<a;k96=44o3;1>5<<uk2;i7>54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zj:=j6=48:183!4ej38j56F6279K=5b<,;226498;%01<?4<a:=1<75f3983>>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?:650;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0<?6=3`926=44i2c94?=n0;0;66gl1;29?j4>:3:17pl<7783>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<<g;396=44}c140?6=?3:1<v*=bc81e<=O1;<0D4>k;%0;=??0?2.9>54=;h14>5<<a:21<75f3883>>o4i3:17d6=:188mf7=831d>4<50;9~f617290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;?o1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th8:n4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<<a:31<75f3`83>>o?:3:17dm>:188k7?52900qo=9a;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb24;>5<0290;w)<mb;0b=>N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a730=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<<a:k1<75f8383>>od93:17b<62;29?xd4>=0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<<uk9=>7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g<a;29?l>52900en?50;9l6<4=831vn>8?:184>5<7s-8in7<n9:J:63=O19n0(?66:854?!450380e>950;9j7=<722c857>5;h1b>5<<a181<75fc083>>i51;0;66sm34g94?1=83:p(?lm:3c:?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`01d<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg5203:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<<aj;1<75`28094?=zj:?=6=48:183!4ej38j56F6279K=5b<,;226498;%01<?4<a:=1<75f3983>>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?8:50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0<?6=3`926=44i2c94?=n0;0;66gl1;29?j4>:3:17pl<5383>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<<g;396=44}c164?6=?3:1<v*=bc81e<=O1;<0D4>k;%0;=??0?2.9>54=;h14>5<<a:21<75f3883>>o4i3:17d6=:188mf7=831d>4<50;9~f62b290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;=i1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th88l4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<<a:31<75f3`83>>o?:3:17dm>:188k7?52900qo=;8;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb267>5<0290;w)<mb;0b=>N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a714=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<<a:k1<75f8383>>od93:17b<62;29?xd4<90;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<<uk98i7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g<a;29?l>52900en?50;9l6<4=831vn>=l:184>5<7s-8in7<n9:J:63=O19n0(?66:854?!450380e>950;9j7=<722c857>5;h1b>5<<a181<75fc083>>i51;0;66sm32c94?1=83:p(?lm:3c:?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`07=<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg54>3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<<aj;1<75`28094?=zj:9?6=48:183!4ej38j56F6279K=5b<,;226498;%01<?4<a:=1<75f3983>>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?><50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0<?6=3`926=44i2c94?=n0;0;66gl1;29?j4>:3:17pl<2d83>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<<g;396=44}c11g?6=?3:1<v*=bc81e<=O1;<0D4>k;%0;=??0?2.9>54=;h14>5<<a:21<75f3883>>o4i3:17d6=:188mf7=831d>4<50;9~f64f290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;;21<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th8>;4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<<a:31<75f3`83>>o?:3:17dm>:188k7?52900qo==4;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb201>5<0290;w)<mb;0b=>N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a776=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<<a:k1<75f8383>>od93:17b<62;29?xd49l0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<<uk9:o7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g<a;29?l>52900en?50;9l6<4=831vn>?7:184>5<7s-8in7<n9:J:63=O19n0(?66:854?!450380e>950;9j7=<722c857>5;h1b>5<<a181<75fc083>>i51;0;66sm30494?1=83:p(?lm:3c:?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`051<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg56:3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<<aj;1<75`28094?=zj:;;6=48:183!4ej38j56F6279K=5b<,;226498;%01<?4<a:=1<75f3983>>o413:17d=n:188m=4=831bo<4?::m1=7<722wi?=k50;594?6|,;hi6?o6;I;12>N>8m1/>5759658 74?2;1b?:4?::k0<?6=3`926=44i2c94?=n0;0;66gl1;29?j4>:3:17pl<0b83>2<729q/>ol52`;8L<413A3;h6*=888:32=#:;21>6g<7;29?l5?2900e>750;9j7d<722c3>7>5;ha2>5<<g;396=44}c13e?6=?3:1<v*=bc81e<=O1;<0D4>k;%0;=??0?2.9>54=;h14>5<<a:21<75f3883>>o4i3:17d6=:188mf7=831d>4<50;9~f66?290<6=4?{%0af?4f12B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i9094?=nk80;66a=9383>>{e;9<1<7950;2x 7de2;k27E7=6:J:4a=#:1315:94$30;>7=n;>0;66g<8;29?l5>2900e>o50;9j<7<722ch=7>5;n0:6?6=3th84?4?:683>5}#:kh1>l74H805?M?7l2.94446769'67>=:2c8;7>5;h1;>5<<a:31<75f3`83>>o?:3:17dm>:188k7?52900qo=70;293?6=8r.9no4=a89K=70<@0:o7)<79;;43>"5:1097d=8:188m6>=831b?44?::k0e?6=3`296=44ib394?=h:081<75rb25f>5<0290;w)<mb;0b=>N>:?1C5=j4$3::><103-8947<4i2594?=n;10;66g<9;29?l5f2900e5<50;9jg4<722e95?4?::a72e=83=1<7>t$3`a>7g>3A39:6F60e9'6=?=1>=0(?<7:39j72<722c847>5;h1:>5<<a:k1<75f8383>>od93:17b<62;29?xd4?;0;6:4?:1y'6gd=:h30D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k;6?6=3`i:6=44o3;1>5<<uk9>o7>57;294~"5jk09m45G9348L<6c3-8357787:&16=<53`9<6=44i2:94?=n;00;66g<a;29?l>52900en?50;9l6<4=831vn>:9:184>5<7s-8in7<n9:J:63=O19n0(?66:854?!450380e>950;9j7=<722c857>5;h1b>5<<a181<75fc083>>i51;0;66sm32294?1=83:p(?lm:3c:?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b4?4?::k`5?6=3f82>7>5;|`05d<72>0;6=u+2c`96d?<@08=7E7?d:&1<<<>?>1/>?652:k03?6=3`936=44i2;94?=n;h0;66g72;29?le62900c?7=:188yg57<3:1;7>50z&1fg<5i01C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h:1>5<<aj;1<75`28094?=zj:=i6=4<:183!4ej38;=6F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>i51;0;66sm36;94?5=83:p(?lm:322?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17b<62;29?xd4?>0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=85;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f61629086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?;h50;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`02a<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<<uk9=n7>53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb24:>5<4290;w)<mb;035>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;?=1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<6483>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg51;3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>8>:180>5<7s-8in7<?1:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722e95?4?::a70`=8391<7>t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th89o4?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<g;396=44}c16=?6=;3:1<v*=bc8144=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<aj;1<75`28094?=zj:?<6=4<:183!4ej38;=6F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>i51;0;66sm34794?5=83:p(?lm:322?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17b<62;29?xd4=:0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=:1;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f62a29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?9j50;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`00g<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<<uk9?57>53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb266>5<4290;w)<mb;035>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;=91<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<4083>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg54n3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>=k:180>5<7s-8in7<?1:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722e95?4?::a76d=8391<7>t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8?44?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<g;396=44}c103?6=;3:1<v*=bc8144=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<aj;1<75`28094?=zj:9>6=4<:183!4ej38;=6F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>i51;0;66sm32194?5=83:p(?lm:322?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17b<62;29?xd4:o0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo==d;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f64e29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi??750;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`062<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<<uk9997>53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb200>5<4290;w)<mb;035>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;;;1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<1g83>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg56l3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>?6:180>5<7s-8in7<?1:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722e95?4?::a741=8391<7>t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8=84?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<g;396=44}c127?6=;3:1<v*=bc8144=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<aj;1<75`28094?=zj:;:6=4<:183!4ej38;=6F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>i51;0;66sm31d94?5=83:p(?lm:322?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17b<62;29?xd48m0;6>4?:1y'6gd=:9;0D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188k7?52900qo=?b;297?6=8r.9no4=009K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831d>4<50;9~f66>29086=4?{%0af?4792B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::m1=7<722wi?=950;194?6|,;hi6?>>;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3f82>7>5;|`0<6<72:0;6=u+2c`9657<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44o3;1>5<<uk93=7>53;294~"5jk09<<5G9348L<6c3-8357787:&16=<63`=o6=44ib394?=h:081<75rb25e>5<4290;w)<mb;035>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66a=9383>>{e;>n1<7=50;2x 7de2;::7E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?j4>:3:17pl<7283>6<729q/>ol52138L<413A3;h6*=888:32=#:;21=6g8d;29?le62900c?7=:188yg52l3:1?7>50z&1fg<5881C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9l6<4=831vn>:8:180>5<7s-8in7<?1:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722e95?4?::a767=8391<7>t$3`a>7663A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;n0:6?6=3th8=o4?:283>5}#:kh1>=?4H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<g;396=44}c131?6=;3:1<v*=bc8144=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<aj;1<75`28094?=zj19;6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c:05?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th3??4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a<65=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn5=;:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg>4=3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h4<f:l1<a<732c8i7>5$3:f>6`<f;2o6<54i2f94?"50l08j6`=8e81?>o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a<60=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=<a:o1<7*=8d80b>h50m0:76g<d;29 7>b2:l0b?6k:398m6e=83.94h4<f:l1<a<432c8n7>5$3:f>6`<f;2o6954}c:03?6=i3:1<v*=bc81ef=O1;<0D4>k;[5`>4}4:3w/>5759658 74?2=1b4?4?::k`5?6=3`=m6=44o3;1>5<<g;3?6=44i5294?"50l08j6`=8e83?>o4m3:1(?6j:2d8j7>c2810e>j50;&1<`<4n2d94i4=;:k0g?6=,;2n6>h4n3:g>6=<a:h1<7*=8d80b>h50m0?76sm82:94??=83:p(?lm:3ca?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b;k4?::k;6?6=3`i:6=44o3;1>5<<g;386=44}c:1b?6=<3:1<v*=bc814d=O1;<0D4>k;%0;=??0?2.9>54>1:k4`?6=3`i:6=44i3c1>5<<g;396=44}c54`?6=;3:1<v*=bc8136=O1;<0D4>k;%0;=??0?2.9>54>c:&1<c<>;=1b><o50;9j64d=831d>5650;9~f22?290>6=4?{%0af?40=2B2>;5G91f8 7>>20=<7)<=8;`8m77f2900e??m:188m77d2900e??k:188k7>?2900qo9;a;291?6=8r.9no4=749K=70<@0:o7)<79;;43>"5:10:j95f20c94?=n:8h1<75f20a94?=n:8n1<75`29:94?=zj>>i6=4::183!4ej38<96F6279K=5b<,;226498;%01<?4f3`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3f8347>5;|`40f<72<0;6=u+2c`9623<@08=7E7?d:&1<<<>?>1/>?651gd8m77f2900e??m:188m77d2900e??k:188k7>?2900qo9;d;291?6=8r.9no4=749K=70<@0:o7)<79;;43>"5:10:ji5f20c94?=n:8h1<75f20a94?=n:8n1<75`29:94?=zj>>n6=4::183!4ej38<96F6279K=5b<,;226498;%01<?c<a;;j6=44i33a>5<<a;;h6=44i33g>5<<g;236=44}c57b?6==3:1<v*=bc8130=O1;<0D4>k;%0;=??0?2.9>54=;h02e?6=3`8:n7>5;h02g?6=3`8:h7>5;n0;<?6=3th<9=4?:483>5}#:kh1>:;4H805?M?7l2.94446769'67>=12c9=l4?::k15g<722c9=n4?::k15a<722e9454?::a307=83?1<7>t$3`a>7123A39:6F60e9'6=?=1>=0(?<7:32f?l46i3:17d<>b;29?l46k3:17d<>d;29?j4?03:17pl85383>0<729q/>ol52678L<413A3;h6*=888:32=#:;21o6g=1`83>>o59k0;66g=1b83>>o59m0;66a=8983>>{e?=31<7;50;2x 7de2;=>7E7=6:J:4a=#:1315:94$30;>d=n:8k1<75f20`94?=n:8i1<75f20f94?=h:121<75rb6cg>5<5290;w)<mb;05g>N>:?1C5=j4$3::><103`8:57>5;n0;<?6=3th<4n4?:283>5}#:kh1>:=4H805?M?7l2.94446769'67>=9j1b><o50;9j64d=831d>5650;9~f21d29086=4?{%0af?40;2B2>;5G91f8 7>>20=<7)<=8;0:?!4?n339j6g=1`83>>o59k0;66a=8983>>{e?hi1<7=50;2x 7de2;=87E7=6:J:4a=#:1315:94$30;>4`53-83j77<4:k15d<722c9=o4?::m1<=<722wi;l;50;794?6|,;hi6?9:;I;12>N>8m1/>5759658 74?28:0(?6i:80e?l46i3:17d<>b;29?l46k3:17d<>d;29?j4?03:17pl87`83>2<729q/>ol52658L<413A3;h6*=298147=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb65a>5<0290;w)<mb;043>N>:?1C5=j4$30;>7653`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`43<<72=0;6=u+2c`962?<@08=7E7?d:k15d<722c9=o4?::k1e7<722e9454?::a3d1=83>1<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<g;396=44}c5b<?6=<3:1<v*=bc8140=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>i51;0;66sm7`494?2=83:p(?lm:32;?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17d<65;29?j4>:3:17pl88283>0<729q/>ol52168L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900e4650;9jg4<722e95?4?::a32c=83>1<7>t$3`a>76?3A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;h0:1?6=3f82>7>5;|`4<7<72<0;6=u+2c`9652<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44i8:94?=nk80;66a=9383>>{e?1;1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg1a<3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a32>=83>1<7>t$3`a>70c3A39:6F60e9'6=?=1>=0(?<7:09j==<722ch=7>5;h023?6=3f82>7>5;|`4e`<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj>km6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl85283>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f230290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th<954?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb67:>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd0=h0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn:;m:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`41f<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj>?o6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl85d83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f23a290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th<994?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb676>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd0=?0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn:9::187>5<7s-8in7<?5:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;n0:6?6=3th<4o4?:583>5}#:kh1>=;4H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75`28094?=zj>2j6=4;:183!4ej38;96F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17b<62;29?xd0k?0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e?j91<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb6ab>5<4290;w)<mb;;11>N>:?1C5=j4$3::><103`2:6=44i34f>5<<g;236=44}c5`3?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th<oh4?:283>5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi;nl50;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f2b529086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg1dn3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d<n2;29?j41n3:17pl8d783>6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm7e194?2=83:p(?lm:35;?M?5>2B2<i5+29;9=21<a1;1<75f11594?=n:h81<75`27d94?=zj>nj6=4<:183!4ej33996F6279K=5b<,;226498;h:2>5<<a;<n6=44o3:;>5<<uk=o;7>54;294~"5jk09;55G9348L<6c3-8357787:k;5?6=3`;;;7>5;h0b6?6=3f8=j7>5;|`4``<72:0;6=u+2c`9=73<@08=7E7?d:&1<<<>?>1b4<4?::k12`<722e9454?::a3ad=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn:k=:180>5<7s-8in77=5:J:63=O19n0(?66:854?l>62900e?8j:188k7>?2900qo9kf;290?6=8r.9no4=799K=70<@0:o7)<79;;43>o?93:17d??7;29?l4f:3:17b<9f;29?xd0m?0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e?l91<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb6g:>5<4290;w)<mb;;11>N>:?1C5=j4$3::><103`2:6=44i34f>5<<g;236=44}c5`1?6=:3:1<v*=bc8137=O1;<0D4>k;%0;=??0?2.9>54>c:k15d<722e9454?::a3f?=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg1dl3:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e?m;1<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e<a;;j6=44o3:;>5<<uk=o97>52;294~"5jk09;?5G9348L<6c3-8357787:&16=<6k2c9=l4?::m1<=<722wi;i750;094?6|,;hi6?9=;I;12>N>8m1/>5759658 74?28i0e??n:188k7>?2900qo9kd;296?6=8r.9no4=739K=70<@0:o7)<79;;43>"5:10:o6g=1`83>>i5010;66sm7d394?4=83:p(?lm:351?M?5>2B2<i5+29;9=21<,;836<m4i33b>5<<g;236=44}c5f1?6=:3:1<v*=bc8137=O1;<0D4>k;%0;=??0?2.9>54>c:k15d<722e9454?::a3`>=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg1d<3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi;n650;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<<g;396=44}c5`g?6==3:1<v*=bc814<=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>o51<0;66a=9383>>{e?m:1<7;50;2x 7de2;:27E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900e?7::188k7?52900qo9k4;291?6=8r.9no4=089K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::k1=0<722e95?4?::a3a>=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<a;3>6=44o3;1>5<<uk=oo7>55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm7d294?3=83:p(?lm:32:?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188m7?22900c?7=:188yg1b<3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi;h950;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<<g;396=44}c503?6=;3:1<v*=bc8136=O1;<0D4>k;%0;=??0?2.9>54=9:k15d<722c9=o4?::m1<=<722wi;>850;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?28l=7d<>a;29?l46j3:17d<>c;29?j4?03:17pl83483>0<729q/>ol52678L<413A3;h6*=888:32=#:;21>=h4$3:e><523`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3f8347>5;|`44a<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44f<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44g<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44d<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44<<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`44=<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`442<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`443<72=0;6=u+2c`965g<@08=7E7?d:&1<<<>?>1/>?65109j3a<722ch=7>5;h0b6?6=3f82>7>5;|`460<72:0;6=u+2c`9625<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831b><l50;9l6=>=831vn:<n:187>5<7s-8in7<?5:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;n0:6?6=3th<>44?:583>5}#:kh1>=;4H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75`28094?=zj>8i6=4::183!4ej38;86F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>o>03:17dm>:188k7?52900qo9=6;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn:<l:186>5<7s-8in7<?4:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722c247>5;ha2>5<<g;396=44}c526?6=;3:1<v*=bc8136=O1;<0D4>k;%0;=??0?2.9>54>c:k15d<722c9=o4?::m1<=<722wi;<750;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<<uk=:47>54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e?8=1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg16>3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a34g=83?1<7>t$3`a>7633A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;h;;>5<<aj;1<75`28094?=zj>;86=4;:183!4ej38;46F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>o51<0;66a=9383>>{e?8h1<7;50;2x 7de2;:?7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?l??2900en?50;9l6<4=831vn896:18b>5<7s-8in7<nc:J:63=O19n0V:m51z11>x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>4?4?:`83>5}#:kh1>lm4H805?M?7l2P<o7?t338~ 7>>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj<2:6=4n:183!4ej38jo6F6279K=5b<R>i1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2<00;6l4?:1y'6gd=:hi0D4<9;I;3`>\0k3;p??4r$3::><103-8947:4i9094?=nk80;66g8f;29?j4>:3:17b<64;29?l27290/>5k53g9m6=b=821b?h4?:%0;a?5a3g83h7?4;h1g>5<#:1o1?k5a29f96>=n;j0;6)<7e;1e?k4?l3907d=m:18'6=c=;o1e>5j54:9~f02?290j6=4?{%0af?4fk2B2>;5G91f8^2e=9r996p*=888:32=#:;2186g72;29?le62900e:h50;9l6<4=831d>4:50;9j05<72-83i7=i;o0;`?6<3`9n6=4+29g97c=i:1n1=65f3e83>!4?m39m7c<7d;08?l5d290/>5k53g9m6=b=;21b?o4?:%0;a?5a3g83h7:4;|`602<72=0;6=u+2c`962?<@08=7E7?d:&1<<<>?>1b><o50;9j64d=831b>l<50;9l6=>=831vn89l:18b>5<7s-8in7<nc:J:63=O19n0V:m51z11>x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>;o4?:`83>5}#:kh1>lm4H805?M?7l2P<o7?t338~ 7>>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj<=j6=4;:183!4ej38<56F6279K=5b<,;226498;h02e?6=3`8:n7>5;h0b6?6=3f8347>5;|`615<72h0;6=u+2c`96de<@08=7E7?d:X4g?7|;;0v(?66:854?!4503>0e5<50;9jg4<722c<j7>5;n0:6?6=3f8287>5;h63>5<#:1o1?k5a29f94>=n;l0;6)<7e;1e?k4?l3;07d=k:18'6=c=;o1e>5j52:9j7f<72-83i7=i;o0;`?5<3`9i6=4+29g97c=i:1n1865rb46e>5<f290;w)<mb;0bg>N>:?1C5=j4Z6a95~552t.94446769'67>=<2c3>7>5;ha2>5<<a>l1<75`28094?=h:0>1<75f4183>!4?m39m7c<7d;28?l5b290/>5k53g9m6=b=921b?i4?:%0;a?5a3g83h7<4;h1`>5<#:1o1?k5a29f97>=n;k0;6)<7e;1e?k4?l3>07pl:4d83>1<729q/>ol526;8L<413A3;h6*=888:32=n:8k1<75f20`94?=n:h81<75`29:94?=zj<<o6=4n:183!4ej38jo6F6279K=5b<R>i1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2>j0;6l4?:1y'6gd=:hi0D4<9;I;3`>\0k3;p??4r$3::><103-8947:4i9094?=nk80;66g8f;29?j4>:3:17b<64;29?l27290/>5k53g9m6=b=821b?h4?:%0;a?5a3g83h7?4;h1g>5<#:1o1?k5a29f96>=n;j0;6)<7e;1e?k4?l3907d=m:18'6=c=;o1e>5j54:9~f00e290j6=4?{%0af?4fk2B2>;5G91f8^2e=9r996p*=888:32=#:;2186g72;29?le62900e:h50;9l6<4=831d>4:50;9j05<72-83i7=i;o0;`?6<3`9n6=4+29g97c=i:1n1=65f3e83>!4?m39m7c<7d;08?l5d290/>5k53g9m6=b=;21b?o4?:%0;a?5a3g83h7:4;|`62d<72h0;6=u+2c`96de<@08=7E7?d:X4g?7|;;0v(?66:854?!4503>0e5<50;9jg4<722c<j7>5;n0:6?6=3f8287>5;h63>5<#:1o1?k5a29f94>=n;l0;6)<7e;1e?k4?l3;07d=k:18'6=c=;o1e>5j52:9j7f<72-83i7=i;o0;`?5<3`9i6=4+29g97c=i:1n1865rb444>5<3290;w)<mb;04=>N>:?1C5=j4$3::><103`8:m7>5;h02f?6=3`8j>7>5;n0;<?6=3th>:54?:583>5}#:kh1>:74H805?M?7l2.94446769j64g=831b><l50;9j6d4=831d>5650;9~f00>290?6=4?{%0af?40i2B2>;5G91f8 7>>20=<7d<>a;29?l46j3:17d<n2;29?j4?03:17pl:5c83>d<729q/>ol52`a8L<413A3;h6T8c;3x77<z,;226498;%01<?2<a181<75fc083>>o0n3:17b<62;29?j4><3:17d:?:18'6=c=;o1e>5j50:9j7`<72-83i7=i;o0;`?7<3`9o6=4+29g97c=i:1n1>65f3b83>!4?m39m7c<7d;18?l5e290/>5k53g9m6=b=<21vn8;n:18b>5<7s-8in7<nc:J:63=O19n0V:m51z11>x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th>944?:`83>5}#:kh1>lm4H805?M?7l2P<o7?t338~ 7>>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj<?36=4n:183!4ej38jo6F6279K=5b<R>i1=v==:|&1<<<>?>1/>?654:k;6?6=3`i:6=44i6d94?=h:081<75`28694?=n<90;6)<7e;1e?k4?l3:07d=j:18'6=c=;o1e>5j51:9j7a<72-83i7=i;o0;`?4<3`9h6=4+29g97c=i:1n1?65f3c83>!4?m39m7c<7d;68?xd2=<0;694?:1y'6gd=:>30D4<9;I;3`>"50002;:5f20c94?=n:8h1<75f2`094?=h:121<75rb475>5<3290;w)<mb;04=>N>:?1C5=j4$3::><103`8:m7>5;h02f?6=3`8j>7>5;n0;<?6=3th>9:4?:583>5}#:kh1>:o4H805?M?7l2.94446769j64g=831b><l50;9j6d4=831d>5650;9~f0>729026=4?{%0af?4fj2B2>;5G91f8 7>>20=<7)<=8;08m61=831b?54?::k0=?6=3`9j6=44i6d94?=n0;0;66gl1;29?j4>:3:17b<63;29?xd2?10;644?:1y'6gd=:hh0D4<9;I;3`>"50002;:5+23:96>o4?3:17d=7:188m6?=831b?l4?::k4b?6=3`296=44ib394?=h:081<75`28194?=zj?oo6=4;:183!4ej38<86F6279K=5b<,;226498;%01<?7c3`8:m7>5;h02f?6=3`8:o7>5;n0;<?6=3th>8;4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<a;3>6=44o3;1>5<<uk?=:7>54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zj<??6=4;:183!4ej38;46F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>o51<0;66a=9383>>{e>ll1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd1ml0;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg33=3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f023290?6=4?{%0af?4702B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::k1=0<722e95?4?::a2`e=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<a;3>6=44o3;1>5<<uk<m=7>55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm6g294?3=83:p(?lm:32:?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188m7?22900c?7=:188yg3e83:187>50z&1fg<5?=1C5?84H82g?!4?133<;6*=2984a>o59h0;66g=1c83>>o59j0;66a=8983>>{e=hn1<7:50;2x 7de2;=?7E7=6:J:4a=#:1315:94$30;>2c<a;;j6=44i33a>5<<a;;h6=44o3:;>5<<uk?jm7>54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2c9=l4?::k15g<722c9=n4?::m1<=<722wi9nl50;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?2>o0e??n:188m77e2900e??l:188k7>?2900qo;l8;290?6=8r.9no4=759K=70<@0:o7)<79;;43>"5:10<i6g=1`83>>o59k0;66g=1b83>>i5010;66sm5b794?2=83:p(?lm:357?M?5>2B2<i5+29;9=21<,;836:k4i33b>5<<a;;i6=44i33`>5<<g;236=44}c7`6?6=<3:1<v*=bc8131=O1;<0D4>k;%0;=??0?2.9>548e:k15d<722c9=o4?::k15f<722e9454?::a1g`=83>1<7>t$3`a>7133A39:6F60e9'6=?=1>=0(?<7:6g8m77f2900e??m:188m77d2900c?67:188yg3ek3:187>50z&1fg<5?=1C5?84H82g?!4?133<;6*=2984a>o59h0;66g=1c83>>o59j0;66a=8983>>{e=k31<7:50;2x 7de2;=?7E7=6:J:4a=#:1315:94$30;>2c<a;;j6=44i33a>5<<a;;h6=44o3:;>5<<uk?i:7>54;294~"5jk09;95G9348L<6c3-8357787:&16=<0m2c9=l4?::k15g<722c9=n4?::m1<=<722wi9o=50;694?6|,;hi6?9;;I;12>N>8m1/>5759658 74?2>o0e??n:188m77e2900e??l:188k7>?2900qo;n7;290?6=8r.9no4=759K=70<@0:o7)<79;;43>"5:10<i6g=1`83>>o59k0;66g=1b83>>i5010;66sm69294?5=83:p(?lm:350?M?5>2B2<i5+29;9=21<,;836>:4$3:e><543`8:m7>5;h02f?6=3f8347>5;|`53c<72:0;6=u+2c`9625<@08=7E7?d:&1<<<>?>1/>?65359'6=`=1:90e??n:188m77e2900c?67:188yg3f=3:147>50z&1fg<5ih1C5?84H82g?!4?133<;6*=2981?l502900e>650;9j7<<722c8m7>5;h5e>5<<a181<75fc083>>i51;0;66sm58`94?2=83:p(?lm:326?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188k7?52900qo;6a;290?6=8r.9no4=049K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::m1=7<722wi94750;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<<uk?247>54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e=0=1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg3>>3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a1d2=83>1<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<g;396=44}c7b7?6=<3:1<v*=bc8140=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>i51;0;66sm5`094?2=83:p(?lm:326?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188k7?52900qo;n1;290?6=8r.9no4=049K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::m1=7<722wi9l>50;694?6|,;hi6?>:;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44o3;1>5<<uk?2j7>54;294~"5jk09<85G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66a=9383>>{e=0o1<7:50;2x 7de2;:>7E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900c?7=:188yg3>l3:187>50z&1fg<58<1C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722e95?4?::a1<e=83>1<7>t$3`a>7623A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<g;396=44}c7:1?6=<3:1<v*=bc8140=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>i51;0;66sm5`d94?2=83:p(?lm:32;?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17d<65;29?j4>:3:17pl:b083>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo;nc;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn8oj:187>5<7s-8in7<?8:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722c9584?::m1=7<722wi9l750;694?6|,;hi6?>7;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th>mo4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<a;3>6=44o3;1>5<<uk?hm7>54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zj<ih6=4;:183!4ej38;46F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>o51<0;66a=9383>>{e=j=1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd2k00;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg3d<3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f0e1290?6=4?{%0af?4702B2>;5G91f8 7>>20=<7)<=8;38m2b=831bo<4?::k1=0<722e95?4?::a1f7=83>1<7>t$3`a>76?3A39:6F60e9'6=?=1>=0(?<7:09j3a<722ch=7>5;h0:1?6=3f82>7>5;|`6g6<72=0;6=u+2c`965><@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`i:6=44i3;6>5<<g;396=44}c7aa?6=<3:1<v*=bc814==O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<aj;1<75f28794?=h:081<75rb4a3>5<3290;w)<mb;03<>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66g=9483>>i51;0;66sm5c`94?2=83:p(?lm:32;?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17d<65;29?j4>:3:17pl:be83>1<729q/>ol521:8L<413A3;h6*=888:32=#:;21=6g8d;29?le62900e?7::188k7?52900qo;m8;290?6=8r.9no4=099K=70<@0:o7)<79;;43>"5:10:7d9k:188mf7=831b>4;50;9l6<4=831vn8ln:187>5<7s-8in7<?8:J:63=O19n0(?66:854?!4503;0e:j50;9jg4<722c9584?::m1=7<722wi9o;50;694?6|,;hi6?>7;I;12>N>8m1/>5759658 74?281b;i4?::k`5?6=3`8297>5;n0:6?6=3th>n:4?:583>5}#:kh1>=64H805?M?7l2.94446769'67>=92c<h7>5;ha2>5<<a;3>6=44o3;1>5<<uk?i>7>54;294~"5jk09<55G9348L<6c3-8357787:&16=<63`=o6=44ib394?=n:0?1<75`28094?=zj<h?6=4;:183!4ej38;46F6279K=5b<,;226498;%01<?7<a>n1<75fc083>>o51<0;66a=9383>>{e=h<1<7:50;2x 7de2;:37E7=6:J:4a=#:1315:94$30;>4=n?m0;66gl1;29?l4>=3:17b<62;29?xd2i10;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg03:3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd1;o0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm64394?5=83:p(?lm:806?M?5>2B2<i5+29;9=21<a1;1<75f27g94?=h:121<75rb76f>5<3290;w)<mb;04<>N>:?1C5=j4$3::><103`2:6=44i024>5<<a;k96=44o34e>5<<uk<>97>53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;<?6=3th=9?4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a20?=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f331290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo8:d;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl95`83>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e>?;1<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj??n6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c451?6=;3:1<v*=bc8:60=O1;<0D4>k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`527<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi:;750;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn;89:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg01l3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd1>h0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm66394?5=83:p(?lm:806?M?5>2B2<i5+29;9=21<a1;1<75f27g94?=h:121<75rb74f>5<3290;w)<mb;04<>N>:?1C5=j4$3::><103`2:6=44i024>5<<a;k96=44o34e>5<<uk<?:7>53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;<?6=3th=8>4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a21g=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f320290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo8;d;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl94083>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb773>5<5290;w)<mb;046>N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`511<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f33?29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd1=j0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj?<;6=4=:183!4ej38<>6F6279K=5b<,;226498;%01<?7d3`8:m7>5;n0;<?6=3th=:94?:383>5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b><o50;9l6=>=831vn;87:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl96b83>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb753>5<5290;w)<mb;046>N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`500<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f32>29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd1<j0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj?>;6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl94g83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f334290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th=9:4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb77a>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd1=o0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn;8<:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`522<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj?<i6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl96g83>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f323290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th=854?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb76a>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd2m00;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e=l<1<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb4d;>5<4290;w)<mb;;11>N>:?1C5=j4$3::><103`2:6=44i34f>5<<g;236=44}c7e1?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th>jn4?:283>5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi9k750;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f36729086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg3al3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d<n2;29?j41n3:17pl90583>6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm61394?2=83:p(?lm:35;?M?5>2B2<i5+29;9=21<a1;1<75f11594?=n:h81<75`27d94?=zj?:36=4<:183!4ej33996F6279K=5b<,;226498;h:2>5<<a;<n6=44o3:;>5<<uk<;97>54;294~"5jk09;55G9348L<6c3-8357787:k;5?6=3`;;;7>5;h0b6?6=3f8=j7>5;|`54f<72:0;6=u+2c`9=73<@08=7E7?d:&1<<<>?>1b4<4?::k12`<722e9454?::a25?=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;??:180>5<7s-8in77=5:J:63=O19n0(?66:854?l>62900e?8j:188k7>?2900qo8?d;290?6=8r.9no4=799K=70<@0:o7)<79;;43>o?93:17d??7;29?l4f:3:17b<9f;29?xd19=0;6>4?:1y'6gd=1;?0D4<9;I;3`>"50002;:5f8083>>o5>l0;66a=8983>>{e>8;1<7:50;2x 7de2;=37E7=6:J:4a=#:1315:94i9394?=n99=1<75f2`094?=h:?l1<75rb73;>5<4290;w)<mb;;11>N>:?1C5=j4$3::><103`2:6=44i34f>5<<g;236=44}c421?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th>ii4?:283>5}#:kh15?;4H805?M?7l2.94446769j<4<722c9:h4?::m1<=<722wi9ho50;694?6|,;hi6?97;I;12>N>8m1/>5759658m=7=831b==950;9j6d4=831d>;h50;9~f0`629086=4?{%0af??5=2B2>;5G91f8 7>>20=<7d6>:188m70b2900c?67:188yg3bm3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d<n2;29?j41n3:17pl:f583>6<729q/>ol59378L<413A3;h6*=888:32=n080;66g=6d83>>i5010;66sm5d:94?4=83:p(?lm:351?M?5>2B2<i5+29;9=21<,;836<m4i33b>5<<g;236=44}c7e3?6=:3:1<v*=bc8137=O1;<0D4>k;%0;=??0?2.9>54>c:k15d<722e9454?::a1cd=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg3an3:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e>991<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e<a;;j6=44o3:;>5<<uk<;;7>52;294~"5jk09;?5G9348L<6c3-8357787:&16=<6k2c9=l4?::m1<=<722wi:=l50;094?6|,;hi6?9=;I;12>N>8m1/>5759658 74?28i0e??n:188k7>?2900qo8?f;296?6=8r.9no4=739K=70<@0:o7)<79;;43>"5:10:o6g=1`83>>i5010;66sm60194?4=83:p(?lm:351?M?5>2B2<i5+29;9=21<,;836<m4i33b>5<<g;236=44}c423?6=:3:1<v*=bc8137=O1;<0D4>k;%0;=??0?2.9>54>c:k15d<722e9454?::a1`e=8381<7>t$3`a>7153A39:6F60e9'6=?=1>=0(?<7:0a8m77f2900c?67:188yg3a83:1>7>50z&1fg<5?;1C5?84H82g?!4?133<;6*=2982g>o59h0;66a=8983>>{e=o91<7<50;2x 7de2;=97E7=6:J:4a=#:1315:94$30;>4e<a;;j6=44o3:;>5<<uk?n;7>55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm5g494?3=83:p(?lm:32:?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188m7?22900c?7=:188yg3ai3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi9kk50;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<<g;396=44}c436?6==3:1<v*=bc814<=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>o51<0;66a=9383>>{e>9<1<7;50;2x 7de2;:27E7=6:J:4a=#:1315:94$30;>4=n?m0;66g8f;29?le62900e?7::188k7?52900qo8?a;291?6=8r.9no4=089K=70<@0:o7)<79;;43>"5:10:7d9k:188m2`=831bo<4?::k1=0<722e95?4?::a25c=83?1<7>t$3`a>76>3A39:6F60e9'6=?=1>=0(?<7:09j3a<722c<j7>5;ha2>5<<a;3>6=44o3;1>5<<uk<:>7>55;294~"5jk09<45G9348L<6c3-8357787:&16=<63`=o6=44i6d94?=nk80;66g=9483>>i51;0;66sm60494?3=83:p(?lm:32:?M?5>2B2<i5+29;9=21<,;836<5f7e83>>o0n3:17dm>:188m7?22900c?7=:188yg3bj3:197>50z&1fg<5801C5?84H82g?!4?133<;6*=2982?l1c2900e:h50;9jg4<722c9584?::m1=7<722wi9hh50;794?6|,;hi6?>6;I;12>N>8m1/>5759658 74?281b;i4?::k4b?6=3`i:6=44i3;6>5<<g;396=44}c7e6?6==3:1<v*=bc814<=O1;<0D4>k;%0;=??0?2.9>54>;h5g>5<<a>l1<75fc083>>o51<0;66a=9383>>{e<h21<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj=k>6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c6a3?6=;3:1<v*=bc8:60=O1;<0D4>k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`7f1<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi8ol50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn9l7:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg2en3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd3jj0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm4b194?5=83:p(?lm:806?M?5>2B2<i5+29;9=21<a1;1<75f27g94?=h:121<75rb5a3>5<3290;w)<mb;04<>N>:?1C5=j4$3::><103`2:6=44i024>5<<a;k96=44o34e>5<<uk>h;7>53;294~"5jk02>85G9348L<6c3-8357787:k;5?6=3`8=i7>5;n0;<?6=3th?o94?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a0fd=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f1e?290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo:lf;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl;cb83>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e<m91<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj=n;6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c6g3?6=;3:1<v*=bc8:60=O1;<0D4>k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`7`1<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi8lm50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn9o6:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg2e83:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd3im0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm4c194?5=83:p(?lm:806?M?5>2B2<i5+29;9=21<a1;1<75f27g94?=h:121<75rb5c4>5<5290;w)<mb;046>N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`7f3<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f1df29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd3jl0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj=i96=4=:183!4ej38<>6F6279K=5b<,;226498;%01<?7d3`8:m7>5;n0;<?6=3th?o;4?:383>5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b><o50;9l6=>=831vn9mn:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl;cd83>7<729q/>ol52608L<413A3;h6*=888:32=#:;21=n5f20c94?=h:121<75rb5f1>5<5290;w)<mb;046>N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`7`3<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f1ge29096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd3io0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj=h96=4=:183!4ej38<>6F6279K=5b<,;226498;%01<?7d3`8:m7>5;n0;<?6=3th?m;4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb5`6>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd3j00;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn9lk:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`7g4<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj=i>6=4::183!4ej38;56F6279K=5b<,;226498;%01<?7<a>n1<75f7g83>>od93:17d<65;29?j4>:3:17pl;c883>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f1ec290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th?h<4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb5f6>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd3ih0;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn9oj:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`7f4<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj?k26=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c4be?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th=mo4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2de=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;ok:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0fm3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h4<f:l1<a<732c8i7>5$3:f>6`<f;2o6<54i2f94?"50l08j6`=8e81?>o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a2d`=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=<a:o1<7*=8d80b>h50m0:76g<d;29 7>b2:l0b?6k:398m6e=83.94h4<f:l1<a<432c8n7>5$3:f>6`<f;2o6954}c4a4?6=i3:1<v*=bc81ef=O1;<0D4>k;[5`>4}4:3w/>5759658 74?2=1b4?4?::k`5?6=3`=m6=44o3;1>5<<g;3?6=44i5294?"50l08j6`=8e83?>o4m3:1(?6j:2d8j7>c2810e>j50;&1<`<4n2d94i4=;:k0g?6=,;2n6>h4n3:g>6=<a:h1<7*=8d80b>h50m0?76sm6c394??=83:p(?lm:3ca?M?5>2B2<i5+29;9=21<,;836?5f3683>>o403:17d=6:188m6g=831b;k4?::k;6?6=3`i:6=44o3;1>5<<g;386=44}c4:1?6=<3:1<v*=bc813==O1;<0D4>k;%0;=??0?2c3=7>5;h333?6=3`8j>7>5;n05b?6=3th=5;4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2<1=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;77:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0>13:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d<n2;29?j41n3:17pl99`83>d<729q/>ol52`a8L<413A3;h6T8c;3x77<z,;226498;%01<?2<a181<75fc083>>o0n3:17b<62;29?j4><3:17d:?:18'6=c=;o1e>5j50:9j7`<72-83i7=i;o0;`?7<3`9o6=4+29g97c=i:1n1>65f3b83>!4?m39m7c<7d;18?l5e290/>5k53g9m6=b=<21vn;7m:18b>5<7s-8in7<nc:J:63=O19n0V:m51z11>x"50002;:5+23:90>o?:3:17dm>:188m2`=831d>4<50;9l6<2=831b8=4?:%0;a?5a3g83h7>4;h1f>5<#:1o1?k5a29f95>=n;m0;6)<7e;1e?k4?l3807d=l:18'6=c=;o1e>5j53:9j7g<72-83i7=i;o0;`?2<3th=5n4?:`83>5}#:kh1>lm4H805?M?7l2P<o7?t338~ 7>>20=<7)<=8;68m=4=831bo<4?::k4b?6=3f82>7>5;n0:0?6=3`>;6=4+29g97c=i:1n1<65f3d83>!4?m39m7c<7d;38?l5c290/>5k53g9m6=b=:21b?n4?:%0;a?5a3g83h7=4;h1a>5<#:1o1?k5a29f90>=zj?3o6=46:183!4ej38jn6F6279K=5b<,;226498;%01<?4<a:=1<75f3983>>o413:17d=n:188m2`=831b4?4?::k`5?6=3f82>7>5;n0:7?6=3th=4<4?:583>5}#:kh1>:64H805?M?7l2.94446769j<4<722c:<:4?::k1e7<722e9:k4?::a2=4=83>1<7>t$3`a>71?3A39:6F60e9'6=?=1>=0e5?50;9j551=831b>l<50;9l63`=831vn;6<:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg0?<3:187>50z&1fg<5?11C5?84H82g?!4?133<;6g71;29?l77?3:17d<n2;29?j41n3:17pl98483>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e>1<1<7o50;2x 7de2;kh7E7=6:J:4a=]?j0:w><5}%0;=??0?2.9>54;;h:1>5<<aj;1<75f7g83>>i51;0;66a=9583>>o383:1(?6j:2d8j7>c2910e>k50;&1<`<4n2d94i4>;:k0`?6=,;2n6>h4n3:g>7=<a:i1<7*=8d80b>h50m0876g<b;29 7>b2:l0b?6k:598yg0??3:1m7>50z&1fg<5ij1C5?84H82g?_1d28q8>7s+29;9=21<,;83695f8383>>od93:17d9i:188k7?52900c?7;:188m16=83.94h4<f:l1<a<732c8i7>5$3:f>6`<f;2o6<54i2f94?"50l08j6`=8e81?>o4k3:1(?6j:2d8j7>c2:10e>l50;&1<`<4n2d94i4;;:a2=>=83k1<7>t$3`a>7gd3A39:6F60e9Y3f<6s:81q)<79;;43>"5:10?7d6=:188mf7=831b;k4?::m1=7<722e9594?::k74?6=,;2n6>h4n3:g>5=<a:o1<7*=8d80b>h50m0:76g<d;29 7>b2:l0b?6k:398m6e=83.94h4<f:l1<a<432c8n7>5$3:f>6`<f;2o6954}c4;=?6=13:1<v*=bc81eg=O1;<0D4>k;%0;=??0?2.9>54=;h14>5<<a:21<75f3883>>o4i3:17d9i:188m=4=831bo<4?::m1=7<722e95>4?::a7ag=8391<7>t$3`a><423A39:6F60e9'6=?=1>=0e5?50;9j63c=831d>5650;9~f6b0290?6=4?{%0af?4002B2>;5G91f8 7>>20=<7d6>:188m4602900e?o=:188k70a2900qo=ke;297?6=8r.9no46249K=70<@0:o7)<79;;43>o?93:17d<9e;29?j4?03:17pl<dc83>1<729q/>ol526:8L<413A3;h6*=888:32=n080;66g>0683>>o5i;0;66a=6g83>>{e;l81<7=50;2x 7de208>7E7=6:J:4a=#:1315:94i9394?=n:?o1<75`29:94?=zj:nm6=4;:183!4ej38<46F6279K=5b<,;226498;h:2>5<<a8:<6=44i3c1>5<<g;<m6=44}c1f2?6=;3:1<v*=bc8:60=O1;<0D4>k;%0;=??0?2c3=7>5;h05a?6=3f8347>5;|`0a6<72=0;6=u+2c`962><@08=7E7?d:&1<<<>?>1b4<4?::k242<722c9m?4?::m12c<722wi?ho50;194?6|,;hi64<:;I;12>N>8m1/>5759658m=7=831b>;k50;9l6=>=831vn>k8:187>5<7s-8in7<88:J:63=O19n0(?66:854?l>62900e<>8:188m7g52900c?8i:188yg5bm3:1?7>50z&1fg<>:<1C5?84H82g?!4?133<;6g71;29?l41m3:17b<78;29?xd4mk0;694?:1y'6gd=:>20D4<9;I;3`>"50002;:5f8083>>o68>0;66g=a383>>i5>o0;66sm3g394?5=83:p(?lm:806?M?5>2B2<i5+29;9=21<a1;1<75f27g94?=h:121<75rb2f:>5<5290;w)<mb;046>N>:?1C5=j4$3::><103-8947?l;h02e?6=3f8347>5;|`0`a<72;0;6=u+2c`9624<@08=7E7?d:&1<<<>?>1/>?651b9j64g=831d>5650;9~f6c629096=4?{%0af?40:2B2>;5G91f8 7>>20=<7)<=8;3`?l46i3:17b<78;29?xd4m<0;6?4?:1y'6gd=:>80D4<9;I;3`>"50002;:5+23:95f=n:8k1<75`29:94?=zj:o26=4=:183!4ej38<>6F6279K=5b<,;226498;%01<?7d3`8:m7>5;n0;<?6=3th8ii4?:383>5}#:kh1>:<4H805?M?7l2.94446769'67>=9j1b><o50;9l6=>=831vn>h?:181>5<7s-8in7<82:J:63=O19n0(?66:854?!4503;h7d<>a;29?j4?03:17pl<d983>0<729q/>ol521;8L<413A3;h6*=888:32=#:;21=6g8d;29?l1a2900en?50;9j6<3=831d>4<50;9~f6bd290>6=4?{%0af?4712B2>;5G91f8 7>>20=<7)<=8;38m2b=831b;k4?::k`5?6=3`8297>5;n0:6?6=3th8i=4?:483>5}#:kh1>=74H805?M?7l2.94446769'67>=92c<h7>5;h5e>5<<aj;1<75f28794?=h:081<75rb2g7>5<2290;w)<mb;03=>N>:?1C5=j4$3::><103-8947?4i6f94?=n?o0;66gl1;29?l4>=3:17b<62;29?xd4m10;684?:1y'6gd=:930D4<9;I;3`>"50002;:5+23:95>o0l3:17d9i:188mf7=831b>4;50;9l6<4=831vn>kl:186>5<7s-8in7<?9:J:63=O19n0(?66:854?!4503;0e:j50;9j3c<722ch=7>5;h0:1?6=3f82>7>5;|`0ac<72<0;6=u+2c`965?<@08=7E7?d:&1<<<>?>1/>?651:k4`?6=3`=m6=44ib394?=n:0?1<75`28094?=zj=2i6=4;:183!4ej38<86F6279K=5b<,;836<<4$3:e><573`8:m7>5;h02f?6=3`8:o7>5;n0;<?6=3th<:=4?:583>5}#:kh1>::4H805?M?7l2.9>54>f29j64g=831b><l50;9j64e=831d>5650;9~f103290<6=4?{%0af?40?2B2>;5G91f8 74?211b><o50;9j64d=831b><m50;9j64b=831b><k50;9j64`=831d>5650;9~f10a290?6=4?{%0af?40<2B2>;5G91f8 74?2o1/>5h59248m77f2900e??m:188m77d2900c?67:188yg2??3:1;7>50z&1fg<5?>1C5?84H82g?!45039=7d<>a;29?l46j3:17d<>c;29?l46l3:17d<>e;29?l46n3:17b<78;29?xd3010;6:4?:1y'6gd=:>=0D4<9;I;3`>"5:108=6g=1`83>>o59k0;66g=1b83>>o59m0;66g=1d83>>o59o0;66a=8983>>{e<131<7:50;2x 7de2;=?7E7=6:J:4a=#:;21j6*=8g8:74=n:8k1<75f20`94?=n:8i1<75`29:94?=zj=<>6=49:183!4ej38<:6F6279K=5b<,;836???;h02e?6=3`8:n7>5;h02g?6=3`8:h7>5;h02a?6=3f8347>5;|`723<72>0;6=u+2c`9621<@08=7E7?d:&16=<4>2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a031=83=1<7>t$3`a>7103A39:6F60e9'67>=;81b><o50;9j64d=831b><m50;9j64b=831b><k50;9j64`=831d>5650;9~f10?290?6=4?{%0af?40<2B2>;5G91f8 74?2o1/>5h59238m77f2900e??m:188m77d2900c?67:188yg2113:1:7>50z&1fg<5??1C5?84H82g?!45038:<6g=1`83>>o59k0;66g=1b83>>o59m0;66g=1d83>>i5010;66sm47c94?1=83:p(?lm:354?M?5>2B2<i5+23:973=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb54a>5<0290;w)<mb;043>N>:?1C5=j4$30;>67<a;;j6=44i33a>5<<a;;h6=44i33g>5<<a;;n6=44i33e>5<<g;236=44}c65g?6=<3:1<v*=bc8131=O1;<0D4>k;%01<?`<,;2m64=?;h02e?6=3`8:n7>5;h02g?6=3f8347>5;|`72a<72?0;6=u+2c`9620<@08=7E7?d:&16=<5991b><o50;9j64d=831b><m50;9j64b=831b><k50;9l6=>=831vn98j:184>5<7s-8in7<87:J:63=O19n0(?<7:321?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl;7183>2<729q/>ol52658L<413A3;h6*=29802>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm46394?1=83:p(?lm:354?M?5>2B2<i5+23:974=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb551>5<3290;w)<mb;040>N>:?1C5=j4$30;>c=#:1l15><4i33b>5<<a;;i6=44i33`>5<<g;236=44}c647?6=>3:1<v*=bc8133=O1;<0D4>k;%01<?4682c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::m1<=<722wi8:650;594?6|,;hi6?98;I;12>N>8m1/>?652048m77f2900e??m:188m77d2900e??k:188m77b2900e??i:188k7>?2900qo:89;293?6=8r.9no4=769K=70<@0:o7)<=8;15?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl;7`83>2<729q/>ol52658L<413A3;h6*=29805>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm46`94?2=83:p(?lm:357?M?5>2B2<i5+23:9b>"50o02??5f20c94?=n:8h1<75f20a94?=h:121<75rb55`>5<1290;w)<mb;042>N>:?1C5=j4$30;>7773`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;n0;<?6=3th?4<4?:683>5}#:kh1>:94H805?M?7l2.9>54>f49j64g=831b><l50;9j64e=831b><j50;9j64c=831b><h50;9l6=>=831vn96=:184>5<7s-8in7<87:J:63=O19n0(?<7:330?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl89383>2<729q/>ol52658L<413A3;h6*=29814a=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb6;`>5<4290;w)<mb;047>N>:?1C5=j4$30;>47<a;;j6=44i33a>5<<g;236=44}c5:`?6=?3:1<v*=bc8132=O1;<0D4>k;%01<?7am2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a3d4=83<1<7>t$3`a>7113A39:6F60e9'67>=k;1b><o50;9j64d=831b><m50;9j64b=831b><k50;9l6=>=831vn:o<:184>5<7s-8in7<87:J:63=O19n0(?<7:336?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl8a583>2<729q/>ol52658L<413A3;h6*=298g?l46i3:17d<>b;29?l46k3:17d<>d;29?l46m3:17d<>f;29?j4?03:17pl89683>2<729q/>ol52658L<413A3;h6*=298151=n:8k1<75f20`94?=n:8i1<75f20f94?=n:8o1<75f20d94?=h:121<75rb6;;>5<2290;w)<mb;041>N>:?1C5=j4$30;>45<a;;j6=44i33a>5<<a;;h6=44i33g>5<<g;236=44}c5:=?6=?3:1<v*=bc8132=O1;<0D4>k;%01<?47:2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a3<g=83=1<7>t$3`a>7103A39:6F60e9'67>=99<0e??n:188m77e2900e??l:188m77c2900e??j:188m77a2900c?67:188yg1>j3:1;7>50z&1fg<5?>1C5?84H82g?!4503=i7d<>a;29?l46j3:17d<>c;29?l46l3:17d<>e;29?l46n3:17b<78;29?xd00m0;694?:1y'6gd=:920D4<9;I;3`>"50002;:5+23:95>o0l3:17dm>:188m7?22900c?7=:188yg1?n3:187>50z&1fg<5811C5?84H82g?!4?133<;6*=2982?l1c2900en?50;9j6<3=831d>4<50;9~f2?729086=4?{%0af?40;2B2>;5G91f8 74?28l97)<7f;;01>o59h0;66g=1c83>>i5010;66sm7g794?2=83:p(?lm:32;?M?5>2B2<i5+29;9=21<,;836<5f7e83>>od93:17d<65;29?j4>:3:17pl8f783>6<729q/>ol52618L<413A3;h6*=2982b7=n:8k1<75f20`94?=h:121<75rb650>5<3290;w)<mb;03<>N>:?1C5=j4$3::><103-8947?4i6f94?=nk80;66g=9483>>i51;0;66sm76694?5=83:p(?lm:350?M?5>2B2<i5+23:95c4<,;2m64=8;h02e?6=3`8:n7>5;n0;<?6=3th<m=4?:783>5}#:kh1>:84H805?M?7l2.9>54=079j64g=831b><l50;9j64e=831b><j50;9j64c=831d>5650;9~f2g6290>6=4?{%0af?40=2B2>;5G91f8 74?2;:i7d<>a;29?l46j3:17d<>c;29?l46l3:17b<78;29?xd01o0;6:4?:1y'6gd=:>=0D4<9;I;3`>"5:109=?5f20c94?=n:8h1<75f20a94?=n:8n1<75f20g94?=n:8l1<75`29:94?=zj1?>6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj::86=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb221>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj:::6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb223>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;lm6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3df>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;lo6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3d`>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l<6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3d5>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l>6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3d7>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l96=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3d2>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l;6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3ge>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;on6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3gg>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;oh6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3ga>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;oj6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g:>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;o<6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g5>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;o>6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g7>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;o86=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g1>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;o:6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g3>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;nm6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3ff>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;nh6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3fa>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;nj6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f:>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;n36=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f4>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;n=6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f6>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;n?6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f0>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;n:6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f3>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;im6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3af>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;io6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3a`>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;ii6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3ab>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i26=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3a;>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i=6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3a6>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i?6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3a0>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i96=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3a2>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i;6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3`e>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;hn6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3`g>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;li6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3db>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l26=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3d;>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;l86=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3g;>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;no6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3f1>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj;i<6=4<:183!4ej38;=6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=h:081<75rb3``>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj18<6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj1836=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj1826=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18j6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18i6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18h6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18o6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18n6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj1;m6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18;6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18:6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj1896=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj1886=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18?6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18>6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj18=6=4;:183!4ej38;;6F6279K=5b<,;836<?4$3::><103`=o6=44ib394?=n:0:1<75`28094?=zj>=m6=4;:183!4ej38;?6F6279K=5b<,;836<5+29;9=21<a>n1<75f9983>>od93:17b<62;29?xd0090;694?:1y'6gd=:990D4<9;I;3`>"5:10:7)<79;;43>o0l3:17d77:188mf7=831d>4<50;9~f210290?6=4?{%0af?47?2B2>;5G91f8 74?28;0(?66:854?l1c2900en?50;9j6<6=831d>4<50;9~f211290?6=4?{%0af?47;2B2>;5G91f8 74?281/>5759658m2b=831b554?::k`5?6=3f82>7>5;|`4eg<72=0;6=u+2c`9655<@08=7E7?d:&16=<63-8357787:k4`?6=3`336=44ib394?=h:081<75rb617>5<4290;w)<mb;035>N>:?1C5=j4$30;>47<,;226498;h5g>5<<aj;1<75`28094?=zj>836=4;:183!4ej38;?6F6279K=5b<,;836<5+29;9=21<a>n1<75f9983>>od93:17b<62;29?xd0:>0;694?:1y'6gd=:990D4<9;I;3`>"5:10:7)<79;;43>o0l3:17d77:188mf7=831d>4<50;9~f272290?6=4?{%0af?47;2B2>;5G91f8 74?281/>5759658m2b=831b554?::k`5?6=3f82>7>5;|`451<72=0;6=u+2c`9655<@08=7E7?d:&16=<63-8357787:k4`?6=3`336=44ib394?=h:081<75rb5:7>5<3290;w)<mb;04=>N>:?1C5=j4i33b>5<<a;;i6=44i3c1>5<<g;236=44}c6;1?6=?3:1<v*=bc8132=O1;<0D4>k;%01<?47:2c9=l4?::k15g<722c9=n4?::k15a<722c9=h4?::k15c<722e9454?::a0=0=83=1<7>t$3`a>7103A39:6F60e9'67>=:980e??n:188m77e2900e??l:188m77c2900e??j:188m77a2900c?67:188yg20=3:187>50z&1fg<5?01C5?84H82g?l46i3:17d<>b;29?l4f:3:17b<78;29?xd3??0;6;4?:1y'6gd=:><0D4<9;I;3`>"5:109<n5f20c94?=n:8h1<75f20a94?=n:8n1<75f20g94?=h:121<75rb554>5<0290;w)<mb;043>N>:?1C5=j4$30;>7653`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`73`<72=0;6=u+2c`962?<@08=7E7?d:k15d<722c9=o4?::k1e7<722e9454?::a02`=83<1<7>t$3`a>7113A39:6F60e9'67>=:9i0e??n:188m77e2900e??l:188m77c2900e??j:188k7>?2900qo:70;293?6=8r.9no4=769K=70<@0:o7)<=8;036>o59h0;66g=1c83>>o59j0;66g=1e83>>o59l0;66g=1g83>>i5010;66sm78694?2=83:p(?lm:35:?M?5>2B2<i5f20c94?=n:8h1<75f2`094?=h:121<75rb6;6>5<0290;w)<mb;043>N>:?1C5=j4$30;>7763`8:m7>5;h02f?6=3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f8347>5;|`4=3<72?0;6=u+2c`9620<@08=7E7?d:&16=<58?1b><o50;9j64d=831b><m50;9j64b=831b><k50;9l6=>=831vn98?:185a?6=8r.9no4=969K=70<@0:o7W9l:by20?722;81>>4>f;3f>76=:80:m7?6:0:95g<zf8:?6<5a11795>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&12<<43-8=m7=4$34a>7>03-8247<m1:&1=c<5j91/>l>57g9'6d7=?o1/>l;59028 7gc2:1/>lk53:&:67<>:81/5?=59338m7?b2900e<hn:188m7?>2900e<h7:188m=c=831b5<4?::k1=g<722c95n4?::k:5=<72-83i77>7:l1<a<732c2=;4?:%0;a??6?2d94i4>;:m2e1<72-83i7?n3:l1<a<732e:m?4?:%0;a?7f;2d94i4>;:m2e4<72-83i7?n3:l1<a<532e:m=4?:%0;a?7f;2d94i4<;:m2=c<72-83i7?n3:l1<a<332e:5h4?:%0;a?7f;2d94i4:;:m2ea<72-83i7?n3:l1<a<132e:mn4?:%0;a?7f;2d94i48;:m2eg<72-83i7?n3:l1<a<?32e:ml4?:%0;a?7f;2d94i46;:m2e<<72-83i7?n3:l1<a<f32e:m54?:%0;a?7f;2d94i4m;:m2e2<72-83i7?n3:l1<a<d32e:m;4?:%0;a?7f;2d94i4k;:m2e0<72-83i7?n3:l1<a<b32e:5i4?:%0;a?7f;2d94i4i;:m2a<<72-83i7?j8:l1<a<732e:i:4?:%0;a?7b02d94i4>;:m2b4<72-83i7?i0:l1<a<732e:ik4?:%0;a?7a82d94i4>;:k:65<72-83i77>f:l1<a<732c2=h4?:%0;a??6n2d94i4>;:k60?6=,;2n68=4n3:g>5=<a<81<7*=8d867>h50m0:76g:1;29 7>b2<90b?6k:398m0b=83.94h4:3:l1<a<432c>o7>5$3:f>05<f;2o6954i4`94?"50l0>?6`=8e86?>o2i3:1(?6j:418j7>c2?10e8750;&1<`<2;2d94i48;:k6<?6=,;2n68=4n3:g>==<a<=1<7*=8d867>h50m0276g:6;29 7>b2<90b?6k:`98m03=83.94h4:3:l1<a<e32c><7>5$3:f>05<f;2o6n54i05a>5<#:1o1=:o4n3:g>5=<a8=26=4+29g952g<f;2o6<54i041>5<#:1o1=;?4n3:g>5=<a8<;6=4+29g9537<f;2o6<54i07e>5<#:1o1=;?4n3:g>7=<a8?n6=4+29g9537<f;2o6>54i07g>5<#:1o1=;?4n3:g>1=<a8?h6=4+29g9537<f;2o6854i04a>5<#:1o1=;?4n3:g>3=<a8<j6=4+29g9537<f;2o6:54i04:>5<#:1o1=;?4n3:g>==<a8<36=4+29g9537<f;2o6454i044>5<#:1o1=;?4n3:g>d=<a8<=6=4+29g9537<f;2o6o54i046>5<#:1o1=;?4n3:g>f=<a8<?6=4+29g9537<f;2o6i54i040>5<#:1o1=;?4n3:g>`=<a8?i6=4+29g9537<f;2o6k54i013>5<#:1o1=?h4n3:g>5=<a88n6=4+29g957`<f;2o6<54i00g>5<#:1o1=?h4n3:g>7=<a88h6=4+29g957`<f;2o6>54i00a>5<#:1o1=?h4n3:g>1=<a88j6=4+29g957`<f;2o6854i01:>5<#:1o1=?h4n3:g>3=<a8936=4+29g957`<f;2o6:54i014>5<#:1o1=?h4n3:g>==<a89=6=4+29g957`<f;2o6454i016>5<#:1o1=?h4n3:g>d=<a89?6=4+29g957`<f;2o6o54i010>5<#:1o1=?h4n3:g>f=<a8996=4+29g957`<f;2o6i54i012>5<#:1o1=?h4n3:g>`=<a8826=4+29g957`<f;2o6k54i6394?"50l0<<6`=8e83?>o1n3:1(?6j:628j7>c2810e;k50;&1<`<082d94i4=;:k4e?6=,;2n6:>4n3:g>6=<a>31<7*=8d844>h50m0?76g88;29 7>b2>:0b?6k:498m21=83.94h480:l1<a<132c<:7>5$3:f>26<f;2o6:54i6794?"50l0<<6`=8e8;?>o0<3:1(?6j:628j7>c2010e:=50;&1<`<082d94i4n;:k46?6=,;2n6:>4n3:g>g=<a?n1<7*=8d844>h50m0h76a>d683>!4?m3;o:6`=8e83?>i6l<0;6)<7e;3g2>h50m0:76a>d583>!4?m3;o:6`=8e81?>i6l:0;6)<7e;3g2>h50m0876a>d383>!4?m3;o:6`=8e87?>i6l80;6)<7e;3g2>h50m0>76a>e183>!4?m3;o:6`=8e85?>i6lo0;6)<7e;3g2>h50m0<76a>dd83>!4?m3;o:6`=8e8;?>i6lm0;6)<7e;3g2>h50m0276a>db83>!4?m3;o:6`=8e8b?>i6lk0;6)<7e;3g2>h50m0i76a>d`83>!4?m3;o:6`=8e8`?>i6l00;6)<7e;3g2>h50m0o76a>d983>!4?m3;o:6`=8e8f?>i6l90;6)<7e;3g2>h50m0m76g>7283>!4?m3;<>6`=8e83?>o6?80;6)<7e;346>h50m0:76sm41394?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a077=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<=81<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi89l50;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm45g94?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a007=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<<>1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi88950;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm44c94?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a00b=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<9>1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi8=950;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm41c94?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a05b=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<8:1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi8<=50;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm40794?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a04>=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<8h1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi8<k50;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm43694?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a071=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<;k1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi8?j50;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm42294?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a065=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<:<1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi8>750;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76sm42a94?dd290;w)<mb;0:2>N>:?1C5=j4Z6a9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>5759658j4632;1e==;52:l2e`<73g;n=7>4$0da>7673-;mo7<?0:&165<13-89=784$301>3=#:;91:6*=2585?!45=3<0(?<9:79'671=>2.9>449;%01e?0<,;8i6;5+23a92>"5:m0=7)<=e;48 74a2?1/>>>56:&174<13-88>784$310>3=#::>1:6*=3485?!44>3<0(?=8:79'66>=>2.9?449;%00e?0<,;9i6;5+22a92>"5;m0=7)<<e;48 75a2?1/>9>56:&104<13-8?>784$360>3=#:=>1:6*=4485?!43>3<0(?:8:79'61>=>2.98449;%07e?0<,;>i6;5+25a92>"5<m0=7)<;e;48 72a2?1/>8>56:&114<13-8>>784$370>3=#:<>1:6*=5485?!42>3<0(?;8:79'60>=>2.99449;%06e?0<,;?i6;5+24a92>"5=m0=7)<:e;48 73a2?1/>;>56:&124<13-8=>784$340>3=#:?>1:6*=6485?!41>3<0(?88:79'63>=>2.9:44:;%05e?3<,;<i6?68;%0:b?4e82.9m=48f:&1e4<0n2.9m846119'6d0=:h20(?ok:49'6dc==2.2>?46209'=75=1;;0e?76:188m=?=831b=k750;9j6<b=831b4k4?::k1=d<722c95n4?::k2b2<722c:;=4?:%0;a?71n2d94i4?;:k22`<72-83i7?9f:l1<a<632c::i4?:%0;a?71n2d94i4=;:k22f<72-83i7?9f:l1<a<432c2=84?:%0;a??6<2d94i4?;:k:56<72-83i77>4:l1<a<632c2=?4?:%0;a??6<2d94i4=;:k:54<72-83i77>4:l1<a<432e:584?:%0;a?7><2d94i4?;:m2=6<72-83i7?64:l1<a<632e:5<4?:%0;a?7><2d94i4=;:m2=5<72-83i7?64:l1<a<432e:4k4?:%0;a?7><2d94i4;;:m2<`<72-83i7?64:l1<a<232e:4i4?:%0;a?7><2d94i49;:m2<f<72-83i7?64:l1<a<032e:4o4?:%0;a?7><2d94i47;:m2<d<72-83i7?64:l1<a<>32e:444?:%0;a?7><2d94i4n;:m2<=<72-83i7?64:l1<a<e32e:4;4?:%0;a?7><2d94i4l;:m2<0<72-83i7?64:l1<a<c32e:494?:%0;a?7><2d94i4j;:m2<6<72-83i7?64:l1<a<a32e:4?4?:%0;a?7><2d94i4>0:9l5=7=83.94h4>959m6=b=9810c<6?:18'6=c=90>0b?6k:008?j70n3:1(?6j:0;7?k4?l3;876a>7d83>!4?m3;286`=8e820>=h9>n1<7*=8d82=1=i:1n1=854o0;`>5<#:1o1=4:4n3:g>40<3f;2n7>5$3:f>4?33g83h7?8;:m2=d<72-83i7?64:l1<a<6021d=4750;&1<`<61=1e>5j51898k4??290/>5k51868j7>c28k07b?67;29 7>b283?7c<7d;3a?>i61?0;6)<7e;3:0>h50m0:o65`18094?"50l0:595a29f95a=<g82<6=4+29g95<2<f;2o6<k4;n34g?6=,;2n6<7;;o0;`?7a32c?:7>5$3:f>13<f;2o6=54i5694?"50l0?96`=8e82?>o3;3:1(?6j:578j7>c2;10e9<50;&1<`<3=2d94i4<;:k7b?6=,;2n69;4n3:g>1=<a=o1<7*=8d871>h50m0>76g;d;29 7>b2=?0b?6k:798m1e=83.94h4;5:l1<a<032c?n7>5$3:f>13<f;2o6554i5c94?"50l0?96`=8e8:?>o313:1(?6j:578j7>c2h10e9650;&1<`<3=2d94i4m;:k73?6=,;2n69;4n3:g>f=<a=;1<7*=8d871>h50m0o76g93;29 7>b2?80b?6k:198m37=83.94h492:l1<a<632c=<7>5$3:f>34<f;2o6?54i4d94?"50l0=>6`=8e80?>o1k3:1(?6j:708j7>c2=10e;l50;&1<`<1:2d94i4:;:k5e?6=,;2n6;<4n3:g>3=<a?31<7*=8d856>h50m0<76g98;29 7>b2?80b?6k:998m31=83.94h492:l1<a<>32c=:7>5$3:f>34<f;2o6l54i7794?"50l0=>6`=8e8a?>o1<3:1(?6j:708j7>c2j10e8k50;&1<`<1:2d94i4k;:k216<72-83i7?:2:l1<a<732c:9<4?:%0;a?72:2d94i4>;:k20c<72-83i7?:2:l1<a<532c:8h4?:%0;a?72:2d94i4<;:k20a<72-83i7?:2:l1<a<332c:8n4?:%0;a?72:2d94i4:;:k20g<72-83i7?:2:l1<a<132c:8l4?:%0;a?72:2d94i48;:k20<<72-83i7?:2:l1<a<?32c:854?:%0;a?72:2d94i46;:k202<72-83i7?:2:l1<a<f32c:8;4?:%0;a?72:2d94i4m;:k201<72-83i7?:2:l1<a<d32c:8>4?:%0;a?72:2d94i4k;:k207<72-83i7?:2:l1<a<b32c:8<4?:%0;a?72:2d94i4i;:k205<72-83i7?:2:l1<a<6821b=>h50;&1<`<6=;1e>5j51098m45b290/>5k51408j7>c28807d?<d;29 7>b28?97c<7d;30?>o6;j0;6)<7e;366>h50m0:865f12`94?"50l0:9?5a29f950=<a8?j6=4+29g9504<f;2o6<84;h36=?6=,;2n6<;=;o0;`?7032c:954?:%0;a?72:2d94i4>8:9j501=83.94h4>539m6=b=9010e<;9:18'6=c=9<80b?6k:0c8?l72=3:1(?6j:071?k4?l3;i76g>5583>!4?m3;>>6`=8e82g>=n9<:1<7*=8d8217=i:1n1=i54i066>5<#:1o1=8<4n3:g>4c<3`;8m7>5$3:f>4353g83h7?i;:m2a3<72-83i7?j5:l1<a<732e:i94?:%0;a?7b=2d94i4>;:m2a6<72-83i7?j5:l1<a<532e:i?4?:%0;a?7b=2d94i4<;:k23=<72-83i7?87:l1<a<732c:;;4?:%0;a?70?2d94i4>;:k230<72-83i7?87:l1<a<532c:;94?:%0;a?70?2d94i4<;:m2a`<72-83i7?jd:l1<a<732e:in4?:%0;a?7bl2d94i4>;:m2ag<72-83i7?jd:l1<a<532e:il4?:%0;a?7bl2d94i4<;:m2g=<72-83i7?l7:l1<a<732e:o;4?:%0;a?7d?2d94i4>;:m2g1<72-83i7?l7:l1<a<532e:o>4?:%0;a?7d?2d94i4<;:m2g7<72-83i7?l7:l1<a<332e:o<4?:%0;a?7d?2d94i4:;:m2g5<72-83i7?l7:l1<a<132e:nk4?:%0;a?7d?2d94i48;:m2f`<72-83i7?l7:l1<a<?32e:ni4?:%0;a?7d?2d94i46;:m2ff<72-83i7?l7:l1<a<f32e:no4?:%0;a?7d?2d94i4m;:m2f<<72-83i7?l7:l1<a<d32e:n54?:%0;a?7d?2d94i4k;:m2f2<72-83i7?l7:l1<a<b32e:n;4?:%0;a?7d?2d94i4i;:m2f0<72-83i7?l7:l1<a<6821d=o:50;&1<`<6k>1e>5j51098k4d4290/>5k51b58j7>c28807b?m2;29 7>b28i<7c<7d;30?>i6j80;6)<7e;3`3>h50m0:865`1c294?"50l0:o:5a29f950=<g8im6=4+29g95f1<f;2o6<84;n3`a?6=,;2n6<m8;o0;`?7032e:oi4?:%0;a?7d?2d94i4>8:9l5fe=83.94h4>c69m6=b=9010c<mm:18'6=c=9j=0b?6k:0c8?j7di3:1(?6j:0a4?k4?l3;i76a>c883>!4?m3;h;6`=8e82g>=h9j?1<7*=8d82g2=i:1n1=i54o0`b>5<#:1o1=n94n3:g>4c<3f;jj7>5$3:f>4e03g83h7?i;:k:5a<72-83i77>c:l1<a<732c2=o4?:%0;a??6k2d94i4>;:k:5d<72-83i77>c:l1<a<532c2=44?:%0;a??6k2d94i4<;:k264<72-83i7?=0:l1<a<732c:=k4?:%0;a?7582d94i4>;:k25a<72-83i7?=0:l1<a<532c:=n4?:%0;a?7582d94i4<;:k25g<72-83i7?=0:l1<a<332c:=l4?:%0;a?7582d94i4:;:k25<<72-83i7?=0:l1<a<132c:=54?:%0;a?7582d94i48;:k252<72-83i7?=0:l1<a<?32c:=;4?:%0;a?7582d94i46;:k250<72-83i7?=0:l1<a<f32c:=94?:%0;a?7582d94i4m;:k257<72-83i7?=0:l1<a<d32c:=<4?:%0;a?7582d94i4k;:k255<72-83i7?=0:l1<a<b32c:<k4?:%0;a?7582d94i4i;:k24`<72-83i7?=0:l1<a<6821b==j50;&1<`<6:91e>5j51098m46d290/>5k51328j7>c28807d??b;29 7>b288;7c<7d;30?>o68h0;6)<7e;314>h50m0:865f11;94?"50l0:>=5a29f950=<a8836=4+29g9576<f;2o6<84;h313?6=,;2n6<<?;o0;`?7032c:>;4?:%0;a?7582d94i4>8:9j573=83.94h4>219m6=b=9010e<<;:18'6=c=9;:0b?6k:0c8?l75;3:1(?6j:003?k4?l3;i76g>2383>!4?m3;9<6`=8e82g>=n98o1<7*=8d8265=i:1n1=i54i030>5<#:1o1=?>4n3:g>4c<3`;;47>5$3:f>4473g83h7?i;:a06`=83hh6=4?{%0af?4>>2B2>;5G91f8^2e=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+29;9=21<f8:?6?5a11796>h6il0;7c?j1;28 4`e2;:;7)?ic;034>"5:90=7)<=1;48 7452?1/>?=56:&161<13-899784$305>3=#:;=1:6*=2885?!45i3<0(?<m:79'67e=>2.9>i49;%01a?0<,;8m6;5+22292>"5;80=7)<<2;48 7542?1/>>:56:&170<13-88:784$314>3=#::21:6*=3885?!44i3<0(?=m:79'66e=>2.9?i49;%00a?0<,;9m6;5+25292>"5<80=7)<;2;48 7242?1/>9:56:&100<13-8?:784$364>3=#:=21:6*=4885?!43i3<0(?:m:79'61e=>2.98i49;%07a?0<,;>m6;5+24292>"5=80=7)<:2;48 7342?1/>8:56:&110<13-8>:784$374>3=#:<21:6*=5885?!42i3<0(?;m:79'60e=>2.99i49;%06a?0<,;?m6;5+27292>"5>80=7)<92;48 7042?1/>;:56:&120<13-8=:784$344>3=#:?21:6*=6886?!41i3?0(?8m:3:4?!4>n38i<6*=a184b>"5i80<j6*=a48:55=#:h<1>l64$3cg>0=#:ho196*6238:64=#1;915??4i3;:>5<<a131<75f1g;94?=n:0n1<75f8g83>>o51h0;66g=9b83>>o6n>0;66g>7183>!4?m3;=j6`=8e83?>o6>l0;6)<7e;35b>h50m0:76g>6e83>!4?m3;=j6`=8e81?>o6>j0;6)<7e;35b>h50m0876g61483>!4?m33:86`=8e83?>o>9:0;6)<7e;;20>h50m0:76g61383>!4?m33:86`=8e81?>o>980;6)<7e;;20>h50m0876a>9483>!4?m3;286`=8e83?>i61:0;6)<7e;3:0>h50m0:76a>9083>!4?m3;286`=8e81?>i6190;6)<7e;3:0>h50m0876a>8g83>!4?m3;286`=8e87?>i60l0;6)<7e;3:0>h50m0>76a>8e83>!4?m3;286`=8e85?>i60j0;6)<7e;3:0>h50m0<76a>8c83>!4?m3;286`=8e8;?>i60h0;6)<7e;3:0>h50m0276a>8883>!4?m3;286`=8e8b?>i6010;6)<7e;3:0>h50m0i76a>8783>!4?m3;286`=8e8`?>i60<0;6)<7e;3:0>h50m0o76a>8583>!4?m3;286`=8e8f?>i60:0;6)<7e;3:0>h50m0m76a>8383>!4?m3;286`=8e824>=h91;1<7*=8d82=1=i:1n1=<54o0:3>5<#:1o1=4:4n3:g>44<3f;<j7>5$3:f>4?33g83h7?<;:m23`<72-83i7?64:l1<a<6<21d=:j50;&1<`<61=1e>5j51498k4?d290/>5k51868j7>c28<07b?6b;29 7>b283?7c<7d;34?>i61h0;6)<7e;3:0>h50m0:465`18;94?"50l0:595a29f95<=<g8336=4+29g95<2<f;2o6<o4;n3:3?6=,;2n6<7;;o0;`?7e32e:5;4?:%0;a?7><2d94i4>c:9l5<4=83.94h4>959m6=b=9m10c<68:18'6=c=90>0b?6k:0g8?j70k3:1(?6j:0;7?k4?l3;m76g;6;29 7>b2=?0b?6k:198m12=83.94h4;5:l1<a<632c??7>5$3:f>13<f;2o6?54i5094?"50l0?96`=8e80?>o3n3:1(?6j:578j7>c2=10e9k50;&1<`<3=2d94i4:;:k7`?6=,;2n69;4n3:g>3=<a=i1<7*=8d871>h50m0<76g;b;29 7>b2=?0b?6k:998m1g=83.94h4;5:l1<a<>32c?57>5$3:f>13<f;2o6l54i5:94?"50l0?96`=8e8a?>o3?3:1(?6j:578j7>c2j10e9?50;&1<`<3=2d94i4k;:k57?6=,;2n6;<4n3:g>5=<a?;1<7*=8d856>h50m0:76g90;29 7>b2?80b?6k:398m0`=83.94h492:l1<a<432c=o7>5$3:f>34<f;2o6954i7`94?"50l0=>6`=8e86?>o1i3:1(?6j:708j7>c2?10e;750;&1<`<1:2d94i48;:k5<?6=,;2n6;<4n3:g>==<a?=1<7*=8d856>h50m0276g96;29 7>b2?80b?6k:`98m33=83.94h492:l1<a<e32c=87>5$3:f>34<f;2o6n54i4g94?"50l0=>6`=8e8g?>o6=:0;6)<7e;366>h50m0;76g>5083>!4?m3;>>6`=8e82?>o6<o0;6)<7e;366>h50m0976g>4d83>!4?m3;>>6`=8e80?>o6<m0;6)<7e;366>h50m0?76g>4b83>!4?m3;>>6`=8e86?>o6<k0;6)<7e;366>h50m0=76g>4`83>!4?m3;>>6`=8e84?>o6<00;6)<7e;366>h50m0376g>4983>!4?m3;>>6`=8e8:?>o6<>0;6)<7e;366>h50m0j76g>4783>!4?m3;>>6`=8e8a?>o6<=0;6)<7e;366>h50m0h76g>4283>!4?m3;>>6`=8e8g?>o6<;0;6)<7e;366>h50m0n76g>4083>!4?m3;>>6`=8e8e?>o6<90;6)<7e;366>h50m0:<65f12d94?"50l0:9?5a29f954=<a89n6=4+29g9504<f;2o6<<4;h30`?6=,;2n6<;=;o0;`?7432c:?n4?:%0;a?72:2d94i4>4:9j56d=83.94h4>539m6=b=9<10e<;n:18'6=c=9<80b?6k:048?l7213:1(?6j:071?k4?l3;<76g>5983>!4?m3;>>6`=8e82<>=n9<=1<7*=8d8217=i:1n1=454i075>5<#:1o1=8<4n3:g>4g<3`;>97>5$3:f>4353g83h7?m;:k211<72-83i7?:2:l1<a<6k21b=8>50;&1<`<6=;1e>5j51e98m422290/>5k51408j7>c28o07d?<a;29 7>b28?97c<7d;3e?>i6m?0;6)<7e;3f1>h50m0;76a>e583>!4?m3;n96`=8e82?>i6m:0;6)<7e;3f1>h50m0976a>e383>!4?m3;n96`=8e80?>o6?10;6)<7e;343>h50m0;76g>7783>!4?m3;<;6`=8e82?>o6?<0;6)<7e;343>h50m0976g>7583>!4?m3;<;6`=8e80?>i6ml0;6)<7e;3f`>h50m0;76a>eb83>!4?m3;nh6`=8e82?>i6mk0;6)<7e;3f`>h50m0976a>e`83>!4?m3;nh6`=8e80?>i6k10;6)<7e;3`3>h50m0;76a>c783>!4?m3;h;6`=8e82?>i6k=0;6)<7e;3`3>h50m0976a>c283>!4?m3;h;6`=8e80?>i6k;0;6)<7e;3`3>h50m0?76a>c083>!4?m3;h;6`=8e86?>i6k90;6)<7e;3`3>h50m0=76a>bg83>!4?m3;h;6`=8e84?>i6jl0;6)<7e;3`3>h50m0376a>be83>!4?m3;h;6`=8e8:?>i6jj0;6)<7e;3`3>h50m0j76a>bc83>!4?m3;h;6`=8e8a?>i6j00;6)<7e;3`3>h50m0h76a>b983>!4?m3;h;6`=8e8g?>i6j>0;6)<7e;3`3>h50m0n76a>b783>!4?m3;h;6`=8e8e?>i6j<0;6)<7e;3`3>h50m0:<65`1c694?"50l0:o:5a29f954=<g8h86=4+29g95f1<f;2o6<<4;n3a6?6=,;2n6<m8;o0;`?7432e:n<4?:%0;a?7d?2d94i4>4:9l5g6=83.94h4>c69m6=b=9<10c<mi:18'6=c=9j=0b?6k:048?j7dm3:1(?6j:0a4?k4?l3;<76a>ce83>!4?m3;h;6`=8e82<>=h9ji1<7*=8d82g2=i:1n1=454o0aa>5<#:1o1=n94n3:g>4g<3f;hm7>5$3:f>4e03g83h7?m;:m2g<<72-83i7?l7:l1<a<6k21d=n;50;&1<`<6k>1e>5j51e98k4df290/>5k51b58j7>c28o07b?nf;29 7>b28i<7c<7d;3e?>o>9m0;6)<7e;;2g>h50m0;76g61c83>!4?m33:o6`=8e82?>o>9h0;6)<7e;;2g>h50m0976g61883>!4?m33:o6`=8e80?>o6:80;6)<7e;314>h50m0;76g>1g83>!4?m3;9<6`=8e82?>o69m0;6)<7e;314>h50m0976g>1b83>!4?m3;9<6`=8e80?>o69k0;6)<7e;314>h50m0?76g>1`83>!4?m3;9<6`=8e86?>o6900;6)<7e;314>h50m0=76g>1983>!4?m3;9<6`=8e84?>o69>0;6)<7e;314>h50m0376g>1783>!4?m3;9<6`=8e8:?>o69<0;6)<7e;314>h50m0j76g>1583>!4?m3;9<6`=8e8a?>o69;0;6)<7e;314>h50m0h76g>1083>!4?m3;9<6`=8e8g?>o6990;6)<7e;314>h50m0n76g>0g83>!4?m3;9<6`=8e8e?>o68l0;6)<7e;314>h50m0:<65f11f94?"50l0:>=5a29f954=<a8:h6=4+29g9576<f;2o6<<4;h33f?6=,;2n6<<?;o0;`?7432c:<l4?:%0;a?7582d94i4>4:9j55?=83.94h4>219m6=b=9<10e<<7:18'6=c=9;:0b?6k:048?l75?3:1(?6j:003?k4?l3;<76g>2783>!4?m3;9<6`=8e82<>=n9;?1<7*=8d8265=i:1n1=454i007>5<#:1o1=?>4n3:g>4g<3`;9?7>5$3:f>4473g83h7?m;:k267<72-83i7?=0:l1<a<6k21b=<k50;&1<`<6:91e>5j51e98m474290/>5k51328j7>c28o07d??8;29 7>b288;7c<7d;3e?>{e<=?1<7ll:183!4ej382:6F6279K=5b<R>i1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'6=?=1>=0b<>;:39m553=:2d:mh4?;o3f5?6<,8li6?>?;%3eg?4782.9>=49;%015?0<,;896;5+23192>"5:=0=7)<=5;48 7412?1/>?956:&16<<13-89m784$30a>3=#:;i1:6*=2e85?!45m3<0(?<i:79'666=>2.9?<49;%006?0<,;986;5+22692>"5;<0=7)<<6;48 7502?1/>>656:&17<<13-88m784$31a>3=#::i1:6*=3e85?!44m3<0(?=i:79'616=>2.98<49;%076?0<,;>86;5+25692>"5<<0=7)<;6;48 7202?1/>9656:&10<<13-8?m784$36a>3=#:=i1:6*=4e85?!43m3<0(?:i:79'606=>2.99<49;%066?0<,;?86;5+24692>"5=<0=7)<:6;48 7302?1/>8656:&11<<13-8>m784$37a>3=#:<i1:6*=5e85?!42m3<0(?;i:79'636=>2.9:<49;%056?0<,;<86;5+27692>"5><0=7)<96;48 7002?1/>;656:&12<<23-8=m7;4$34a>7>03-82j7<m0:&1e5<0n2.9m<48f:&1e0<>991/>l852`:8 7gc2<1/>lk55:&:67<>:81/5?=59338m7?>2900e5750;9j5c?=831b>4j50;9j<c<722c95l4?::k1=f<722c:j:4?::k235<72-83i7?9f:l1<a<732c::h4?:%0;a?71n2d94i4>;:k22a<72-83i7?9f:l1<a<532c::n4?:%0;a?71n2d94i4<;:k:50<72-83i77>4:l1<a<732c2=>4?:%0;a??6<2d94i4>;:k:57<72-83i77>4:l1<a<532c2=<4?:%0;a??6<2d94i4<;:m2=0<72-83i7?64:l1<a<732e:5>4?:%0;a?7><2d94i4>;:m2=4<72-83i7?64:l1<a<532e:5=4?:%0;a?7><2d94i4<;:m2<c<72-83i7?64:l1<a<332e:4h4?:%0;a?7><2d94i4:;:m2<a<72-83i7?64:l1<a<132e:4n4?:%0;a?7><2d94i48;:m2<g<72-83i7?64:l1<a<?32e:4l4?:%0;a?7><2d94i46;:m2<<<72-83i7?64:l1<a<f32e:454?:%0;a?7><2d94i4m;:m2<3<72-83i7?64:l1<a<d32e:484?:%0;a?7><2d94i4k;:m2<1<72-83i7?64:l1<a<b32e:4>4?:%0;a?7><2d94i4i;:m2<7<72-83i7?64:l1<a<6821d=5?50;&1<`<61=1e>5j51098k4>7290/>5k51868j7>c28807b?8f;29 7>b283?7c<7d;30?>i6?l0;6)<7e;3:0>h50m0:865`16f94?"50l0:595a29f950=<g83h6=4+29g95<2<f;2o6<84;n3:f?6=,;2n6<7;;o0;`?7032e:5l4?:%0;a?7><2d94i4>8:9l5<?=83.94h4>959m6=b=9010c<77:18'6=c=90>0b?6k:0c8?j7>?3:1(?6j:0;7?k4?l3;i76a>9783>!4?m3;286`=8e82g>=h9081<7*=8d82=1=i:1n1=i54o0:4>5<#:1o1=4:4n3:g>4c<3f;<o7>5$3:f>4?33g83h7?i;:k72?6=,;2n69;4n3:g>5=<a=>1<7*=8d871>h50m0:76g;3;29 7>b2=?0b?6k:398m14=83.94h4;5:l1<a<432c?j7>5$3:f>13<f;2o6954i5g94?"50l0?96`=8e86?>o3l3:1(?6j:578j7>c2?10e9m50;&1<`<3=2d94i48;:k7f?6=,;2n69;4n3:g>==<a=k1<7*=8d871>h50m0276g;9;29 7>b2=?0b?6k:`98m1>=83.94h4;5:l1<a<e32c?;7>5$3:f>13<f;2o6n54i5394?"50l0?96`=8e8g?>o1;3:1(?6j:708j7>c2910e;?50;&1<`<1:2d94i4>;:k54?6=,;2n6;<4n3:g>7=<a<l1<7*=8d856>h50m0876g9c;29 7>b2?80b?6k:598m3d=83.94h492:l1<a<232c=m7>5$3:f>34<f;2o6;54i7;94?"50l0=>6`=8e84?>o103:1(?6j:708j7>c2110e;950;&1<`<1:2d94i46;:k52?6=,;2n6;<4n3:g>d=<a??1<7*=8d856>h50m0i76g94;29 7>b2?80b?6k:b98m0c=83.94h492:l1<a<c32c:9>4?:%0;a?72:2d94i4?;:k214<72-83i7?:2:l1<a<632c:8k4?:%0;a?72:2d94i4=;:k20`<72-83i7?:2:l1<a<432c:8i4?:%0;a?72:2d94i4;;:k20f<72-83i7?:2:l1<a<232c:8o4?:%0;a?72:2d94i49;:k20d<72-83i7?:2:l1<a<032c:844?:%0;a?72:2d94i47;:k20=<72-83i7?:2:l1<a<>32c:8:4?:%0;a?72:2d94i4n;:k203<72-83i7?:2:l1<a<e32c:894?:%0;a?72:2d94i4l;:k206<72-83i7?:2:l1<a<c32c:8?4?:%0;a?72:2d94i4j;:k204<72-83i7?:2:l1<a<a32c:8=4?:%0;a?72:2d94i4>0:9j56`=83.94h4>539m6=b=9810e<=j:18'6=c=9<80b?6k:008?l74l3:1(?6j:071?k4?l3;876g>3b83>!4?m3;>>6`=8e820>=n9:h1<7*=8d8217=i:1n1=854i07b>5<#:1o1=8<4n3:g>40<3`;>57>5$3:f>4353g83h7?8;:k21=<72-83i7?:2:l1<a<6021b=8950;&1<`<6=;1e>5j51898m431290/>5k51408j7>c28k07d?:5;29 7>b28?97c<7d;3a?>o6==0;6)<7e;366>h50m0:o65f14294?"50l0:9?5a29f95a=<a8>>6=4+29g9504<f;2o6<k4;h30e?6=,;2n6<;=;o0;`?7a32e:i;4?:%0;a?7b=2d94i4?;:m2a1<72-83i7?j5:l1<a<632e:i>4?:%0;a?7b=2d94i4=;:m2a7<72-83i7?j5:l1<a<432c:;54?:%0;a?70?2d94i4?;:k233<72-83i7?87:l1<a<632c:;84?:%0;a?70?2d94i4=;:k231<72-83i7?87:l1<a<432e:ih4?:%0;a?7bl2d94i4?;:m2af<72-83i7?jd:l1<a<632e:io4?:%0;a?7bl2d94i4=;:m2ad<72-83i7?jd:l1<a<432e:o54?:%0;a?7d?2d94i4?;:m2g3<72-83i7?l7:l1<a<632e:o94?:%0;a?7d?2d94i4=;:m2g6<72-83i7?l7:l1<a<432e:o?4?:%0;a?7d?2d94i4;;:m2g4<72-83i7?l7:l1<a<232e:o=4?:%0;a?7d?2d94i49;:m2fc<72-83i7?l7:l1<a<032e:nh4?:%0;a?7d?2d94i47;:m2fa<72-83i7?l7:l1<a<>32e:nn4?:%0;a?7d?2d94i4n;:m2fg<72-83i7?l7:l1<a<e32e:n44?:%0;a?7d?2d94i4l;:m2f=<72-83i7?l7:l1<a<c32e:n:4?:%0;a?7d?2d94i4j;:m2f3<72-83i7?l7:l1<a<a32e:n84?:%0;a?7d?2d94i4>0:9l5g2=83.94h4>c69m6=b=9810c<l<:18'6=c=9j=0b?6k:008?j7e:3:1(?6j:0a4?k4?l3;876a>b083>!4?m3;h;6`=8e820>=h9k:1<7*=8d82g2=i:1n1=854o0ae>5<#:1o1=n94n3:g>40<3f;hi7>5$3:f>4e03g83h7?8;:m2ga<72-83i7?l7:l1<a<6021d=nm50;&1<`<6k>1e>5j51898k4ee290/>5k51b58j7>c28k07b?la;29 7>b28i<7c<7d;3a?>i6k00;6)<7e;3`3>h50m0:o65`1b794?"50l0:o:5a29f95a=<g8hj6=4+29g95f1<f;2o6<k4;n3bb?6=,;2n6<m8;o0;`?7a32c2=i4?:%0;a??6k2d94i4?;:k:5g<72-83i77>c:l1<a<632c2=l4?:%0;a??6k2d94i4=;:k:5<<72-83i77>c:l1<a<432c:><4?:%0;a?7582d94i4?;:k25c<72-83i7?=0:l1<a<632c:=i4?:%0;a?7582d94i4=;:k25f<72-83i7?=0:l1<a<432c:=o4?:%0;a?7582d94i4;;:k25d<72-83i7?=0:l1<a<232c:=44?:%0;a?7582d94i49;:k25=<72-83i7?=0:l1<a<032c:=:4?:%0;a?7582d94i47;:k253<72-83i7?=0:l1<a<>32c:=84?:%0;a?7582d94i4n;:k251<72-83i7?=0:l1<a<e32c:=?4?:%0;a?7582d94i4l;:k254<72-83i7?=0:l1<a<c32c:==4?:%0;a?7582d94i4j;:k24c<72-83i7?=0:l1<a<a32c:<h4?:%0;a?7582d94i4>0:9j55b=83.94h4>219m6=b=9810e<>l:18'6=c=9;:0b?6k:008?l77j3:1(?6j:003?k4?l3;876g>0`83>!4?m3;9<6`=8e820>=n9931<7*=8d8265=i:1n1=854i00;>5<#:1o1=?>4n3:g>40<3`;9;7>5$3:f>4473g83h7?8;:k263<72-83i7?=0:l1<a<6021b=?;50;&1<`<6:91e>5j51898m443290/>5k51328j7>c28k07d?=3;29 7>b288;7c<7d;3a?>o6:;0;6)<7e;314>h50m0:o65f10g94?"50l0:>=5a29f95a=<a8;86=4+29g9576<f;2o6<k4;h33<?6=,;2n6<<?;o0;`?7a32wi89650;``>5<7s-8in7<66:J:63=O19n0V:m5cz35>41=:k09j7<l:2296a<5>38>6?952d810?{#:1315:94n027>7=i99?1>6`>ad83?k7b93:0(<hm:323?!7ak38;<6*=2185?!4593<0(?<=:79'675=>2.9>949;%011?0<,;8=6;5+23592>"5:00=7)<=a;48 74e2?1/>?m56:&16a<13-89i784$30e>3=#:::1:6*=3085?!44:3<0(?=<:79'662=>2.9?849;%002?0<,;9<6;5+22:92>"5;00=7)<<a;48 75e2?1/>>m56:&17a<13-88i784$31e>3=#:=:1:6*=4085?!43:3<0(?:<:79'612=>2.98849;%072?0<,;><6;5+25:92>"5<00=7)<;a;48 72e2?1/>9m56:&10a<13-8?i784$36e>3=#:<:1:6*=5085?!42:3<0(?;<:79'602=>2.99849;%062?0<,;?<6;5+24:92>"5=00=7)<:a;48 73e2?1/>8m56:&11a<13-8>i784$37e>3=#:?:1:6*=6085?!41:3<0(?8<:79'632=>2.9:849;%052?0<,;<<6;5+27:92>"5>00>7)<9a;78 70e2;2<7)<6f;0a4>"5i90<j6*=a084b>"5i<02==5+2`496d><,;ko685+2`g91>">:;02><5+9319=77<a;326=44i9;94?=n9o31<75f28f94?=n0o0;66g=9`83>>o51j0;66g>f683>>o6?90;6)<7e;35b>h50m0;76g>6d83>!4?m3;=j6`=8e82?>o6>m0;6)<7e;35b>h50m0976g>6b83>!4?m3;=j6`=8e80?>o>9<0;6)<7e;;20>h50m0;76g61283>!4?m33:86`=8e82?>o>9;0;6)<7e;;20>h50m0976g61083>!4?m33:86`=8e80?>i61<0;6)<7e;3:0>h50m0;76a>9283>!4?m3;286`=8e82?>i6180;6)<7e;3:0>h50m0976a>9183>!4?m3;286`=8e80?>i60o0;6)<7e;3:0>h50m0?76a>8d83>!4?m3;286`=8e86?>i60m0;6)<7e;3:0>h50m0=76a>8b83>!4?m3;286`=8e84?>i60k0;6)<7e;3:0>h50m0376a>8`83>!4?m3;286`=8e8:?>i6000;6)<7e;3:0>h50m0j76a>8983>!4?m3;286`=8e8a?>i60?0;6)<7e;3:0>h50m0h76a>8483>!4?m3;286`=8e8g?>i60=0;6)<7e;3:0>h50m0n76a>8283>!4?m3;286`=8e8e?>i60;0;6)<7e;3:0>h50m0:<65`19394?"50l0:595a29f954=<g82;6=4+29g95<2<f;2o6<<4;n34b?6=,;2n6<7;;o0;`?7432e:;h4?:%0;a?7><2d94i4>4:9l52b=83.94h4>959m6=b=9<10c<7l:18'6=c=90>0b?6k:048?j7>j3:1(?6j:0;7?k4?l3;<76a>9`83>!4?m3;286`=8e82<>=h9031<7*=8d82=1=i:1n1=454o0;;>5<#:1o1=4:4n3:g>4g<3f;2;7>5$3:f>4?33g83h7?m;:m2=3<72-83i7?64:l1<a<6k21d=4<50;&1<`<61=1e>5j51e98k4>0290/>5k51868j7>c28o07b?8c;29 7>b283?7c<7d;3e?>o3>3:1(?6j:578j7>c2910e9:50;&1<`<3=2d94i4>;:k77?6=,;2n69;4n3:g>7=<a=81<7*=8d871>h50m0876g;f;29 7>b2=?0b?6k:598m1c=83.94h4;5:l1<a<232c?h7>5$3:f>13<f;2o6;54i5a94?"50l0?96`=8e84?>o3j3:1(?6j:578j7>c2110e9o50;&1<`<3=2d94i46;:k7=?6=,;2n69;4n3:g>d=<a=21<7*=8d871>h50m0i76g;7;29 7>b2=?0b?6k:b98m17=83.94h4;5:l1<a<c32c=?7>5$3:f>34<f;2o6=54i7394?"50l0=>6`=8e82?>o183:1(?6j:708j7>c2;10e8h50;&1<`<1:2d94i4<;:k5g?6=,;2n6;<4n3:g>1=<a?h1<7*=8d856>h50m0>76g9a;29 7>b2?80b?6k:798m3?=83.94h492:l1<a<032c=47>5$3:f>34<f;2o6554i7594?"50l0=>6`=8e8:?>o1>3:1(?6j:708j7>c2h10e;;50;&1<`<1:2d94i4m;:k50?6=,;2n6;<4n3:g>f=<a<o1<7*=8d856>h50m0o76g>5283>!4?m3;>>6`=8e83?>o6=80;6)<7e;366>h50m0:76g>4g83>!4?m3;>>6`=8e81?>o6<l0;6)<7e;366>h50m0876g>4e83>!4?m3;>>6`=8e87?>o6<j0;6)<7e;366>h50m0>76g>4c83>!4?m3;>>6`=8e85?>o6<h0;6)<7e;366>h50m0<76g>4883>!4?m3;>>6`=8e8;?>o6<10;6)<7e;366>h50m0276g>4683>!4?m3;>>6`=8e8b?>o6<?0;6)<7e;366>h50m0i76g>4583>!4?m3;>>6`=8e8`?>o6<:0;6)<7e;366>h50m0o76g>4383>!4?m3;>>6`=8e8f?>o6<80;6)<7e;366>h50m0m76g>4183>!4?m3;>>6`=8e824>=n9:l1<7*=8d8217=i:1n1=<54i01f>5<#:1o1=8<4n3:g>44<3`;8h7>5$3:f>4353g83h7?<;:k27f<72-83i7?:2:l1<a<6<21b=>l50;&1<`<6=;1e>5j51498m43f290/>5k51408j7>c28<07d?:9;29 7>b28?97c<7d;34?>o6=10;6)<7e;366>h50m0:465f14594?"50l0:9?5a29f95<=<a8?=6=4+29g9504<f;2o6<o4;h361?6=,;2n6<;=;o0;`?7e32c:994?:%0;a?72:2d94i4>c:9j506=83.94h4>539m6=b=9m10e<:::18'6=c=9<80b?6k:0g8?l74i3:1(?6j:071?k4?l3;m76a>e783>!4?m3;n96`=8e83?>i6m=0;6)<7e;3f1>h50m0:76a>e283>!4?m3;n96`=8e81?>i6m;0;6)<7e;3f1>h50m0876g>7983>!4?m3;<;6`=8e83?>o6??0;6)<7e;343>h50m0:76g>7483>!4?m3;<;6`=8e81?>o6?=0;6)<7e;343>h50m0876a>ed83>!4?m3;nh6`=8e83?>i6mj0;6)<7e;3f`>h50m0:76a>ec83>!4?m3;nh6`=8e81?>i6mh0;6)<7e;3f`>h50m0876a>c983>!4?m3;h;6`=8e83?>i6k?0;6)<7e;3`3>h50m0:76a>c583>!4?m3;h;6`=8e81?>i6k:0;6)<7e;3`3>h50m0876a>c383>!4?m3;h;6`=8e87?>i6k80;6)<7e;3`3>h50m0>76a>c183>!4?m3;h;6`=8e85?>i6jo0;6)<7e;3`3>h50m0<76a>bd83>!4?m3;h;6`=8e8;?>i6jm0;6)<7e;3`3>h50m0276a>bb83>!4?m3;h;6`=8e8b?>i6jk0;6)<7e;3`3>h50m0i76a>b883>!4?m3;h;6`=8e8`?>i6j10;6)<7e;3`3>h50m0o76a>b683>!4?m3;h;6`=8e8f?>i6j?0;6)<7e;3`3>h50m0m76a>b483>!4?m3;h;6`=8e824>=h9k>1<7*=8d82g2=i:1n1=<54o0`0>5<#:1o1=n94n3:g>44<3f;i>7>5$3:f>4e03g83h7?<;:m2f4<72-83i7?l7:l1<a<6<21d=o>50;&1<`<6k>1e>5j51498k4ea290/>5k51b58j7>c28<07b?le;29 7>b28i<7c<7d;34?>i6km0;6)<7e;3`3>h50m0:465`1ba94?"50l0:o:5a29f95<=<g8ii6=4+29g95f1<f;2o6<o4;n3`e?6=,;2n6<m8;o0;`?7e32e:o44?:%0;a?7d?2d94i4>c:9l5f3=83.94h4>c69m6=b=9m10c<ln:18'6=c=9j=0b?6k:0g8?j7fn3:1(?6j:0a4?k4?l3;m76g61e83>!4?m33:o6`=8e83?>o>9k0;6)<7e;;2g>h50m0:76g61`83>!4?m33:o6`=8e81?>o>900;6)<7e;;2g>h50m0876g>2083>!4?m3;9<6`=8e83?>o69o0;6)<7e;314>h50m0:76g>1e83>!4?m3;9<6`=8e81?>o69j0;6)<7e;314>h50m0876g>1c83>!4?m3;9<6`=8e87?>o69h0;6)<7e;314>h50m0>76g>1883>!4?m3;9<6`=8e85?>o6910;6)<7e;314>h50m0<76g>1683>!4?m3;9<6`=8e8;?>o69?0;6)<7e;314>h50m0276g>1483>!4?m3;9<6`=8e8b?>o69=0;6)<7e;314>h50m0i76g>1383>!4?m3;9<6`=8e8`?>o6980;6)<7e;314>h50m0o76g>1183>!4?m3;9<6`=8e8f?>o68o0;6)<7e;314>h50m0m76g>0d83>!4?m3;9<6`=8e824>=n99n1<7*=8d8265=i:1n1=<54i02`>5<#:1o1=?>4n3:g>44<3`;;n7>5$3:f>4473g83h7?<;:k24d<72-83i7?=0:l1<a<6<21b==750;&1<`<6:91e>5j51498m44?290/>5k51328j7>c28<07d?=7;29 7>b288;7c<7d;34?>o6:?0;6)<7e;314>h50m0:465f13794?"50l0:>=5a29f95<=<a88?6=4+29g9576<f;2o6<o4;h317?6=,;2n6<<?;o0;`?7e32c:>?4?:%0;a?7582d94i4>c:9j54c=83.94h4>219m6=b=9m10e<?<:18'6=c=9;:0b?6k:0g8?l7703:1(?6j:003?k4?l3;m76s|fg83>7}Yno16>k95c09~wcb=838pRkj4=3d5>f7<uzlh6=4={_d`?84a=3i:7p}ib;296~Xaj279j94l1:pb<<72;qUj4522g09g4=z{o21<7<t^g:897`62j;0q~h8:181[`0348m<7m>;|qe2?6=:rTm:63=eg8`5>{tn<0;6?uQf49>6`c=k81vk:50;0xZc2<5;oo6n?4}rd0>5<5sWl870<jc;a2?xua:3:1>vPi2:?1ag<d92wxj<4?:3y]b4=::lk1o<5rsg294?4|Vo:01?k6:b38yvcb2909wSkj;<0f3?e63tynh7>52z\f`>;5m?0h=6s|eb83>7}Ymj16>h;5c09~w`d=838pRhl4=3g7>f7<uzoj6=4={_gb?84b;3i:7p}j9;296~Xb1279i?4l1:pa=<72;qUi5522d39g4=z{l=1<7<t^d5897c72j;0q~k9:181[c1348oj7m>;|qf1?6=:rTn963=dd8`5>{tm:0;6?uQe29>6ae=k81vh<50;0xZ`4<5;ni6n?4}rg2>5<5sWo:70<ka;a2?xub83:1>vPj0:?1`<<d92wxhk4?:3y]`c=::m21o<5rseg94?4|Vmo01?j8:b38yvbc2909wSjk;<0g2?e63tyoo7>52z\gg>;5l<0h=6s|dc83>7}Ylk16>i:5c09~wag=838pRio4=3f0>f7<uzn36=4={_f;?84c93i:7p}k7;296~Xc?279h=4l1:p`3<72;qUh;522bd9g4=z{m?1<7<t^e7897eb2j;0q~j;:181[b3348hh7m>;|qg7?6=:rTo?63=cb8`5>{tl;0;6?uQd39>6fd=k81vi?50;0xZa7<5;ij6n?4}rf3>5<5sWn;70<l9;a2?xudn3:1>vPlf:?1g=<d92wxoi4?:3y]ga=::j<1o<5rsba94?4|Vji01?m::b38yvee2909wSmm;<0`0?e63tyhm7>52z\`e>;5k:0h=6s|c883>7}Yk016>n<5c09~wf>=838pRn64=3a2>f7<uzi<6=4={_a4?84d83i:7p}l6;296~Xd>279nk4l1:pg0<72;qUo8522cg9g4=z{j>1<7<t^b6897dc2j;0q~??3;296~X68:16>kl5c09~w4652909wS??2:?1bd<d92wx==?50;0xZ466348m57m>;|q245<72;qU==>4=3d;>f7<uzlj6=4={_db?84a;3i:7p}jf;296~Xbn279i54l1:pa1<72;qUi9522ef9g4=z{m31<7<t^e;897b52j;0q~mj:181[eb348h;7m>;|q`7?6=:rTh?63=bb8`5>{t:kk1<7<t^3`b?857;3i:7p}=b983>7}Y:k201>>=:b38yv4e?3:1>vP=b69>757=k81v?l9:181[4e>278<=4l1:p6g3=838pR?l:;<0eb?e63ty9n94?:3y]6g2<5;ln6n?4}r0a7?6=:rT9n>522gf9g4=z{;h96=4={_0a6>;5nj0h=6s|a783>43|Vh<015=7:6d89=502>l015=9:6d89=522>l015<8:3;3?8>50382<6372881=5=:0;k1>4>4=90a>7?73429o7<60:?;6a<519164?k528289=7a2;3;706=0;0:4>;?:8095=5283096<6<51886?7?;<:10?4>8273>84=919><70=:0:0q~7i:1822~X>n27?<=4=949>7c`=:0?01>hj:3;6?85al382963<fb81=0=:;oh1>4;4=2db>7?2349m57<>b:?7f4<51<168lk5287891gf2;3>70:k5;0:1>;3l80958524bf96<3<5=i26?7:;<6`1?4>=27?o<4=949>0gb=:0?019l6:3;6?82e=382963;a781=0=z{0o1<78t^8g8921?2;;<709=c;5e?815k3i:709=5;02f>;0?>095=5rs8f94?2|V0n01:?m:6d8927e2j;01:?=:33a?xuem3:1?>uQbd9>12>=?o1695>57g9>10>=?o1698757g9>10g=?o1698l57g9>13g=?o169;l57g9>13e=?o169;j57g9>11`=?o1698>57g9>12d=?o169:m57g9>11>=?o1699757g9>1=7=?o1695<57g9>12?=?o1694;57g9>1<e=?o1694j57g9>1<c=?o1694h57g9>1d6=?o169l?57g9>1d4=?o169l=57g9>1d2=?o1694857g9>1<1=?o1694657g9>1<?=?o1694o57g9>1<d=?o169l;57g9>22`=:8h01;6?:33a?80e93=m708m0;5e?80fn3=m708ne;5e?80>l3=m7086c;5e?80>j3=m7086a;5e?80?13=m70878;5e?80??3=m70876;5e?xuel3:1?vPmd:?;53<0n273=<48f:pfg<72:;pRol4=523>2`<5:lm6:h4=2df>2`<5:lo6:h4=2d`>2`<5:li6:h4=2db>2`<5<>96??l;<1fb?1a349no79i;<1f<?1a349n879i;<1f4?1a349oo79i;<1g<?1a34>=<7?i8:?744<6n>168??51g58912528l<70:;b;3e3>;3<l0:j:5244395c1<5=??6<h8;<663?7a?27?9l4>f69>00b=9o=019>;:0d4?827?3;m;63;0`82b2=:<9n1=k94=533>4`034>:?7?i7:?750<6n>168<651g58917e28l<70:>e;3e3>;3:=0:j:5243595c1<5=8j6<h8;<61`?7a?27??=4>f69>065=9o=019=9:0d4?82413;m;63;3b82b2=:<:l1=k94=566>4`034>?47?i7:pfd<72?qUnl5279193c=:?191o<527`59g4=:?hi1><l4=65g>77e3tyi57>54z\a=>;0ij09=l52785964g<5>3i6??n;|qb1?6=<rTj9637178`5>;?;10h=63:948`5>{t1h0;658t^8c891672>n01>hi:6f896`b2>n01>hk:6f896`d2>n01>hm:6f896`f2>n015?9:9089=76218015=7:9089=50218015=9:9089=5221801:h;:6f892>42>n01:o8:6f892g?2>n01:o9:6f892c02>n01:k;:6f892c72>n01:jl:6f892b?2>n01:j;:6f892b72>n01:ml:6f892e?2>n01:m;:6f8924d2>n01:?m:6f8901?2180186?:908903?218018;6:908903f218018;m:908900f2180188m:908900d2180188k:908902a218018;?:908901e2180189l:908902?218018:6:90890>62180186=:908901>2180187::6f890?d2>n0187k:6f890?b2>n0187i:6f890g72>n018o>:6f890g52>n018o<:6f890g32>n01879:6f890?02>n01877:6f890?>2>n0187n:6f890?e2>n018o::90891d62>n019oj:6f891gf2>n019j::6f891b62>n019mk:6f891e>2>n019m::6f891e62>n019lk:6f891d>2>n019l::6f891g12>n01;l>:90893d721801;oi:90893gb21801;7k:90893?d21801;7m:90893?f21801;66:90893>?21801;68:90893>121801>ki:6f896cd2>n01>k7:6f896c32>n01>k?:6f896bd2>n01>j7:6f892102>n01:99:6f8910721o019>>:9;89146213019:=:9;8912e213019:j:9;89136213019;;:9;89130213019;n:9;8913c213019>;:9;89160213019>n:9;8916c213019??:9;89174213019?::9;8917?213019?m:9;8917b213019<;:9;89140213019<n:9;8914c213019=?:9;89154213019=9:9;8915>213019=l:9;8915a213019:::9;8912?2130q~6=:18231}Y0;164=k57e9><4b=?m164<>57e9><4?=?m164<:57e9><44=?m164<957e9>753=?m16?<l57e9>767=?m16?9957e9>70b=?m16?:=57e9>72b=?m16?:h57e9>7=7=?m16?5=57e9>751=?m16?=757e9>75d=?m16?=j57e9>75`=?m16?<?57e9>745=?m16?<;57e9>741=?m16?<757e9>74b=?m16?<h57e9>777=?m16??=57e9>773=?m16??957e9>77?=?m16??l57e9>77b=?m16??h57e9>765=?m16?>;57e9>761=?m16?>757e9>76d=?m16?>j57e9>76`=?m16?9?57e9>715=?m16?9;57e9>71?=?m16?9l57e9>71b=?m16?9h57e9>707=?m16?8=57e9>703=?m16?8957e9>70?=?m16?8l57e9>70`=?m16?;?57e9>735=?m16?;;57e9>731=?m16?;757e9>73d=?m16?;j57e9>73`=?m16?:?57e9>723=?m16?:957e9>72?=?m16?:l57e9>752=0;16?<o5839>766=0;16?985839>70e=0;16?:<5839>72e=0;16?:k5839>7=6=0;16?5<5839>750=0;16?=65839>75g=0;16?=m5839>75c=0;16?<>5839>744=0;16?<:5839>740=0;16?<65839>74e=0;16?<k5839>776=0;16??<5839>772=0;16??85839>77>=0;16??o5839>77e=0;16??k5839>764=0;16?>:5839>760=0;16?>65839>76g=0;16?>m5839>76c=0;16?9>5839>714=0;16?9:5839>71>=0;16?9o5839>71e=0;16?9k5839>706=0;16?8<5839>702=0;16?885839>70>=0;16?8o5839>70c=0;16?;>5839>734=0;16?;:5839>730=0;16?;65839>73g=0;16?;m5839>73c=0;16?:>5839>722=0;16?:85839>72>=0;16?:o5839><7`=?m16;5o57e9>3=d=?m16;:;57e9>300=?m16;8;57e9>302=?m16;8h57e9>30c=?m16;8j57e9>30e=?m16;8l57e9>30g=?m16;8757e9>30>=?m16;8957e9>305=?m16;lh57e9>3dc=?m16;:k57e9>3=4=?m16;5?57e9>350=?m16;=957e9>35>=?m16;=757e9>35g=?m16;=l57e9>35e=?m16;=j57e9>370=?m16;?l57e9>37?=?m16;?o57e9>345=?m16;<o57e9>340=?m16;<957e9>34>=?m16;<757e9>2c6=?m16:k?57e9>2`e=?m1699:57e9>113=?m16:hk57e9>2``=?m1698:57e9>130=?m1699857e9>1d>=?m169l857e9>1g2=?m169o<57e9>1g1=?m169o;57e9>1gg=?m169o657e9>1gb=?m169ol57e9>1f6=?m169ok57e9>1f5=?m169n?57e9>1f0=?m169n:57e9>1f?=?m169n957e9>1fe=?m169no57e9>1dd=?m169l757e9>1dc=?m169lm57e9>1g7=?m169lh57e9>21d=?m16:9657e9>212=?m16:;h57e9>23d=?m16:;957e9>235=?m16:8h57e9>20d=?m16:8957e9>205=?m16:9h57e9>216=?m169k<57e9>1``=?m169hl57e9>240=?m16:<<57e9>25c=?m16:=o57e9>250=?m16:=<57e9>1cc=?m169ko57e9>1c0=?m169h957e9>3=b=?m16;5h57e9>3c3=?m16;:=57e9><03=?m16?==57e9>754=?m16?=?57e9>756=?m16>kh57e9>6cc=?m16>kj57e9>6ce=?m16>k957e9>6c0=?m16>k;57e9>6c2=?m16>k<57e9>6c7=?m16>k>57e9>6``=?m16>hk57e9>6`b=?m16>hm57e9>6`d=?m16>ho57e9>6`?=?m16>h957e9>6`0=?m16>h;57e9>6`2=?m16>h=57e9>6`4=?m16>h?57e9>6`6=?m16>ih57e9>6ac=?m16>im57e9>6ad=?m16>io57e9>6a?=?m16>i657e9>6a1=?m16>i857e9>6a3=?m16>i:57e9>6a5=?m16>i?57e9>6a6=?m16>nh57e9>6fc=?m16>nj57e9>6fe=?m16>nl57e9>6fg=?m16>n757e9>6f>=?m16>n857e9>6f3=?m16>n:57e9>6f5=?m16>n<57e9>6f7=?m16>n>57e9>6g`=?m16>ok57e9>6gb=?m16>kl57e9>6cg=?m16>k757e9>6c>=?m16>k=57e9>6`>=?m16>ij57e9>6a4=?m16>n957e9>6ge=?m164?957e9><7>=?m164?757e9><7g=?m164?l57e9><7e=?m164?j57e9><7c=?m164<h57e9><76=?m164??57e9><74=?m164?=57e9><72=?m164?;57e9><70=?m16;:h57e9>3=6=?m16;ll57e9>362=?m16;?657e9>371=?m16;<;57e9>342=?m168;>5909>057=0o168??58g9>014=0o1689l58g9>01c=0o1688?58g9>002=0o1688958g9>00g=0o1688j58g9>052=0o168=958g9>05g=0o168=j58g9>046=0o168<=58g9>043=0o168<658g9>04d=0o168<k58g9>072=0o168?958g9>07g=0o168?j58g9>066=0o168>=58g9>060=0o168>758g9>06e=0o168>h58g9>013=0o1689658g9~wg>=838pRo64=461>7>?3ty9;o4?:0738?5?38:;63<0580e>;49h08m63<3180e>;4<?08m63<5b80e>;4?;08m63<7b80e>;4?l08m63<8180e>;40;08m63<0780e>;48108m63<0`80e>;48j08m63<0d80e>;49908m63<1380e>;49=08m63<1780e>;49108m63<1b80e>;49l08m63<2180e>;4:;08m63<2580e>;4:?08m63<2980e>;4:h08m63<2b80e>;4:l08m63<3380e>;4;=08m63<3780e>;4;108m63<3`80e>;4;j08m63<3d80e>;4<908m63<4380e>;4<=08m63<4980e>;4<h08m63<4b80e>;4<l08m63<5180e>;4=;08m63<5580e>;4=?08m63<5980e>;4=h08m63<5d80e>;4>908m63<6380e>;4>=08m63<6780e>;4>108m63<6`80e>;4>j08m63<6d80e>;4?908m63<7580e>;4??08m63<7980e>;4?h08m637358242=:0:91==94=911>4603428=7??7:?;75<68>16;h=5115892ba28:<709kb;333>;0l>0:<:527e19551<5>im6<>8;<5`f?77?27<o:4>069>3f5=99=01:=::33b?814=38:o63:4781=0=:>==1==94=760>46034<=i7??7:?52d<68>16:;851158930528:<708:e;333>;1=h0:<:526449551<5??96<>8;<47a?77?27=?k4>069>1`c=99=018kn:024?806=3;;;639108242=:>9n1==94=72:>46034<;97??7:?544<68>169kj5115890`>28:<70;i5;333>;2m?0:<:524`f9551<5=k26<>8;<6g0?77?27?h=4>069>0fe=99=019m7:024?82d<3;;;63;c18242=:<ki1==94=5`;>46034>i87??7:?7e0<68>16:lj5115893gd28:<708nb;333>;1ih0:<:526`;9551<5?326<>8;<4:<?77?27=5:4>069>2<0=99=01;7::024?80?=3;;;639858242=:>191==94=7:1>46034<3=7??7:?0ag<68>16?h95115896c428:<70=kf;333>;4lk0:<:523e59551<5>9?6n?4=543><7?34>=<77>6:?725<51j168;>528g8916620;>70:?1;;27>;38802=?524139=47<5=::6?7l;<635?4>l27?><46149>077=189019<>:831?825933:=63;2081=f=:<;;1>4j4=561><7234>?>77>3:?707<>9;1689<5903891252;3h70:;2;0:`>;3<k02=85245`9=45<5=>i64?=;<67f??6927?8o4=9b9>01d=:0n019:j:836?823m33:?63;4d8:57=:<=o15<?4=56f>7?d34>?i7<6d:?714<>9<1688?59018913620;970::1;;25>;3=8095n5244396<b<5=??64?:;<660??6;27?9946139>002=18;019;;:3;`?822<382h63;568:50=:<<=15<=4=574><7534>>;77>1:?712<51j16889528f8913f20;>70::a;;27>;3=h02=?5244c9=47<5=?j6?7l;<66e?4>l27?9i46149>00b=189019;k:831?822l33:=63;5e81=f=:<<n1>4j4=527><7234>;877>3:?741<>9;168=:5903891632;3h70:?4;0:`>;38>02=8524159=45<5=:<64?=;<633??6927?<:4=9b9>051=:0n019>n:836?827i33:?63;0`8:57=:<9k15<?4=52b>7?d34>;m7<6d:?74a<>9<168=j59018916c20;970:?d;;25>;38m095n5241f96<b<5=;;64?:;<624??6;27?==46139>046=18;019??:3;`?8268382h63;128:50=:<8915<=4=530><7534>:?77>1:?756<51j168<=528f8917220;>70:>5;;27>;39<02=?524079=47<5=;>6?7l;<621?4>l27?=546149>04>=189019?7:831?826033:=63;1981=f=:<821>4j4=53a><7234>:n77>3:?75g<>9;168<l59038917e2;3h70:>b;0:`>;39l02=85240g9=45<5=;n64?=;<62a??6927?=h4=9b9>04c=:0n019<;:836?825<33:?63;258:57=:<;>15<?4=507>7?d34>987<6d:?762<>9<168?959018914020;970:=7;;25>;3:>095n5243596<b<5=8j64?:;<61e??6;27?>l46139>07g=18;019<n:3;`?825i382h63;2e8:50=:<;n15<=4=50g><7534>9h77>1:?76a<51j168?j528f8915720;>70:<0;;27>;3;902=?524229=47<5=9;6?7l;<604?4>l27??>46149>065=189019=<:831?824;33:=63;3281=f=:<:91>4j4=515><7234>8:77>3:?773<>9;168>85903891512;3h70:<6;0:`>;3;002=85242;9=45<5=9264?=;<60=??6927??44=9b9>06?=:0n019=l:836?824k33:?63;3b8:57=:<:i15<?4=51`>7?d34>8o7<6d:?77c<>9<168>h59018915a20;970:<f;;25>;3;o095n5242d96<b<5=>>64?:;<671??6;27?8846139>013=18;019:::3;`?823=382h63;498:50=:<=215<=4=56;><7534>?477>1:?70=<51j16896528f8yv2?i3:14v3;8c81<==:<??1><o4=54:>77f34>=h7<>a:?736<59h168:6520a8911d2;;j70:71;02g>{t;j=1<76t=2d:>7>?349nj7<65:?0af<51<16?h65287896c32;3>70=j0;0:1>;4lj0958523e:96<3<uz9h47>52z?0g1<59h16?n;529:8yv5d13:1>v3<c5815g=:;j<1>564}r71b?6=:r7?:k4=1`9>036=90n0q~;=e;296~;3>h09=h5245:95=1<uz?9h7>52z?72g<59o16896516a8yv35k3:1>v3;6b815d=:<=?1=594}r71f?6=:r7?:i4=1d9>013=9>i0q~;=9;296~;3>h09=i5242d95=1<uz?947>52z?72g<59j168>h516a8yv35?3:1>v3;6`815c=:<:i1=594}r712?6=:r7?:o4=1d9>06e=9>i0q~;=5;296~;3?009=h5242;95=1<uz?987>52z?73d<59o168>7516a8yv35;3:1>v3;7c815d=:<:<1=594}r716?6=:r7?;n4=1d9>060=9>i0q~;=1;296~;3?009=i5242195=1<uz?9<7>52z?73d<59j168>=516a8yv36m3:1>v3;78815c=:<::1=594}r72`?6=:r7?;l4=1d9>066=9>i0q~;>c;296~;30>09=h5243f95=1<uz?:n7>52z?7<=<59o168?j516a8yv36i3:1>v3;88815d=:<;k1=594}r72=?6=:r7?:84=1d9>07g=9>i0q~;>8;296~;30>09=i5243595=1<uz?:;7>52z?7<=<59j168?9516a8yv36>3:1>v3;86815c=:<;>1=594}r721?6=:r7?454=1d9>072=9>i0q~;>3;296~;3?909=h5240g95=1<uz?:>7>52z?734<59o168<k516a8yv3693:1>v3;73815d=:<8h1=594}r724?6=:r7?;>4=1d9>04d=9>i0q~;?f;296~;3?909=i5240:95=1<uz?;i7>52z?734<59j168<6516a8yv37l3:1>v3;71815c=:<8?1=594}r73g?6=:r7?;<4=1d9>043=9>i0q~;?b;296~;3>?09=h5240195=1<uz?;m7>52z?722<59o168<=516a8yv3703:1>v3;69815d=:<8:1=594}r733?6=:r7?:44=1d9>046=9>i0q~;?6;296~;3>?09=i5241f95=1<uz?;97>52z?722<59j168=j516a8yv37<3:1>v3;67815c=:<9k1=594}r737?6=:r7?::4=1d9>05g=9>i0q~;?2;296~;30909=i5241595=1<uz?;=7>52z?73c<59l168=9516a8yv3783:1>v3;81815`=:<9>1=594}r6eb?6=:r7?4<4=1e9>052=9>i0q~:id;296~;30909=n5244f95=1<uz>mo7>52z?73c<59m1688j516a8yv2aj3:1>v3;81815c=:<<k1=594}r6ee?6=:r7?;k4=1c9>00g=9>i0q~:i9;296~;30?09=i5244595=1<uz>m47>52z?7<3<59j16889516a8yv2a?3:1>v3;87815`=:<<>1=594}r6e2?6=:r7?4;4=1g9>002=9>i0q~:i5;296~;30<09=i5244395=1<uz>m87>52z?7<0<59j1688?516a8yv34;3:1>v3;84815`=:<=o1=594}r706?6=:r7?484=1g9>01c=9>i0q~;<1;296~;3?>09=i5245`95=1<uz?8<7>52z?733<59l1689l516a8yv35i3:1>v3;76815`=:<=81=594}r72b?6=:r7?;54=1e9>014=9>i0q~;>4;296~;3?>09=n5243395=1<uz?;57>52z?733<59m168??516a8yv2am3:1>v3;76815c=:<9;1=594}r6e7?6=:r7?;;4=1c9>057=9>i0q~;<7;2966}:<k;1>4<4=5`1>77f34>=<7;;;<635?2134>9=7:9;<676?2134>?n7:9;<67a?2134>>=7:9;<660?2134>>;7:9;<66e?2134>>h7:9;<630?2134>;;7:9;<63e?2134>;h7:9;<624?2134>:?7:9;<621?2134>:47:9;<62f?2134>:i7:9;<610?2134>9;7:9;<61e?2134>9h7:9;<604?2134>8?7:9;<602?2134>857:9;<60g?2134>8j7:9;<671?2134>?47:9;|q673<72;9p19oj:3;1?82fn38:m63;61866>;3880?863;20870>;3<;0?863;4c870>;3<l0?863;50870>;3==0?863;56870>;3=h0?863;5e870>;38=0?863;06870>;38h0?863;0e870>;3990?863;12870>;39<0?863;19870>;39k0?863;1d870>;3:=0?863;26870>;3:h0?863;2e870>;3;90?863;32870>;3;?0?863;38870>;3;j0?863;3g870>;3<<0?863;49870>{t=:?1<7<<{<6be?4>:27?mo4=1`9>036==8168=?5429>077=<:1689<5429>01d=<:1689k5429>007=<:1688:5429>001=<:1688o5429>00b=<:168=:5429>051=<:168=o5429>05b=<:168<>5429>045=<:168<;5429>04>=<:168<l5429>04c=<:168?:5429>071=<:168?o5429>07b=<:168>>5429>065=<:168>85429>06?=<:168>m5429>06`=<:1689;5429>01>=<:1v8:?:1817~;3l<095?524e4964g<5=<;68j4=522>14<5=8:69<4=561>14<5=>i69<4=56f>14<5=?:69<4=577>14<5=?<69<4=57b>14<5=?o69<4=527>14<5=:<69<4=52b>14<5=:o69<4=533>14<5=;869<4=536>14<5=;369<4=53a>14<5=;n69<4=507>14<5=8<69<4=50b>14<5=8o69<4=513>14<5=9869<4=515>14<5=9269<4=51`>14<5=9m69<4=566>14<5=>369<4}r70b?6=::q68i?5280891b52;;j70:90;7`?82793>m70:=1;6e?823:3>m70:;b;6e?823m3>m70::1;6e?822<3>m70::7;6e?822i3>m70::d;6e?827<3>m70:?7;6e?827i3>m70:?d;6e?82683>m70:>3;6e?826=3>m70:>8;6e?826j3>m70:>e;6e?825<3>m70:=7;6e?825i3>m70:=d;6e?82483>m70:<3;6e?824>3>m70:<9;6e?824k3>m70:<f;6e?823=3>m70:;8;6e?xu2;l0;6?=t=5ag>7?534>hi7<>a:?725<2j27?<<4;e:?764<3m27?8?4;e:?70g<3m27?8h4;e:?714<3m27?994;e:?712<3m27?9l4;e:?71a<3m27?<94;e:?742<3m27?<l4;e:?74a<3m27?==4;e:?756<3m27?=84;e:?75=<3m27?=o4;e:?75`<3m27?>94;e:?762<3m27?>l4;e:?76a<3m27??=4;e:?776<3m27??;4;e:?77<<3m27??n4;e:?77c<3m27?884;e:?70=<3m2wx9>j50;0082d1382>63;c`815d=:<?:19l5241390a=:<;;18i5245090a=:<=h18i5245g90a=:<<;18i5244690a=:<<=18i5244c90a=:<<n18i5241690a=:<9=18i5241c90a=:<9n18i5240290a=:<8918i5240790a=:<8218i5240`90a=:<8o18i5243690a=:<;=18i5243c90a=:<;n18i5242290a=:<:918i5242490a=:<:318i5242a90a=:<:l18i5245790a=:<=218i5rs41`>5<5;r7?o84=939>0f0=:8k0198?:4;891662=i019<>:5a891252=i019:m:5a8912b2=i019;>:5a891332=i019;8:5a8913f2=i019;k:5a891632=i019>8:5a8916f2=i019>k:5a891772=i019?<:5a891722=i019?7:5a8917e2=i019?j:5a891432=i019<8:5a8914f2=i019<k:5a891572=i019=<:5a891512=i019=6:5a8915d2=i019=i:5a891222=i019:7:5a8yv34j3:1>>u24b396<4<5=i96??n;<654?3?34>;=7:m;<615?2e34>?>7:m;<67f?2e34>?i7:m;<665?2e34>>87:m;<663?2e34>>m7:m;<66`?2e34>;87:m;<633?2e34>;m7:m;<63`?2e34>:<7:m;<627?2e34>:97:m;<62<?2e34>:n7:m;<62a?2e34>987:m;<613?2e34>9m7:m;<61`?2e34>8<7:m;<607?2e34>8:7:m;<60=?2e34>8o7:m;<60b?2e34>?97:m;<67<?2e3ty>?l4?:31x91dc2;3970:me;02e>;3>90>;63;0087e>;3:80?m63;4387e>;3<k0?m63;4d87e>;3=80?m63;5587e>;3=>0?m63;5`87e>;3=m0?m63;0587e>;38>0?m63;0`87e>;38m0?m63;1187e>;39:0?m63;1487e>;3910?m63;1c87e>;39l0?m63;2587e>;3:>0?m63;2`87e>;3:m0?m63;3187e>;3;:0?m63;3787e>;3;00?m63;3b87e>;3;o0?m63;4487e>;3<10?m6s|52;94?44s4>i57<62:?7fd<59h168;>5579>057=<0168??5489>014=<01689l5489>01c=<01688?5489>002=<0168895489>00g=<01688j5489>052=<0168=95489>05g=<0168=j5489>046=<0168<=5489>043=<0168<65489>04d=<0168<k5489>072=<0168?95489>07g=<0168?j5489>066=<0168>=5489>060=<0168>75489>06e=<0168>h5489>013=<0168965489~w05?2909?v3;b481=7=:<k<1><o4=543>03<5=::6964=502>1><5=>96964=56a>1><5=>n6964=572>1><5=??6964=574>1><5=?j6964=57g>1><5=:?6964=524>1><5=:j6964=52g>1><5=;;6964=530>1><5=;>6964=53;>1><5=;i6964=53f>1><5=8?6964=504>1><5=8j6964=50g>1><5=9;6964=510>1><5=9=6964=51:>1><5=9h6964=51e>1><5=>>6964=56;>1><uz?887>522y>0d0=:08019o8:33b?82183?;70:?1;64?82593><70:;2;64?823j3><70:;e;64?82293><70::4;64?822?3><70::a;64?822l3><70:?4;64?827?3><70:?a;64?827l3><70:>0;64?826;3><70:>5;64?82603><70:>b;64?826m3><70:=4;64?825?3><70:=a;64?825l3><70:<0;64?824;3><70:<6;64?82413><70:<c;64?824n3><70:;5;64?82303><7p};ec83>1}:<9:1o<523b4964g<5:om6?7=;<1e4?46i2wx8ho50;6x96`a2j;01>m::33e?85bk382>63<ee815d=z{=o26=4;{<1ea?e6349h97<>e:?0a=<51;16?h7520c8yv2b03:18v3<fe8`5>;4k<09=i523d696<4<5:o>6??n;|q7a2<72=q6?km5c09>7f3=:8i01>k?:3;1?85b938:m6s|4d494?2|5:li6n?4=2a6>77e349oo7<62:?0`a<59h1v9k::18785ai3i:70=l5;02e>;4l1095?523e;964g<uz??=7>5fz?0g1<50116?k7520c891d62>l019oj:6d891gf2>l019j::6d891b62>l019mk:6d891e>2>l019m::6d891e62>l019lk:6d891d>2>l019l::6d891g12>l0q~:i2;297~;389095?52476964c<5=296??n;|q7b4<72<q6?kh5280891032;;j70:9e;02f>;3?109=l52493964g<uz>m<7>55z?0b`<51;168;:520`8910b2;;j70:88;02b>;30809=h5rs5ge>5<4s49mh7<62:?721<59j1685<520`8yv2bm3:1=:u23ga96<4<5=2i6??l;<650?46l27?4:4=1b9>0=>=:8h01966:33a?821>38:o63;66815g=:<?21><l4=54b>77d34>=n7<>b:?72f<59k168:>520a891162;;i70:82;02f>;3?009=n5246c964d<5==i6??m;<6;0?4f:27?;;4=1b9>021=:8h0199i:33`?82?838:n6s|4df94?7?s49mn7<62:?7<g<59k168;:520d891>02;;i70:78;02`>;30009=n52474964d<5=<<6??k;<65<?46k27?:l4=1c9>03d=:8n0198l:33`?820838:n63;70815a=:<>81><m4=55:>77e34><m7<>d:?73g<59j1685;520c891>12;;j70:86;02e>;3?>09=l5246d964g<5=2;6??n;|q7af<728;p1>hn:3;1?82?j38:m63;6g815f=:<1=1><o4=5:;>77f34>=:7<>a:?722<59h168;o520c8910e2;;j70:80;02e>;3?809=l5246;964g<5==j6??n;<6;1?46j27?4;4=1c9>023=:h80199j:3c1?xu?910;6?u28059g4=:08<1>4<4}r:27?6=:r73=?4l1:?;54<51;1v5?::1818>6<3i:706>2;0:6>{t08k1<7<t=93:>f7<51;<6?7=;|q;5g<72:q64<>5c09><42=:08015>i:33b?xu?9j0;6?u280496<5<51;:6n?4}r:2a?6=:r73>k4=939><03=k81v5;9:1818>6l3i:706:5;0:6>{t0<=1<7=t=93g>7?5342::7=8;<:25?503ty3954?:3y><5c=k8164<752808yv>e=3:1>v3<7c81=7=:<?:1=8l4}r:a0?6=:r78;44=939>01>=9=?0q~6m3;296~;4?>095?5245:956g<uz2i>7>52z?030<51;1689;51578yv>e83:1>v3<7081=7=:<=?1=>o4}r:bb?6=:r78:k4=939>06`=9=?0q~6ne;296~;4>m095?5242d956g<uz2jh7>52z?02g<51;168>m51578yv>fk3:1>v3<6881=7=:<:i1=>o4}r:bf?6=:r78::4=939>06?=9=?0q~6na;296~;4><095?5242;956g<uz2j57>52z?026<51;168>851578yv>f03:1>v3<6081=7=:<:<1=>o4}r:b3?6=:r789k4=939>065=9=?0q~6n5;296~;4=k095?52421956g<uz2j87>52z?01<<51;168>>51578yv>f;3:1>v3<5681=7=:<::1=>o4}r:b6?6=:r78984=939>07b=9=?0q~6n1;296~;4=:095?5243f956g<uz2j<7>52z?014<51;168?o51578yv>>n3:1>v3<4g81=7=:<;k1=>o4}r::a?6=:r788i4=939>071=9=?0q~66d;296~;4<k095?52435956g<uz22o7>52z?00<<51;168?:51578yv>>i3:1>v3<4481=7=:<;>1=>o4}r::=?6=:r788>4=939>04c=9=?0q~668;296~;4<8095?5240g956g<uz22;7>52z?07c<51;168<l51578yv>>>3:1>v3<3e81=7=:<8h1=>o4}r::1?6=:r78?o4=939>04>=9=?0q~664;296~;4;0095?5240:956g<uz22?7>52z?072<51;168<;51578yv>>:3:1>v3<3481=7=:<8?1=>o4}r::5?6=:r78?>4=939>045=9=?0q~67f;296~;4:o095?52401956g<uz23i7>52z?06a<51;168<>51578yv>?l3:1>v3<2c81=7=:<8:1=>o4}r:;g?6=:r78>44=939>05b=9=?0q~67b;296~;4:>095?5241f956g<uz23m7>52z?060<51;168=o51578yv>?13:1>v3<2281=7=:<9k1=>o4}r:;<?6=:r78><4=939>051=9=?0q~677;296~;49o095?52415956g<uz23:7>52z?05a<51;168=:51578yv>?<3:1>v3<1881=7=:<9>1=>o4}r:;7?6=:r78=:4=939>00b=9=?0q~672;296~;49<095?5244f956g<uz23=7>52z?056<51;1688o51578yv>?83:1>v3<1081=7=:<<k1=>o4}r:4b?6=:r78<k4=939>001=9=?0q~68e;296~;48m095?52445956g<uz2<h7>52z?04g<51;1688:51578yv>0k3:1>v3<0881=7=:<<>1=>o4}r:4f?6=:r78<:4=939>007=9=?0q~6m9;296~;40:095?52443956g<uz2i47>52z?0<4<51;1689k51578yv>e?3:1>v3<7g81=7=:<=o1=>o4}r:a2?6=:r78;i4=939>01d=9=?0q~6m1;296~;4?:095?5245`956g<uz2j:7>52z?01a<51;1689<51578yv>>j3:1>v3<4681=7=:<=81=>o4}r::4?6=:r78?<4=939>077=9=?0q~675;296~;49k095?52433956g<uz2<m7>52z?040<51;168=?51578yv>d<3:1=8u281g96<4<5?oo6??n;<74<?5>34?3<7=6;<76<?5c34?>57=k;<76e?5c34?>n7=k;<75e?5c34?=n7=k;<75g?5c34?=h7=k;<77b?5c34?><7=k;<74f?5c34?<o7=k;<77<?5c34??57=k;<7;5?5c34?3>7=k;<74=?5c3ty39l4?:06x9=772;3970;88;1b?83?839j70;:8;1f?832139n70;:a;1f?832j39n70;9a;1f?831j39n70;9c;1f?831l39n70;;f;1f?832839n70;8b;1f?830k39n70;;8;1f?833139n70;71;1f?83?:39n70;89;1f?xu?k:0;6?u2550964g<5>l?6?7=;|q;g5<72:q64=k52878926e2;39709?c;a2?xu?jo0;69u280;96<3<51;?6?7:;<53e?4>:27<<o4l1:p<gc=83?p15??:3;6?8>6:38296371681=0=:?931>4<4=62b>f7<uz2ih7>53z?;5a<5i;16;=652808926>2j;0q~6mc;297~;08>095?5271:9g4=:0<?1>4>4}r:af?6=0r73>k4=a39>323=k816;l;520f892612;39709?7;a2?814?38:n638f481=0=:?>91>4;4}r:`6?6=;r7<<i4=939>113=:0?01;ki:3;6?xu?k80;6?jt=62`>7?534=;h7m>;<770?4>=27=ih4=949>102=:0?01889:3;6?83f0382963:a781=0=:=k>1>4;4=4`1>7?234?i;7<65:?6f0<51<169oo5287890d?2;3>70;md;0:1>;2jk0958525b296<3<5<hn6?7:;<7`7?4>=27>o<4=949>1f0=:0?018m;:3;6?83d1382963:c681=0=:=ji1>4;4=4ab>7?234?jn7<65:?6e<<51<169lk5287890gd2;3>70;m1;0:1>;2io09585265`96<3<5?>36?7:;<470?4>=27=:k4=949>23d=:0?01;88:3;6?801;38296395g81=0=:><h1>4;4=774>7?234<>?7<65:?50c<51<16:9>52878yv>213:1=:u274496<3<5>?>6?7:;<560?4>=27<9k4=949>30c=:0?01:;k:3;6?812k38296385c81=0=:?<k1>4;4=67:>7?234=>47<65:?412<51<16;8=5287892ga2;3>709ne;0:1>;0?102463836815d=:?821>4<4=63:>f7<5>2o6?7:;<5;b?4>=27<;94=1c9>320=111v58i:1816~;2io095?52472934=:<9;1:>52433926=:<=81:>5245`926=:<=o1:>52443926=:<<>1:>52445926=:<<k1:>5244f926=:<9>1:>52415926=:<9k1:>5241f926=:<8:1:>52401926=:<8?1:>5240:926=:<8h1:>5240g926=:<;>1:>52435926=:<;k1:>5243f926=:<::1:>52421926=:<:<1:>5242;926=:<:i1:>5242d926=:<=?1:>5245:926=z{1<n6=4=2z?6ef<51;168;>56g9>057=>8168??5609>014=>81689l5609>01c=>81688?5609>002=>8168895609>00g=>81688j5609>052=>8168=95609>05g=>8168=j5609>046=>8168<=5609>043=>8168<65609>04d=>8168<k5609>072=>8168?95609>07g=>8168?j5609>066=>8168>=5609>060=>8168>75609>06e=>8168>h5609>013=>8168965609~w=0c2909>v3:a881=7=:<?:1:h52413925=:<;;1:=52450925=:<=h1:=5245g925=:<<;1:=52446925=:<<=1:=5244c925=:<<n1:=52416925=:<9=1:=5241c925=:<9n1:=52402925=:<891:=52407925=:<821:=5240`925=:<8o1:=52436925=:<;=1:=5243c925=:<;n1:=52422925=:<:91:=52424925=:<:31:=5242a925=:<:l1:=52457925=:<=21:=5rs95;>5<5:r7>ol4=939>036=?h168=?55g9>077==o1689<55g9>01d==o1689k55g9>007==o1688:55g9>001==o1688o55g9>00b==o168=:55g9>051==o168=o55g9>05b==o168<>55g9>045==o168<;55g9>04>==o168<l55g9>04c==o168?:55g9>071==o168?o55g9>07b==o168>>55g9>065==o168>855g9>06?==o168>m55g9>06`==o1689;55g9>01>==o1v598:1816~;2k>095?5247293<=:<9;1:n5243392f=:<=81:n5245`92f=:<=o1:n5244392f=:<<>1:n5244592f=:<<k1:n5244f92f=:<9>1:n5241592f=:<9k1:n5241f92f=:<8:1:n5240192f=:<8?1:n5240:92f=:<8h1:n5240g92f=:<;>1:n5243592f=:<;k1:n5243f92f=:<::1:n5242192f=:<:<1:n5242;92f=:<:i1:n5242d92f=:<=?1:n5245:92f=z{1==6=4=2z?6g1<51;168;>5799>057=>k168??56c9>014=>k1689l56c9>01c=>k1688?56c9>002=>k1688956c9>00g=>k1688j56c9>052=>k168=956c9>05g=>k168=j56c9>046=>k168<=56c9>043=>k168<656c9>04d=>k168<k56c9>072=>k168?956c9>07g=>k168?j56c9>066=>k168>=56c9>060=>k168>756c9>06e=>k168>h56c9>013=>k1689656c9~w=122909>v3:c081=7=:<?:1;:5241392d=:<;;1:l5245092d=:<=h1:l5245g92d=:<<;1:l5244692d=:<<=1:l5244c92d=:<<n1:l5241692d=:<9=1:l5241c92d=:<9n1:l5240292d=:<891:l5240792d=:<821:l5240`92d=:<8o1:l5243692d=:<;=1:l5243c92d=:<;n1:l5242292d=:<:91:l5242492d=:<:31:l5242a92d=:<:l1:l5245792d=:<=21:l5rs957>5<5:r7>nh4=939>036=??168=?5689>077=>01689<5689>01d=>01689k5689>007=>01688:5689>001=>01688o5689>00b=>0168=:5689>051=>0168=o5689>05b=>0168<>5689>045=>0168<;5689>04>=>0168<l5689>04c=>0168?:5689>071=>0168?o5689>07b=>0168>>5689>065=>0168>85689>06?=>0168>m5689>06`=>01689;5689>01>=>01v59<:1816~;2jk095?52472930=:<9;1:55243392==:<=81:55245`92==:<=o1:55244392==:<<>1:55244592==:<<k1:55244f92==:<9>1:55241592==:<9k1:55241f92==:<8:1:55240192==:<8?1:55240:92==:<8h1:55240g92==:<;>1:55243592==:<;k1:55243f92==:<::1:55242192==:<:<1:55242;92==:<:i1:55242d92==:<=?1:55245:92==z{1=96=4=2z?6f=<51;168;>5759>057=>>168??5669>014=>>1689l5669>01c=>>1688?5669>002=>>168895669>00g=>>1688j5669>052=>>168=95669>05g=>>168=j5669>046=>>168<=5669>043=>>168<65669>04d=>>168<k5669>072=>>168?95669>07g=>>168?j5669>066=>>168>=5669>060=>>168>75669>06e=>>168>h5669>013=>>168965669~w=162909>v3:b481=7=:<?:1;>52413923=:<;;1:;52450923=:<=h1:;5245g923=:<<;1:;52446923=:<<=1:;5244c923=:<<n1:;52416923=:<9=1:;5241c923=:<9n1:;52402923=:<891:;52407923=:<821:;5240`923=:<8o1:;52436923=:<;=1:;5243c923=:<;n1:;52422923=:<:91:;52424923=:<:31:;5242a923=:<:l1:;52457923=:<=21:;5rs953>5<5:r7>n?4=939>036=?;168=?5649>077=><1689<5649>01d=><1689k5649>007=><1688:5649>001=><1688o5649>00b=><168=:5649>051=><168=o5649>05b=><168<>5649>045=><168<;5649>04>=><168<l5649>04c=><168?:5649>071=><168?o5649>07b=><168>>5649>065=><168>85649>06?=><168>m5649>06`=><1689;5649>01>=><1v58l:1816~;2i?095?5247292a=:<9;1:952433921=:<=81:95245`921=:<=o1:952443921=:<<>1:952445921=:<<k1:95244f921=:<9>1:952415921=:<9k1:95241f921=:<8:1:952401921=:<8?1:95240:921=:<8h1:95240g921=:<;>1:952435921=:<;k1:95243f921=:<::1:952421921=:<:<1:95242;921=:<:i1:95242d921=:<=?1:95245:921=z{1<j6=4>6z?4ec<d927>:;4=939>2`b=:8i01897:2:890>72:2018;7:2a8903>2:i018;n:2a8903e2:i0188n:2a8900e2:i0188l:2a8900c2:i018:i:2a890372:i0189m:2a8901d2:i018:7:2a8902>2:i0186>:2a890>52:i01896:2a8yv>113:1=;u27`g9g4=:=<>1>4<4=7gg>77e34?<47=8;<7;4?5034?>47=m;<76=?5e34?>m7=m;<76f?5e34?=m7=m;<75f?5e34?=o7=m;<75`?5e34??j7=m;<764?5e34?<n7=m;<74g?5e34??47=m;<77=?5e34?3=7=m;<7;6?5e34?<57=m;|q;1c<72?q6;885c09>1g6=:8k01;:m:3;1?803k38:m639b180`>;11j08h6s|84g94?0|5>?>6n?4=4cg>77f34<?47<62:?50<<59h16:o>53b9>2<e=;j1v5;k:185812<3i:70;na;02e>;1<=095?52657964g<5?h;6>l4=7;`>6d<uz2=47>56z?41c<d927>oo4=1`9>23`=:0801;9?:33b?80fn3>;7086b;63?xu?>>0;6;u274g9g4=:=j21><o4=74a>7?534<=o7<>a:?5ec<4m27=5o4<e:p<30=83<p1:;k:b3890e22;;j70897;0:6>;1>109=l526`d97a=:>0h1?i5rs946>5<1s4=>o7m>;<7`6?46i27=:>4=939>232=:8k01;oi:2a893?e2:i0q~694;292~;0=k0h=63:bg815d=:><l1>4<4=743>77f34<jj7=m;<4:f?5e3ty3:>4?:7y>30g=k8169om520c8933e2;39708:c;02e>;1il0?<6399`874>{t0?81<78t=67:>f7<5<h26??n;<463?4>:27=954=1`9>2dc=;l16:4o53d9~w=06290=w09:8;a2?83e>38:m6395281=7=:><>1><o4=7cf>6b<5?3j6>j4}r:54?6=>r7<9:4l1:?6f6<59h16:9h5280893372;;j708ne;1`?80>i39h7p}75b83>3}:?<91o<525`5964g<5?>;6?7=;<475?46i27=mh4<b:?5=d<4j2wx4:750;:0833=382>63;618:65=:<?:15<k4=522><7c34>;=77>b:?744<>9h168=?590;8914620;o70:=1;;2f>;3:802=l524339=4?<5=>964?k;<676??6j27?8?461`9>014=183019:m:83g?823j33:n63;4c8:5d=:<=h15<74=56f><7c34>?i77>b:?70`<>9h1689k590;8913620;o70::1;;2f>;3=802=l524439=4?<5=??64?k;<660??6j27?99461`9>002=183019;8:83g?822?33:n63;568:5d=:<<=15<74=57b><7c34>>m77>b:?71d<>9h1688o590;8913c20;o70::d;;2f>;3=m02=l5244f9=4?<5=:?64?k;<630??6j27?<9461`9>052=183019>8:83g?827?33:n63;068:5d=:<9=15<74=52b><7c34>;m77>b:?74d<>9h168=o590;8916c20;o70:?d;;2f>;38m02=l5241f9=4?<5=;;64?k;<624??6j27?==461`9>046=183019?<:83g?826;33:n63;128:5d=:<8915<74=536><7c34>:977>b:?750<>9h168<;590;8917?20;o70:>8;;2f>;39102=l5240:9=4?<5=;i64?k;<62f??6j27?=o461`9>04d=183019?j:83g?826m33:n63;1d8:5d=:<8o15<74=507><7c34>9877>b:?761<>9h168?:590;8914020;o70:=7;;2f>;3:>02=l524359=4?<5=8j64?k;<61e??6j27?>l461`9>07g=183019<k:83g?825l33:n63;2e8:5d=:<;n15<74=513><7c34>8<77>b:?775<>9h168>>590;8915420;o70:<3;;2f>;3;:02=l524219=4?<5=9=64?k;<602??6j27??;461`9>060=183019=6:83g?824133:n63;388:5d=:<:315<74=51`><7c34>8o77>b:?77f<>9h168>m590;8915a20;o70:<f;;2f>;3;o02=l5242d9=4?<5=>>64?k;<671??6j27?88461`9>013=183019:7:83g?823033:n63;498:5d=:<=215<74}r:5f?6=;r7=ik4=939>3c0=:8h019>>:01b?xu?=k0;6>u2727964d<5<>=6?7=;<5:4?46j2wx4oo50;0x90252;;i70:72;0;<>{t19i1<7<t=220>7?53429:7m>;|q:4g<72;q6?=<528089=422j;0q~7?a;296~;488095?528369g4=z{0:26=4={<134?4>:273>>4l1:p=5>=838p1?hi:3;1?8>5:3i:7p}60683>7}::oo1>4<4=902>f7<uz3;:7>52z?1ba<51;164?>5c09~w<622909w0<ic;0:6>;?9o0h=6s|91294?4|5:=j6n?4=3d4>7?53ty3jk4?:3y>72>=k816>k852808yv>am3:1>v3<778`5>;5n<095?5rs9dg>5<5s49<87m>;<0e0?4>:2wx4kl50;0x96172j;01?h=:3;1?xu?nh0;6?u237g9g4=::o;1>4<4}r:e=?6=:r78:n4l1:?1b5<51;1v5h7:181851i3i:70<jf;0:6>{t0o=1<7<t=24;>f7<5;on6?7=;|q;b3<72;q6?;85c09>6`b=:080q~6i5;296~;4>=0h=63=eb81=7=z{1l?6=4={<156?e6348nn7<62:p<c5=838p1>8?:b3897cf2;397p}7f383>7}:;<o1o<522d;96<4<uz2m<7>52z?01d<d9279i:4=939~w=ca2909w0=:8;a2?84b>382>6s|8dg94?4|5:?=6n?4=3g6>7?53ty3ii4?:3y>702=k816>h:52808yv>bk3:1>v3<538`5>;5m:095?5rs9ga>5<5s49><7m>;<0f6?4>:2wx4ho50;0x962b2j;01?k>:3;1?xu?m00;6?u235a9g4=::l:1>4<4}r:f<?6=:r788l4l1:?1`c<51;1v5k8:18185303i:70<ke;0:6>{t0l?1<7<t=267>f7<5;nh6?7=;|q;a1<72;q6?9<5c09>6ad=:080q~6j3;296~;4<90h=63=d`81=7=z{1o96=4={<10a?e6348o57<62:p<`7=838p1>=l:b3897b?2;397p}7e183>7}:;:k1o<522e596<4<uz2oj7>52z?07=<d9279h;4=939~w=bb2909w0=<6;a2?84c=382>6s|8ef94?4|5:9?6n?4=3f7>7?53ty3hn4?:3y>764=k816>i=52808yv>ci3:1>v3<2d8`5>;5l8095?5rs9f:>5<5s499o7m>;<0g4?4>:2wx4i650;0x964f2j;01?mi:3;1?xu?l>0;6?u233:9g4=::jo1>4<4}r:g2?6=:r78>;4l1:?1ga<51;1v5j::181855<3i:70<lc;0:6>{t0m>1<7<t=201>f7<5;ii6?7=;|q;`6<72;q6??>5c09>6fg=:080q~6k2;296~;49l0h=63=c881=7=z{1n:6=4={<12g?e6348h47<62:p<f`=838p1>?7:b3897e12;397p}7cd83>7}:;8<1o<522b796<4<uz2hh7>52z?051<d9279o94=939~w=ed2909w0=>2;a2?84d;382>6s|8b`94?4|5:;;6n?4=3a1>7?53ty3ol4?:3y>75c=k816>n?52808yv>d13:1>v3<0b8`5>;5k9095?5rs9a;>5<5s49;m7m>;<0ab?4>:2wx4n950;0x966?2j;01?lj:3;1?xu?k?0;6?u23149g4=::kn1>4<4}r;30?6=:r784?4l1:?1bg<51;1v4><:18185?83i:70<ia;0:6>{t1981<7<t=25f>f7<5;l26?7=;|q:44<72;q6?:m5c09>6c>=:080q~6ic;296~;4?;0h=63=f281=7=z{1l:6=4={<16g?e6348n47<62:p<`0=838p1>:9:b3897bc2;397p}7dc83>7}:;::1o<522e096<4<uz2o<7>52z?05d<d9279o:4=939~w=e22909w0=?4;a2?84ek382>6s|3cd94?4|5:=i6n?4=25b>7?53ty8nh4?:3y>72?=k816?:652808yv5el3:1>v3<768`5>;4??095?5rs2``>5<5s49<97m>;<140?4>:2wx?oo50;0x96162j;01>9?:3;1?xu4j00;6?u237d9g4=:;?o1>4<4}r1a<?6=:r78:i4l1:?02f<51;1v>l8:181851j3i:70=9a;0:6>{t;k<1<7<t=24:>f7<5:<36?7=;|q0f0<72;q6?;95c09>730=:080q~=m4;296~;4><0h=63<6581=7=z{:h86=4={<157?e6349=>7<62:p7g4=838p1>8>:b3896072;397p}<b083>7}:;<l1o<5234g96<4<uz9jj7>52z?01g<d92789l4=939~w6gb2909w0=:9;a2?8520382>6s|3`f94?4|5:?<6n?4=275>7?53ty8mn4?:3y>703=k816?8:52808yv5fj3:1>v3<528`5>;4=;095?5rs2cb>5<5s49>=7m>;<164?4>:2wx?l750;0x962a2j;01>:j:3;1?xu4i10;6?u235f9g4=:;=i1>4<4}r1b3?6=:r788o4l1:?00d<51;1v>o9:18185313i:70=;8;0:6>{t;h>1<7<t=266>f7<5:>?6?7=;|q0e6<72;q6?9=5c09>714=:080q~=n2;296~;4<80h=63<4181=7=z{:k:6=4={<10b?e63498i7<62:p7d6=838p1>=k:b38965d2;397p}<9g83>7}:;:h1o<5232c96<4<uz92i7>52z?07<<d9278?54=939~w6?c2909w0=<7;a2?854>382>6s|38a94?4|5:9>6n?4=217>7?53ty85o4?:3y>765=k816?><52808yv5>13:1>v3<2g8`5>;4:l095?5rs2;;>5<5s499h7m>;<11g?4>:2wx?4950;0x964e2j;01><n:3;1?xu41?0;6?u233;9g4=:;;21>4<4}r1:1?6=:r78>:4l1:?063<51;1v>7;:181855=3i:70==4;0:6>{t;091<7<t=200>f7<5:896?7=;|q0=7<72;q6???5c09>776=:080q~=61;296~;49o0h=63<1d81=7=z{:3;6=4={<12`?e6349:o7<62:p7=c=838p1>?6:b38967?2;397p}<8e83>7}:;8=1o<5230496<4<uz93o7>52z?050<d9278=94=939~w6>e2909w0=>3;a2?856:382>6s|39c94?4|5:;:6n?4=233>7?53ty8444?:3y>75`=k816?=k52808yv5?03:1>v3<0e8`5>;48j095?5rs2:4>5<5s49;n7m>;<13e?4>:2wx?5850;0x966>2j;01>>7:3;1?xu40<0;6?u23159g4=:;9<1>4<4}r1`7?6=:r784>4l1:?0<7<51;1v>m=:18185?93i:70=70;0:6>{t;j;1<7<t=25e>f7<5:=n6?7=;|q0g5<72;q6?:j5c09>72e=:080q~=mb;296~;4?:0h=63<7381=7=z{:h;6=4={<16`?e6349>o7<62:p7d3=838p1>:8:b3896212;397p}<9`83>7}:;:;1o<5232296<4<uz93j7>52z?05g<d9278=l4=939~w6>32909w0=?5;a2?857<382>6s|85f94?4|519>6>m4=904>7?53ty38h4?:3y><63=;l164?652808yv>3n3:1>v373780g>;?:0095?5rs973>5<5s428:7=j;<:1e?4>:2wx48?50;0x9=502:i015<m:3;1?xu?=;0;6?u282597`=:0;i1>4<4}r:67?6=:r73?54<8:?;6a<51;1v5;;:1818>4039j706=e;0:6>{t0=?1<7=t=916>6d<518<6n?4=93e>7?53ty38;4?:2y><63=;m164?65c09><76=:080q~6;7;297~;?;?08n637288`5>;?:8095?5rs96;>5<4s428:7=k;<:1e?e63429>7<62:p<1?=839p15=8:2`89=4e2j;015<<:3;1?xu?<h0;6>u282597a=:0;i1o<5283696<4<uz2?n7>53z?;7=<4?273>i4l1:?;60<51;1v5:l:1808>40392706=e;a2?8>5>382>6s|85294?4|518m6n?4=913>70a3ty3?n4?:3y><64=08164>?527d8yv>4l3:1>v37328;5>;?;;09:k5rs91f>5<5s428876>;<:07?41n2wx4>h50;0x9=532;<m706<0;:2?xu?<80;6?u282796<4<519:6?o=;|q;7<<72;q64>8528689=522j;0q~6;2;296~;?;?095?5282096d4<uz28m7>52z?;72<51=164>85c09~w=242909w06<7;0:6>;?;:09m?5rs91a>5<5s42847<63:?;72<d92wx49:50;0x9=5?2;39706<4;0b6>{t?>k1<7<t=65b>7>?34=<57<>b:p32d=838p1:9m:3:;?810138:m6s|7`;94?5|5>k<6?7=;<5b<?e634=j:7<65:p3dg=838p1:o7:3;1?81f>3i:7p}88583>7}:?191>4<4=65e>f7<uz=3:7>53z?43`<d927<;k4=939>3=6=k81v:68:181810m38296388181=7=z{>236=4={<5;6?4>:27<4<4l1:p3=?=838p1:6>:3;1?810l38:m6s|79794?3|5>286464=6:1><><5>=o6?67;<54b???34=3<777;|q4b2<72;q6;96529:892?c2;;n7p}8f883>7}:?=k1>564=6c1>77b3ty<jl4?:3y>31d=:1201:o?:33g?xu0nk0;6?u275a96=><5>k:6??l;|q4bf<72;q6;9j529:892?c2;;o7p}8fe83>7}:?=o1>564=6c1>77c3ty<jh4?:3y>31`=:1201:o?:33a?xu0no0;6?u274296=><5>k:6??k;|q;45<72;q6;8?529:892?c2;;m7p}70083>7}:?<81>564=6;1>77a3ty<j54?:3y>31?=:1201:o?:33f?xu0<80;6?u276;96=><5>3j6??i;|q;46<72kq6;lj529:892c02;3>709j4;0:1>;0m90958527ea96<3<5>n36?7:;<5g0?4>=27<h=4=949>3fe=:0?01:m7:3;6?81d<38296s|75294?5|5><;6?67;<543?e634=<:7m>;|q400<72:q6;lh57g9>3dc=?o16;:m529:8yv1093:1>v38f58`5>;01k09455rs921>5<5s4=jo7<78:?4ea<5901v:=6:18181f>382>638ac8:<>{t09k1<79t=6f`>7?534=oh7<>a:?4e7<59k16;l>520c892?52;;h7096d;02e>;0i809=l5rs92a>5<0s4=n<7<62:?4a4<59h16;l>520a892?52;;o7096d;02f>;0i;09=l527`3964d<uz2;o7>53z?4a1<51;16;h;520c892?52;;i7p}70e83>6}:?l=1>4<4=6g;>77f34=2>7<>a:p<51=83;9w09;9;02`>;0=;09=i52743964b<5>?;6??k;<57b?46l27<8h4=1e9>31b=:8n01::l:33g?813j38:h6384`815a=:?=21><j4=6f3>7?534=o=7<>a:?4e6<59h16;l:520c892?f2;;j70965;02e>;01?09=n5rs92;>5<?s4=o87<62:?4`0<59h16;l=520d892?a2;;i70962;02a>;01j09=o527`0964e<5>3i6??l;|q;4<<72?q6;i65280892b>2;;j7096a;02`>;01k09=o5278d964g<5>3h6??n;|q;41<728>p1:9n:33a?810j38:n63848815d=:?<81><o4=672>77f34=><7<>a:?40c<59h16;9k520c8922c2;;j709;c;02e>;0<k09=l5275c964g<5>>36??n;<5`0?4>:27<o84=1`9>3d5=:8o01:o;:33a?81>038:n63898815d=:?0>1>l<4}r:31?6=9=q6;:o520c8921e2;;j709;9;02f>;0=;09=o52743964d<5>?;6??m;<57b?46j27<8h4=1c9>31b=:8h01::l:33a?813j38:n6384`815g=:?=21><l4=6a;>7?534=h57<>a:?4e6<59j16;l:520a892??2;;j70969;02f>;01>09=o5rs925>5<6<r7<;44=a39>31?=:8i01:;=:33`?812938:o63851815f=:?=l1><m4=66f>77d34=?h7<>c:?40f<59j16;9l520a8922f2;;h709;8;02g>;0kj095?527bf964g<5>k86??m;<5b0?46l27<554=1b9>3<g=:8o01:7::33f?81>>38:m6s|72g94?5|5><;6??l;<543?4>:27<584=1b9~w25a2908w0988;0:6>;0>909=l52787964d<uz=8h7>53z?425<59k16;:85280892?22;;o7p}86d83>`}:?<<1;k5274793c=:?<>1;k5274d93c=:?<o1;k5274f93c=:?<i1;k5274`93c=:?<k1;k5274;93c=:?<21;k5274593c=:?<91;k527`796=><uz=8m7>52z?4eg<51;16;48520g8yv13>3:1>v38a2815a=:?1n1>4<4}r573?6=;r7<m94=1d9>3=`=:0801:7?:33b?xu0?;0;6>u27g796<4<5>l=6??n;<5:2?46l2wx;>l50;1x92g32;;m70983;0:6>;0?=09=l5rs64e>5<5s4=ji7<62:?4=0<59o1v:9?:18181fn382>63897815g=z{><:6=4={<567?4>:27<;o4=1g9~w2022909w09:7;0:6>;0?k09=h5rs645>5<5s4=>47<62:?43g<59j1v:88:1818121382>6387c815a=z{><36=4={<56e?4>:27<;l4=1g9~w20>2909w09:b;0:6>;0?h09=h5rs64b>5<5s4=>o7<62:?43d<59j1v:8m:181812l382>6387`815a=z{><h6=4={<56a?4>:27<544=1g9~w20c2909w09:f;0:6>;01009=n5rs641>5<5s4=>87<62:?4=<<59l1v:8<:181812=382>63898815a=z{><?6=4={<562?4>:27<554=1e9~w25d2909w0985;0:6>;0i<09=n5rs667>5<3s4=3n7<62:?4e0<59h16;:m520c892>d2;;j7p}84283>0}:?1k1>4<4=6:a>f7<5>k>6??m;<54g?46j27<4n4=1c9~w225290?w097a;a2?810m382>6388384b>;00;0h=6s|7c;94?4|5>i?6n?4=6a5>7>?3ty<n=4?:2y>3f1=0816;no5809>3f5=:?l0q~9ma;296~;0k10h=638c`81<==z{>h:6=4<{<5`f?>634=hi76>;<5`3?41n2wx;ol50;0x92ed2j;01:mj:3:;?xu0j;0;6>u27bd9<4=:?m814<527b`963`<uz=io7>52z?4`5<d927<h?4=899~w2d42908w09k3;:2?81c>32:709lf;05b>{t?kn1<7<t=6f7>f7<5>n=6?67;|q4f1<72:q6;i95809>3ag=0816;i=527d8yv1em3:1>v38d98`5>;0lh09455rs6`6>5<4s4=on76>;<5ga?>634=o;7<9f:p3g`=838p1:jl:b3892bb2;237p}8b783>6}:?ml14<527d09<4=:?mh1>;h4}r5`4?6=:r7<i=4l1:?4a7<5011v:l8:18081b;32:709j6;:2?81cn38=j6s|7b394?4|5>o?6n?4=6g5>7>?3ty<n54?:3y>3`?=0816;h=527d8yv1d:3:1>v38e68`5>;0m009455rs6gb>5<4s4=h97<78:?4g6<5i;16;n8527g8yv1bj3:1?v38c881<==:?j=1>l<4=6ab>70b3ty<in4?:2y>3fb=:1201:mm:3c1?81dm38=i6s|7df94?5|5>n:6?67;<5`b?4f:27<h?4=6d9~w2cb2908w09k5;0;<>;0l:09m?527e4963c<uz=nj7>53z?4`<<50116;i952`0892bf2;<n7p}8f183>6}:?mn1>564=6fa>7g534=oi7<9e:p3c7=839p1:k>:3:;?81cn38j>638e3812`=z{>l96=4<{<5f1?4?027<i>4=a39>3`0=:?o0q~9i3;296~;0m10945527d;963c<uz=847>52z?443<d927<?:4=899~w2762909w09<5;02`>;0;?09455rs633>5<>s4=;:7<n2:?442<5i;16;=652`08926>2;k9709?a;0b6>;08k09m?5271a96d4<5>:o6?o=;<501?4?02wx;=k50;1x92512;;j709=9;0:6>;0:h0h=6s|71d94?4|5>9=6??m;<500?4>:2wx;?k50;7x924d20201:<m:8:892422;23709=8;;;?815?3337p}83283>7}:?;k1>4<4=606>77f3ty<??4?:3y>37d=:0801:<6:b38yv1493:1?v382781=7=:?;h1;k5273`9g4=z{>9;6=4={<512?4>=27<>54=939~w24a2908w09=6;a2?81503i:709=7;0:6>{t?;n1<7<t=60`>7?534=9;7m>;|q45a<72<q6;<l5999>34g=1116;<<529:8927220201:?;:8:8yv15<3:1>v381881=7=:?881><o4}r517?6=:r7<=:4=939>34>=k81v:<=:181816>382>638168`5>{t?;;1<7<t=63b>7?534=::7m>;|q465<72:q6;<=52808927f2>l01:?n:b38yv16n3:1>v381281=0=:?8?1>4<4}r52a?6=;r7<=>4l1:?450<d927<=94=939~w27d2909w09>b;0:6>;09=0h=6s|55a94?4|5<><6??n;<77=?4>:2wx99l50;0x902?2j;018:6:3;7?xu2<m0;6?u2555964d<5<>36?7=;|q60d<72;q69985c09>111=:120q~;8e;296~;2?h09=l5256a96<4<uz?<h7>52z?63g<d927>;n4=959~w01a2909w0;8a;02f>;2?k095?5rs471>5<5s4??i7<>a:?615<51;1v8;>:181833n3i:70;:0;0:0>{t=<91<7<t=46f>77e34??j7<62:p122=838p1888:33b?831l382>6s|56394?4|5<<h6n?4=44g>7?33ty>;84?:3y>131=:8h0188l:3;1?xu2?;0;6?u257`9g4=:=?i1>4:4}r742?6=:r7>:54=1`9>13d=:080q~;83;296~;2>h0h=63:6c81=1=z{<=<6=4={<75<?46j27>:l4=939~w00b2909w0;99;02e>;2>>09455rs44e>5<5s4?=57<>b:?62=<5011v89?:181831>3i:70;99;0;<>{t=?81<7<t=476>77f34?>n7<62:p10`=838p18;n:b38903e2;3?7p}:6283>7}:=<?1><l4=47b>7?53ty>:=4?:3y>10?=k81698o52868yv31<3:1>v3:57815d=:=<31>4<4}r755?6=:r7>954l1:?61<<51=1v88::181832>38:n63:5981=7=z{<?h6=4={<763?46i27>984=899~w03c2909w0;:7;02f>;2=?09455rs47f>5<5s4?>87m>;<763?4?02wx95=50;dx90232j;01897:3;1?83a:3=m70;jf;5e?83bj3=m708>6;5e?806:3=m708?e;5e?807i3=m708?6;5e?807:3=m70;ie;5e?83ai3=m70;i6;5e?83b?3=m7p}9fd83>7}:=>21>4=4=473>f7<uz<m>7>5ez?6<5<51;16:9l57g9>21>=?o16:9:57g9>23`=?o16:;l57g9>231=?o16:;=57g9>20`=?o16:8l57g9>201=?o16:8=57g9>21`=?o16:9>57g9~w3`c2909w0;88;a2?83?8382?6s|71694?4|5?on6n?4=7gg>7>?3ty<<84?:3y>2`c=:0801;ki:b38yv17;3:1>v3:4581=7=:==?1o<5rs7d7>5<5s4<m<79i;<7;5?4>:2wx:k950;0x93`62>l0186=:3;1?xu08;0;6?u25939g4=:=181>4:4}r7;1?6=:r7=in48f:?63<<51;1v:>>:18183?:3i:70;89;0:0>{t?9:1<7<t=46;>7?334?<57m>;|q606<72=q6:k>5287893`62;3>708jc;0:1>;2<l09455rs7de>5<5s4??j7<64:?60<<d92wx95950;gx901f2;2370;i2;0:1>;2mo0958525d`96<3<5?;=6?7:;<426?4>=27=<h4=949>25g=:0?01;>9:3;6?807:382963:fd81=0=:=ok1>4;4=4d5>7?234?n;7<65:p2ce=838p186?:b38901e2;3?7p}9fc83>7}:=<21>4:4=45`>f7<uz<mm7>52z?61g<d927>:l4=959~w0>1290hw08jc;0:6>;2=10?<63:58874>;2=h0?<63:5c874>;2>h0?<63:6c874>;2>j0?<63:6e874>;2<l09m?5256c96d4<5<=269>4}r4e<?6=kr7=j<4=939>100=:h8018;::3c1?831038j>63:6681e7=:==l18=52542905=:=>h18=5256a905=:==218=5255;905=:=1818=5rs7d6>5<2s4<m<7<62:?612<5i;169;752`0890202;k970;71;63?xu1n00;6?u257f9g4=:>ho1>4:4}r4e2?6=:r7=j<4l1:?5e<<5>o1v;h<:18180a83i:70865;05b>{t=1>1<7<t=7g`>f7<5?2:6?8i;|q6<g<72;q69o?5c09>1g6=:120q~;7a;296~;2il0h=63:ae81<==z{<226=4={<7bf?e634?jm7<78:p1<2=838p18ml:b3890ee2;237p}:9283>7}:=j31o<525b:96=><uz?2>7>52z?6g3<d927>o84=899~w0?62909w0;l3;a2?83d:38346s|58294?4|5<i;6n?4=4`e>7>?3ty>4k4?:3y>1gb=k8169om529:8yv3?m3:1>v3:b`8`5>;2j009455rs4:g>5<5s4?i;7m>;<7a2?4?02wx95m50;0x90d32j;018l<:3:;?xu2010;6?u25`:9g4=:=h=1>564}r4`5?6=:r7=4=4=899>2g7=k81v;li:181800n38346399e8`5>{t>kn1<7<t=4c6>7?534<357m>;|q5g=<72;q694l5280890g22j;0q~8l7;296~;21h095?5258`9g4=z{?i=6=4={<7:=?4>:27>5l4l1:p2f3=839p1877:3;1?83>13i:70;m0;02g>{t>j>1<7=t=4;4>7?534?247m>;<7b`?46k2wx:n=50;1x90?12;3970;67;a2?83fi38:o6s|6b094?5|5<k?6?7=;<7:2?e634?hn7<>c:p2a7=839p18o<:3;1?83f<3i:70;l8;02g>{t>m:1<7=t=4c1>7?534?j?7m>;<7`1?46k2wx:nh50;1x90g62;3970;n2;a2?83d:38:o6s|6bg94?5|5<k;6?7=;<7b5?e634?ij7<>c:p2fb=839p187i:3;1?83f83i:70;mc;02g>{t>ji1<7=t=4;f>7?534?2j7m>;<7a=?46k2wx:nl50;1x90?c2;3970;6e;a2?83e>38:o6s|6bc94?5|5<3h6?7=;<7:`?e634?i?7<>c:p2f?=839p187::3;1?83>k3i:70;n7;02g>{t>j:1<7<t=7:3>77f34<2m7<64:p2gc=838p1;9i:33b?80?>38286s|6d094?2|5<h;6??m;<7e6?4>:27>j>4=1`9>2=>=;m1v;k>:18783fl38:n63:eg81=7=:=o:1><o4=7:;>6e<uz<n<7>54z?6ed<59k169hl5280890cd2;;j70878;1a?xu1mk0;69u25b`964d<5?;=6?7=;<423?46i27=4:4;0:p2`g=83>p18m7:33a?806:382>63912815d=:>1=1?h5rs7g:>5<3s4?h97<>b:?54`<51;16:=h520c893>02:n0q~8j8;290~;2k;09=o5261c96<4<5?:i6??n;<4;3?5d3ty=i:4?:5y>1g`=:8h01;>9:3;1?807?38:m6398680f>{t>l<1<7:t=4``>77e34<;>7<62:?546<59h16:585419~w3c2290?w0;m9;02f>;2nl095?525gd964g<5?2=6>k4}r4f0?6=<r7>n;4=1c9>1cg=:08018hm:33b?80?>39o7p}9e283>1}:=k91><l4=4d5>7?534?m;7<>a:?5<3<4k2wx:ih50;6x90g02;;i70;j7;0:6>;2m109=l5269497g=z{?n>6=4={<7a5?4>:27>mk4l1:p2a2=838p18oj:3;1?83fk3i:7p}9d283>7}:=hh1>4<4=4c:>f7<uz<oi7>52z?6gf<51;169no5c09~w3bc2909w0;l9;0:6>;2k>0h=6s|6ea94?4|5<i=6?7=;<7`0?e63ty=ho4?:3y>1f5=:08018m>:b38yv0ci3:1>v3:c181=7=:=ko1o<5rs7f:>5<5s4?ih7<62:?6fg<d92wx:i650;0x90df2;3970;m8;a2?xu1l>0;6?u25c596<4<5<h>6n?4}r4g2?6=:r7>n94=939>1g4=k81v;j=:18183f0382>63:a78`5>{t>:81<7<t=763>f7<5?>96?67;|q56<<72:q6:9k5809>207=0816:>h527d8yv04>3:1>v394g8`5>;1=809455rs70b>5<4s4<>>76>;<461?>634<?i7<9f:p261=838p1;;<:b3893322;237p}92c83>6}:><<14<5264;9<4=:><81>;h4}r40<?6=:r7=9:4l1:?51<<5011v;<l:180802i32:708:d;:2?802>38=j6s|62;94?4|5??i6n?4=77g>7>?3ty=>i4?:2y>20c=0816:;?5809>20g=:?l0q~8<a;296~;1=o0h=6396081<==z{?8n6=4<{<456?>634<=976>;<46a?41n2wx:>l50;0x93042j;01;8::3:;?xu1:o0;6>u26749<4=:>?314<52670963`<uz<8o7>52z?522<d927=:44=899~w3572908w089a;:2?801l32:70896;05b>{t>:n1<7<t=74a>f7<5?<o6?67;|q574<72:q6:;k5809>227=0816:;o527d8yv04m3:1>v396g8`5>;1?809455rs705>5<4s4<??76>;<472?>634<=i7<9f:p265=838p1;:;:b3893212;237p}92683>6}:>==14<5265c9<4=:>=91>;h4}r400?6=:r7=854l1:?50d<5011v;<7:181803l32:708;7;05b>{t>:?1<7<t=76a>f7<5?>o6?67;|q537<72:q6:9?529:8935a2;k9708;2;05a>{t>><1<7=t=773>7>?34<?i7<n2:?514<5>l1v;98:180802<38346395381e7=:><?1>;k4}r44<?6=;r7=954=899>200=:h801;;6:34f?xu1?00;6>u264a96=><5??j6?o=;<46`?41m2wx::o50;1x93072;23708:e;0b6>;1>809:h5rs75a>5<4s4<=87<78:?527<5i;16:;;527g8yv00k3:1?v396981<==:>?<1>l<4=74:>70b3ty=;i4?:2y>23e=:1201;8n:3c1?801l38=i6s|66g94?5|5?=;6?67;<45a?4f:27=;<4=6d9~w3142908w08;5;0;<>;1<:09m?52654963c<uz<<87>53z?50<<50116:9952`08932f2;<n7p}97483>7}:>=i1>564=76g>70b3ty>h44?:3y>1`1=k8169h7529:8yv3c83:1?v3:f48;5>;2n103=63:e7812c=z{<no6=4={<7e2?e634?m47<78:p1a7=839p18h6:93890`d21;018h::34e?xu2ll0;6?u25gc9g4=:=oi1>564}r7g6?6=;r7>ji471:?545<?927>j44=6g9~w0ba2909w0;ie;a2?807838346s|5e194?5|5?::65?4=727>=7<5<lo6?8i;|q6a5<72;q6:=<5c09>252=:120q~;k4;297~;18<03=639098;5>;18809:k5rs4g2>5<5s4<;:7m>;<43<?4?02wx9i;50;1x936>21;01;>l:93893622;<m7p}:e383>7}:>9k1o<5261a96=><uz?o:7>53z?54a<?927===471:?54<<5>o1v8k<:181807m3i:708>0;0;<>{t=m=1<7=t=732>=7<5?;?65?4=72g>70a3ty>i94?:3y>244=k816:<:529:8yv3c03:1?v39148;5>;19103=63910812c=z{<o>6=4={<422?e634<:47<78:p1fb=839p18kn:93890cc21;01;?::34e?xu2lh0;6?u25d`9g4=:=ln1>564}r7`a?6=;r7>ih471:?6b4<?927>il4=6g9~w0be2909w0;jf;a2?83a938346s|5bd94?4|5<l?65?4=4gf>70a3ty>hn4?:3y>1c4=k8169k:529:8yv0613:1?v3:e981<==:=l<1>l<4=4g:>70b3ty==i4?:2y>1c1=:12018h::3c1?83a038=i6s|60g94?5|5<li6?67;<7e=?4f:27>jn4=6d9~w37a2908w0;if;0;<>;2nm09m?52612963c<uz<9<7>53z?546<50116:=?52`0893632;<n7p}92083>6}:>9=1>564=726>7g534<;47<9e:p274=839p1;>m:3:;?807138j>6390b812`=z{?886=4<{<43b?4?027=<i4=a39>246=:?o0q~8=4;297~;19:09455260396d4<5?;?6?8j;|q560<72:q6:<9529:893722;k9708>8;05a>{t>8k1<7=t=4g`>7>?34?nm7<n2:?6aa<5>l1v;?m:18083a8383463:ed81e7=:=o;1>;k4}r42g?6=:r7>j>4=899>1c2=:?o0q~:68;296~;3i?0h=63;a981<==z{=2m6=4<{<6a0?>634>i;76>;<6b1?41n2wx84m50;0x91d22j;019l8:3:;?xu3190;6>u24c:9<4=:<kh14<524c6963`<uz>2h7>52z?7f<<d927?no4=899~w1?62908w0:mc;:2?82en32:70:m8;05b>{t<0o1<7<t=5`g>f7<5=hm6?67;|q7=7<72:q68n>5809>0f5=08168om527d8yv2>n3:1>v3;c08`5>;3k:09455rs5;0>5<4s4>h876>;<6`3?>634>h<7<9f:p0d6=838p19m::b3891e02;237p};9583>6}:<j214<524b`9<4=:<j>1>;h4}r6b5?6=:r7?o44l1:?7gg<5011v97::18082dk32:70:lf;:2?82d038=j6s|4`094?4|5=io6n?4=5ae>7>?3ty?5;4?:2y>0a6=08168i=5809>0fe=:?l0q~:n3;296~;3l80h=63;d281<==z{=3<6=4<{<6g0?>634>o;76>;<6g4?41n2wx8l:50;0x91b22j;019j8:3:;?xu30j0;6>u24`;9<4=:<hi14<524e6963`<uz>257>52z?7ed<d927?mn4=899~w1>c2908w0:nd;:2?82e832:70:n9;05b>{t<0k1<7<t=5cf>f7<5=h;6?67;|q7<`<72;q68o=5809>0db=:?l0q~:6b;296~;3j80h=63;b281<==z{=n36=4<{<6b3?4?027?m84=a39>0d>=:?o0q~:kc;297~;3j?0945524c696d4<5=h<6?8j;|q7`a<72:q68oo529:891d?2;k970:mb;05a>{t<mo1<7=t=5`f>7>?34>io7<n2:?7fc<5>l1v9ji:18082d:383463;c181e7=:<j91>;k4}r6f4?6=;r7?o;4=899>0f2=:h8019m8:34f?xu3m80;6>u24bc96=><5=i36?o=;<6`f?41m2wx8h<50;1x91eb2;2370:lc;0b6>;3ko09:h5rs5g0>5<4s4>o>7<78:?7`5<5i;168i=527g8yv2b<3:1?v3;d781<==:<m>1>l<4=5f4>70b3ty?h44?:2y>0dd=:12019o6:3c1?82fk38=i6s|4ec94?5|5=km6?67;<6b`?4f:27?n=4=6d9~w1be2909w0:m2;0;<>;3j:09:h5rs7`6>5<5s4<jn76>;<4be?41n2wx:o850;0x93gd21;01;om:34e?xu1j>0;6?u26`f9<4=:>hi1>;h4}r4a<?6=:r7=mi4=6g9>2d?=081v;l6:18180fm382>639a`81e7=z{?h96=4={<4bb?4><27=mh4l1:p2gg=838p1;oi:3;1?80fj38j>6s|6c194?4|5?h;6?7;;<4bb?e63ty=no4?:3y>2g6=:0801;ol:3c1?xu1j=0;6?u26c396<5<5?h;6n?4}r4ag?6=:r7=n<4=939>2db=:h80q~8n1;296~;11>03=63997812c=z{?k96=4={<4:<?>634<2;7<9f:p2d5=838p1;76:93893??2;<m7p}9a583>7}:>031>;h4=7;6>=7<uz<j97>52z?5=d<51;16:4852`08yv0>m3:1>v399c81=1=:>0k1o<5rs7c5>5<5s4<2n7<62:?5=2<5i;1v;7i:18180>k38286399c8`5>{t>h=1<7<t=7;`>7?534<247<n2:p2d6=838p1;7k:3;0?80>k3i:7p}9a983>7}:>0n1>4<4=7;:>7g53ty=4i4?:3y>2=5=0816:5<527d8yv0?m3:1>v39858;5>;10:09:k5rs7:e>5<5s4<3976>;<4;0?41n2wx:4>50;0x93>22;<m70871;:2?xu1180;6?u269496<4<5?296?o=;|q5<d<72;q6:595286893>12j;0q~862;296~;10>095?5269196d4<uz<3n7>52z?5<=<51=16:595c09~w3?42909w0878;0:6>;10=09m?5rs7:`>5<5s4<357<63:?5<=<d92wx:4:50;0x93>>2;3970875;0b6>{t;m:1<7<t=2f;>f7<5:nj6?67;|q0gd<72:q6?il5809>7ac=0816?i9527d8yv5c93:1>v3<db8`5>;4ll09455rs2aa>5<4s49oj76>;<1f6?>6349on7<9f:p7a4=838p1>k?:b3896c52;237p}<cb83>6}:;l914<523d49<4=:;ml1>;h4}r1g7?6=:r78i94l1:?0a3<5011v>mk:18085b?32:70=ja;:2?85b;38=j6s|3e694?4|5:o36n?4=2gb>7>?3ty8oh4?:2y>7`d=0816?hk5809>7`1=:?l0q~=k5;296~;4mj0h=63<ed81<==z{:im6=4={<1e5?>6349nn7<9f:p7a0=838p1>ki:b3896`62;237p}<f383>6}:;m31>564=2f4>7g5349om7<9e:p7c5=839p1>jk:3:;?85cj38j>63<dd812`=z{:l?6=4<{<1f5?4?0278hk4=a39>7`4=:?o0q~=i5;297~;4m<0945523d196d4<5:o=6?8j;|q0b3<72:q6?h7529:896c02;k970=ja;05a>{t;o=1<7=t=2gg>7>?349nn7<n2:?0a`<5>l1v>h7:18185a8383463<f0812`=z{=<86=4={<650?4?027?:k4=1c9~w1032909w0:9f;0;<>;30;09=k5rs5:0>5<5s4>=i7<>f:?7<1<5011v96;:18182??383463;64815a=z{=2<6=4={<6;<?4?027?:84=1b9~w1>?2909w0:79;0;<>;3><09=o5rs5::>5<5s4>=97<78:?72`<59l1v98::181821>383463;68815a=z{=<=6=4={<653?4?027?:44=1b9~w1002909w0:98;0;<>;3>009=o5rs54;>5<5s4>=57<78:?72`<59j1v986:181821i383463;6e815a=z{=<j6=4={<65f?4?027?:i4=1b9~w10e2909w0:9c;0;<>;3>m09=o5rs54`>5<5s4>=h7<78:?72`<59m1v98k:181821m383463;83815f=z{=<n6=4={<644?4?027?;>4=1e9~w1172909w0:81;0;<>;3?:09=n5rs552>5<5s4><>7<78:?736<59k1v99=:181820;383463;79815`=z{==?6=4={<64<?46j27?;84=899~w1122909w0:88;0;<>;30;09=h5rs55;>5<5s4><57<78:?73f<59m1v996:181820i383463;7b815f=z{==j6=4={<64f?4?027?;n4=1c9~w11e2909w0:8c;0;<>;30809=k5rs55g>5<5s4>3=7<>b:?73`<5011v99j:18182?9383463;83815a=z{>3:6=4={<5:6?4?027<5k4=1b9~w2?52909w096c;0;<>;01m09=n5rs6;`>5<5s4=2h7<78:?4=c<59l1v:7j:18181>j38:j6389g81<==z{>3m6=4={<5b6?4?027<5:4=1g9~w2g52909w09n3;0;<>;01>09=n5rs6c0>5<5s4=j87<78:?4=2<59m1v:7<:18181><383463896815`=z{>3?6=4={<5:3?4?027<5o4=1d9~w2?02909w0968;0;<>;01h09=o5rs6;;>5<5s4=257<78:?4=d<59j1v:76:18181>i38346389c815a=z{>2n6=4n92y>3=b=k8165?6529c89=712:2015?9:2;89=712:k015?>:2:89=762:3015?>:2c896632:=01>>;:2:896632:301>?n:258967f2:201>?n:2;896572:=01>=?:2:896572:301>:9:25896212:201>:9:2;8963d2:=01>;l:2:8963d2:301>9=:25896152:201>9=:2;8961d2:=01>9l:2:8961d2:301>9j:258961b2:201>9j:2;896>72:=01>6?:2:896>72:301>6=:25896>52:201>6=:2;896612:=01>>9:2:896612:301>>7:258966?2:201>>7:2;8966f2:=01>>n:2:8966f2:301>>l:258966d2:201>>l:2;8966b2:=01>>j:2:8966b2:301>??:25896772:201>??:2;896752:=01>?=:2:896752:301>?;:25896732:201>?;:2;896712:=01>?9:2:896712:301>?7:258967?2:201>?7:2;8967d2:=01>?l:2:8967d2:301>?j:258967b2:201>?j:2;896472:=01><?:2:896472:301><=:25896452:201><=:2;896432:=01><;:2:896432:301><9:25896412:201><9:2;8964?2:=01><7:2:8964?2:301><n:258964f2:201><n:2;8964d2:=01><l:2:8964d2:301><j:258964b2:201><j:2;896552:=01>==:2:896552:301>=;:25896532:201>=;:2;896512:=01>=9:2:896512:301>=7:258965?2:201>=7:2;8965f2:=01>=n:2:8965f2:301>=l:258965d2:201>=l:2;8965b2:=01>=j:2:8965b2:301>:?:25896272:201>:?:2;896252:=01>:=:2:896252:301>:;:25896232:201>:;:2;8962?2:=01>:7:2:8962?2:301>:n:258962f2:201>:n:2;8962d2:=01>:l:2:8962d2:301>:j:258962b2:201>:j:2;896372:=01>;?:2:896372:301>;=:25896352:201>;=:2;896332:=01>;;:2:896332:301>;9:25896312:201>;9:2;8963?2:=01>;7:2:8963?2:301>;n:258963f2:201>;n:2;8963b2:=01>;j:2:8963b2:301>8?:25896072:201>8?:2;896052:=01>8=:2:896052:301>8;:25896032:201>8;:2;896012:=01>89:2:896012:301>87:258960?2:201>87:2;8960f2:=01>8n:2:8960f2:301>8l:258960d2:201>8l:2;8960b2:=01>8j:2:8960b2:301>9?:25896172:201>9?:2;896132:=01>9;:2:896132:301>99:25896112:201>99:2;8961?2:=01>97:2:8961?2:301>9n:258961f2:201>9n:2;89=502=:015=9:5289=522=:015=>:9389=572;k97097a;5e?81?j3=m70985;5e?81003i:709i4;5e?81?93=m709n7;5e?81f03=m709j7;5e?81b<3=m709j0;5e?81ck3=m709k8;5e?81c<3=m709k0;5e?81dk3=m709l8;5e?81d<3=m709l3;:2?81d>32:709<6;02g>;0:00<j6382`84b>;09?0<j6381684b>;0910<j6381884b>;2i<08;63:a480<>;2i<08563:a480e>;1;o03=639438;5>;2m?03=63:e88;5>;3i<03=63;a98;5>;1j908i639b1874>;1j808;639b080<>;1j8085639b080e>;1ih03=639a881e7=:>0i1?h5268a905=:>0n1?:5268f97==:>0n1?45268f97d=:>0<14<5268796d4<5?236>k4=7:;>16<5?226>94=7::>6><5?226>74=7::>6g<5?2965?4=7:2>7g5349o;76>;<1ge?>634=jn7m>;<654?74827?:=4>2d9>036=9;n0198?:00`?82183;9n63;61826d=:<?:1=>74=543>45?34>=<7?<7:?725<6;?168;>512789107289?70:90;307>;3>90:??524729567<5=<;6<<6;<654?71:27?:=4>619>036=9<l0198?:07f?82183;>h63;61821f=:<?:1=;l4=543>40f34>=<7?99:?725<6>1168;>51758910728<=70:90;351>;3>90::9524729535<5=<;6<9<;<654?70927?:=4>7c9>036=9>30198?:0db?8218382563;6181=g=:<9;18<5241391`=:<9;1=??4=522>47a34>;=7?>d:?744<69j168=?510`8916628;j70:?1;32=>;3880:=5524139541<5=::6<?9;<635?76=27?<<4>159>057=988019>>:032?82793;:<63;00824c=:<9;1==k4=522>46c34>;=7??c:?744<68k168=?511c8916628:270:?1;31<>;3880:>:524139570<5=::6<<:;<635?75<27?<<4>229>057=9;8019>>:03f?82793;:?63;00824==:<9;1=8=4=522>43634>;=7?;f:?744<6<l168=?515f8916628>h70:?1;37f>;3880:8l52413951?<5=::6<:7;<635?73?27?<<4>479>057=9=>019>>:060?82793;?>63;008204=:<9;1=9>4=522>45a34>;=7?<e:?744<6;m168=?512a89166289i70:?1;36e>;3880:9452413950><5=::6<;8;<635?72>27?<<4>549>057=9<>019>>:073?82793;<<63;00822`=:<9;1=;j4=522>40d34>;=7?88:?744<6??168=?51678916628=?70:?1;3e=>;38809545241396<g<5=8:69?4=502>0c<5=8:6<<>;<615?76n27?><4>1e9>077=98i019<>:03a?82593;:m63;20825<=:<;;1=<64=502>47034>9=7?>6:?764<69<168??51068914628;970:=1;325>;3:80:==52433955`<5=8:6<>j;<615?77l27?><4>0b9>077=99h019<>:02b?82593;;563;20826==:<;;1=?94=502>44134>9=7?=5:?764<6:=168??513189146288970:=1;32a>;3:80:=>52433955><5=8:6<;<;<615?72927?><4>4g9>077=9=o019<>:06g?82593;?o63;20820g=:<;;1=9o4=502>42>34>9=7?;8:?764<6<>168??51548914628>?70:=1;377>;3:80:8?524339517<5=8:6<:?;<615?74n27?><4>3d9>077=9:n019<>:01`?82593;8n63;20821d=:<;;1=874=502>43?34>9=7?:7:?764<6=?168??51478914628??70:=1;364>;3:80:;=52433953c<5=8:6<8k;<615?71k27?><4>799>077=9><019<>:056?82593;<863;2082b<=:<;;1>474=502>7?f34>?>7:>;<676?3b34>?>7?=1:?707<69o1689<510f8912528;h70:;2;32f>;3<;0:=l52450954?<5=>96<?7;<676?76?27?8?4>179>014=98?019:=:037?823:3;:>63;438254=:<=81=<>4=561>46a34>?>7??e:?707<68m1689<511a8912528:i70:;2;33e>;3<;0:<452450957><5=>96<<8;<676?75>27?8?4>249>014=9;>019:=:000?823:3;9>63;43825`=:<=81=<=4=561>46?34>?>7?:3:?707<6=81689<515d8912528>n70:;2;37`>;3<;0:8n52450951d<5=>96<:n;<676?73127?8?4>499>014=9==019:=:065?823:3;?863;438206=:<=81=9<4=561>42634>?>7?;0:?707<6;o1689<512g89125289o70:;2;30g>;3<;0:?o52450950g<5=>96<;6;<676?72027?8?4>569>014=9<<019:=:076?823:3;>863;438215=:<=81=:>4=561>40b34>?>7?9d:?707<6>j1689<516:8912528==70:;2;341>;3<;0:;95245095c?<5=>96?76;<676?4>i27?8o4;1:?70g<2m27?8o4>209>01d=98l019:m:03g?823j3;:o63;4c825g=:<=h1=<o4=56a>47>34>?n7?>8:?70g<69>1689l51048912e28;>70:;b;320>;3<k0:=?5245`9547<5=>i6<??;<67f?77n27?8o4>0d9>01d=99n019:m:02`?823j3;;n63;4c824d=:<=h1==74=56a>44?34>?n7?=7:?70g<6:?1689l51378912e288?70:;b;317>;3<k0:>?5245`954c<5=>i6<?<;<67f?77027?8o4>529>01d=9<;019:m:06e?823j3;?i63;4c820a=:<=h1=9m4=56a>42e34>?n7?;a:?70g<6<01689l515:8912e28><70:;b;372>;3<k0:895245`9515<5=>i6<:=;<67f?73927?8o4>419>01d=9:l019:m:01f?823j3;8h63;4c827f=:<=h1=>l4=56a>43f34>?n7?:9:?70g<6=11689l51458912e28?=70:;b;361>;3<k0:995245`9506<5=>i6<9?;<67f?71m27?8o4>6e9>01d=9?i019:m:05;?823j3;<:63;4c8230=:<=h1=::4=56a>4`>34>?n7<69:?70g<51h1689k5409>01c==l1689k51338912b28;m70:;e;32`>;3<l0:=n5245g954d<5=>n6<?n;<67a?76127?8h4>199>01c=98=019:j:035?823m3;:963;4d8251=:<=o1=<<4=56f>47634>?i7?>0:?70`<68o1689k511g8912b28:o70:;e;33g>;3<l0:<o5245g955g<5=>n6<>6;<67a?75027?8h4>269>01c=9;<019:j:006?823m3;9863;4d8266=:<=o1=?<4=56f>47b34>?i7?>3:?70`<6811689k51418912b28?:70:;e;37b>;3<l0:8h5245g951b<5=>n6<:l;<67a?73j27?8h4>4`9>01c=9=3019:j:06;?823m3;?;63;4d8203=:<=o1=9:4=56f>42434>?i7?;2:?70`<6<81689k51528912b289m70:;e;30a>;3<l0:?i5245g956e<5=>n6<=m;<67a?72i27?8h4>589>01c=9<2019:j:074?823m3;>:63;4d8210=:<=o1=8:4=56f>43734>?i7?80:?70`<6>l1689k517f8912b28<h70:;e;34<>;3<l0:;;5245g9523<5=>n6<9;;<67a?7a127?8h4=989>01c=:0k019;>:53891362<o019;>:002?82293;:j63;50825a=:<<;1=<m4=572>47e34>>=7?>a:?714<6901688?510:8913628;<70::1;322>;3=80:=8524439542<5=?:6<?=;<665?76927?9<4>119>007=99l019;>:02f?82293;;h63;50824f=:<<;1==l4=572>46f34>>=7??9:?714<6:11688?513589136288=70::1;311>;3=80:>9524439575<5=?:6<<=;<665?76m27?9<4>129>007=992019;>:070?82293;>=63;50820c=:<<;1=9k4=572>42c34>>=7?;c:?714<6<k1688?515c8913628>270::1;37<>;3=80:8:524439510<5=?:6<:;;<665?73;27?9<4>439>007=9=;019;>:063?82293;8j63;50827`=:<<;1=>j4=572>45d34>>=7?<b:?714<6=h1688?514;8913628?370::1;363>;3=80:9;524439503<5=?:6<;;;<665?72827?9<4>719>007=9?o019;>:04g?82293;=o63;50823==:<<;1=:84=572>41234>>=7?84:?714<6n01688?528;891362;3j70::4;62?822<3?n70::4;315>;3==0:=k52446954b<5=??6<?l;<660?76j27?994>1`9>002=983019;;:03;?822<3;:;63;558253=:<<>1=<;4=577>47334>>87?>2:?711<6981688:51028913328:m70::4;33a>;3==0:<i52446955e<5=??6<>m;<660?77i27?994>089>002=9;2019;;:004?822<3;9:63;558260=:<<>1=?:4=577>44434>>87?=2:?711<69l1688:51018913328:370::4;367>;3==0:9<52446951`<5=??6<:j;<660?73l27?994>4b9>002=9=h019;;:06b?822<3;?563;55820==:<<>1=994=577>42134>>87?;4:?711<6<:1688:51508913328>:70::4;374>;3==0:?k52446956c<5=??6<=k;<660?74k27?994>3c9>002=9<k019;;:07:?822<3;>463;558212=:<<>1=884=577>43234>>87?:4:?711<6=91688:51628913328<n70::4;35`>;3==0::n52446952><5=??6<99;<660?70=27?994>759>002=9o3019;;:3;:?822<382m63;56875>;3=>0>i63;568264=:<<=1=<h4=574>47c34>>;7?>c:?712<69k16889510c8913028;270::7;32<>;3=>0:=:524459540<5=?<6<?:;<663?76<27?9:4>139>001=98;019;8:033?822?3;;j63;56824`=:<<=1==j4=574>46d34>>;7??b:?712<68h16889511;89130288370::7;313>;3=>0:>;524459573<5=?<6<<;;<663?75;27?9:4>239>001=98o019;8:030?822?3;;463;568216=:<<=1=8?4=574>42a34>>;7?;e:?712<6<m16889515a8913028>i70::7;37e>;3=>0:8452445951><5=?<6<:8;<663?73>27?9:4>459>001=9=9019;8:061?822?3;?=63;568205=:<<=1=>h4=574>45b34>>;7?<d:?712<6;j16889512`8913028?j70::7;36=>;3=>0:95524459501<5=?<6<;9;<663?72=27?9:4>559>001=9<:019;8:053?822?3;=i63;56822a=:<<=1=;m4=574>41?34>>;7?86:?712<6?<1688951668913028l270::7;0:=>;3=>095l5244c904=:<<k19h5244c9577<5=?j6<?i;<66e?76l27?9l4>1b9>00g=98h019;n:03b?822i3;:563;5`825==:<<k1=<94=57b>47134>>m7?>5:?71d<69=1688o51008913f28;:70::a;324>;3=h0:<k5244c955c<5=?j6<>k;<66e?77k27?9l4>0c9>00g=99k019;n:02:?822i3;9463;5`8262=:<<k1=?84=57b>44234>>m7?=4:?71d<6::1688o51308913f28;n70::a;327>;3=h0:<55244c9505<5=?j6<;>;<66e?73n27?9l4>4d9>00g=9=n019;n:06`?822i3;?n63;5`820d=:<<k1=974=57b>42?34>>m7?;7:?71d<6<?1688o51568913f28>870::a;376>;3=h0:8<5244c9516<5=?j6<=i;<66e?74m27?9l4>3e9>00g=9:i019;n:01a?822i3;>m63;5`821<=:<<k1=864=57b>43034>>m7?:6:?71d<6=<1688o51468913f28?;70::a;344>;3=h0::h5244c953b<5=?j6<8l;<66e?70027?9l4>779>00g=9>?019;n:057?822i3;m563;5`81=<=:<<k1>4o4=57g>17<5=?o68k4=57g>44634>>h7?>f:?71a<69m1688j510a8913c28;i70::d;32e>;3=m0:=45244f954><5=?o6<?8;<66`?76>27?9i4>149>00b=98>019;k:031?822l3;:=63;5e8255=:<<n1==h4=57g>46b34>>h7??d:?71a<68j1688j511`8913c28:j70::d;33=>;3=m0:>55244f9571<5=?o6<<9;<66`?75=27?9i4>259>00b=9;9019;k:001?822l3;:i63;5e8256=:<<n1==64=57g>43434>>h7?:1:?71a<6<o1688j515g8913c28>o70::d;37g>;3=m0:8o5244f951g<5=?o6<:6;<66`?73027?9i4>469>00b=9=<019;k:067?822l3;??63;5e8207=:<<n1=9?4=57g>42734>>h7?<f:?71a<6;l1688j512f8913c289h70::d;30f>;3=m0:9l5244f950?<5=?o6<;7;<66`?72?27?9i4>579>00b=9<?019;k:077?822l3;><63;5e8235=:<<n1=;k4=57g>40c34>>h7?9c:?71a<6?11688j51648913c28=>70::d;340>;3=m0:j45244f96<?<5=?o6?7n;<630?2634>;87;j;<630?75927?<94>1g9>052=98n019>;:03`?827<3;:n63;05825d=:<9>1=<74=527>47?34>;87?>7:?741<69?168=:51078916328;?70:?4;326>;38=0:=<524169546<5=:?6<>i;<630?77m27?<94>0e9>052=99i019>;:02a?827<3;;m63;05824<=:<9>1=?64=527>44034>;87?=6:?741<6:<168=:513689163288870:?4;316>;38=0:=h524169545<5=:?6<>7;<630?72;27?<94>509>052=9=l019>;:06f?827<3;?h63;05820f=:<9>1=9l4=527>42f34>;87?;9:?741<6<1168=:51558916328>=70:?4;370>;38=0:8>524169514<5=:?6<:>;<630?73827?<94>3g9>052=9:o019>;:01g?827<3;8o63;05827g=:<9>1=8o4=527>43>34>;87?:8:?741<6=>168=:51448916328?>70:?4;360>;38=0:9=524169526<5=:?6<8j;<630?71l27?<94>6b9>052=9>2019>;:055?827<3;<963;058231=:<9>1=k74=527>7?>34>;87<6a:?742<3927?<:4:e:?742<6:8168=9510d8916028;o70:?7;32g>;38>0:=o52415954g<5=:<6<?6;<633?76027?<:4>169>051=98<019>8:036?827?3;:863;068257=:<9=1=<?4=524>47734>;;7??f:?742<68l168=9511f8916028:h70:?7;33f>;38>0:<l52415955?<5=:<6<<7;<633?75?27?<:4>279>051=9;?019>8:007?827?3;9?63;068267=:<9=1=<k4=524>47434>;;7??8:?742<6=:168=951438916028>m70:?7;37a>;38>0:8i52415951e<5=:<6<:m;<633?73i27?<:4>489>051=9=2019>8:064?827?3;?:63;068201=:<9=1=9=4=524>42534>;;7?;1:?742<6<9168=9512d89160289n70:?7;30`>;38>0:?n52415956d<5=:<6<;n;<633?72127?<:4>599>051=9<=019>8:075?827?3;>963;068211=:<9=1=8>4=524>41734>;;7?9e:?742<6>m168=9517a8916028=370:?7;342>;38>0:;8524159522<5=:<6<h6;<633?4>127?<:4=9`9>05g=<8168=o55d9>05g=9;;019>n:03e?827i3;:h63;0`825f=:<9k1=<l4=52b>47f34>;m7?>9:?74d<691168=o51058916f28;=70:?a;321>;38h0:=95241c9544<5=:j6<?>;<63e?76827?<l4>0g9>05g=99o019>n:02g?827i3;;o63;0`824g=:<9k1==o4=52b>46>34>;m7?=8:?74d<6:>168=o51348916f288>70:?a;310>;38h0:>>5241c9574<5=:j6<?j;<63e?76;27?<l4>099>05g=9<9019>n:072?827i3;?j63;0`820`=:<9k1=9j4=52b>42d34>;m7?;b:?74d<6<h168=o515;8916f28>370:?a;373>;38h0:8;5241c9512<5=:j6<:<;<63e?73:27?<l4>409>05g=9=:019>n:01e?827i3;8i63;0`827a=:<9k1=>m4=52b>45e34>;m7?:a:?74d<6=0168=o514:8916f28?<70:?a;362>;38h0:985241c9502<5=:j6<;?;<63e?70827?<l4>6d9>05g=9?n019>n:04`?827i3;<463;0`8233=:<9k1=:;4=52b>41334>;m7?i9:?74d<510168=o528c8916c2=;019>k:4g8916c288:70:?d;32b>;38m0:=i5241f954e<5=:o6<?m;<63`?76i27?<i4>189>05b=982019>k:034?827l3;::63;0e8250=:<9n1=<:4=52g>47534>;h7?>1:?74a<699168=j511d8916c28:n70:?d;33`>;38m0:<n5241f955d<5=:o6<>n;<63`?77127?<i4>299>05b=9;=019>k:005?827l3;9963;0e8261=:<9n1=?=4=52g>44534>;h7?>e:?74a<69:168=j511:8916c28?870:?d;365>;38m0:8k5241f951c<5=:o6<:k;<63`?73k27?<i4>4c9>05b=9=k019>k:06:?827l3;?463;0e8202=:<9n1=984=52g>42334>;h7?;3:?74a<6<;168=j51538916c28>;70:?d;30b>;38m0:?h5241f956b<5=:o6<=l;<63`?74j27?<i4>5`9>05b=9<3019>k:07;?827l3;>;63;0e8213=:<9n1=8;4=52g>43334>;h7?:0:?74a<6?9168=j517g8916c28<o70:?d;35g>;38m0:;55241f9520<5=:o6<9:;<63`?70<27?<i4>f89>05b=:03019>k:3;b?82683>:70:>0;7f?82683;9=63;11825c=:<8:1=<j4=533>47d34>:<7?>b:?755<69h168<>510;8917728;370:>0;323>;3990:=;524029543<5=;;6<?;;<624?76:27?==4>109>046=98:019??:02e?82683;;i63;11824a=:<8:1==m4=533>46e34>:<7??a:?755<680168<>513:89177288<70:>0;312>;3990:>8524029572<5=;;6<<<;<624?75:27?==4>1d9>046=989019??:02;?82683;>?63;118214=:<8:1=9h4=533>42b34>:<7?;d:?755<6<j168<>515`8917728>j70:>0;37=>;3990:85524029511<5=;;6<:9;<624?73<27?==4>429>046=9=8019??:062?82683;?<63;11827c=:<8:1=>k4=533>45c34>:<7?<c:?755<6;k168<>514c8917728?270:>0;36<>;3990:9:524029500<5=;;6<;:;<624?72<27?==4>519>046=9>:019??:04f?82683;=h63;11822f=:<8:1=:64=533>41134>:<7?85:?755<6?=168<>51g;891772;3270:>0;0:e>;39:0?=63;1286a>;39:0:><52401954`<5=;86<?k;<627?76k27?=>4>1c9>045=98k019?<:03:?826;3;:463;128252=:<891=<84=530>47234>:?7?>4:?756<69;168<=51038917428;;70:>3;33b>;39:0:<h52401955b<5=;86<>l;<627?77j27?=>4>0`9>045=993019?<:00;?826;3;9;63;128263=:<891=?;4=530>44334>:?7?=3:?756<6:;168<=510g8917428;870:>3;33<>;39:0:9>524019507<5=;86<:i;<627?73m27?=>4>4e9>045=9=i019?<:06a?826;3;?m63;12820<=:<891=964=530>42034>:?7?;6:?756<6<=168<=51518917428>970:>3;375>;39:0:8=52401956`<5=;86<=j;<627?74l27?=>4>3b9>045=9:h019?<:07b?826;3;>563;12821==:<891=894=530>43134>:?7?:5:?756<6==168<=51428917428=;70:>3;35a>;39:0::i52401953e<5=;86<97;<627?70>27?=>4>749>045=9>>019?<:0d:?826;382563;1281=d=:<8?18<5240791`=:<8?1=??4=536>47a34>:97?>d:?750<69j168<;510`8917228;j70:>5;32=>;39<0:=5524079541<5=;>6<?9;<621?76=27?=84>159>043=988019?::032?826=3;:<63;14824c=:<8?1==k4=536>46c34>:97??c:?750<68k168<;511c8917228:270:>5;31<>;39<0:>:524079570<5=;>6<<:;<621?75<27?=84>229>043=9;8019?::03f?826=3;:?63;14824==:<8?1=8=4=536>43634>:97?;f:?750<6<l168<;515f8917228>h70:>5;37f>;39<0:8l52407951?<5=;>6<:7;<621?73?27?=84>479>043=9=>019?::060?826=3;?>63;148204=:<8?1=9>4=536>45a34>:97?<e:?750<6;m168<;512a89172289i70:>5;36e>;39<0:9452407950><5=;>6<;8;<621?72>27?=84>549>043=9<>019?::073?826=3;<<63;14822`=:<8?1=;j4=536>40d34>:97?88:?750<6??168<;51678917228=?70:>5;3e=>;39<09545240796<g<5=;369?4=53;>0c<5=;36<<>;<62<?76n27?=54>1e9>04>=98i019?7:03a?82603;:m63;19825<=:<821=<64=53;>47034>:47?>6:?75=<69<168<651068917?28;970:>8;325>;3910:==5240:955`<5=;36<>j;<62<?77l27?=54>0b9>04>=99h019?7:02b?82603;;563;19826==:<821=?94=53;>44134>:47?=5:?75=<6:=168<651318917?288970:>8;32a>;3910:=>5240:955><5=;36<;<;<62<?72927?=54>4g9>04>=9=o019?7:06g?82603;?o63;19820g=:<821=9o4=53;>42>34>:47?;8:?75=<6<>168<651548917?28>?70:>8;377>;3910:8?5240:9517<5=;36<:?;<62<?74n27?=54>3d9>04>=9:n019?7:01`?82603;8n63;19821d=:<821=874=53;>43?34>:47?:7:?75=<6=?168<651478917?28??70:>8;364>;3910:;=5240:953c<5=;36<8k;<62<?71k27?=54>799>04>=9><019?7:056?82603;<863;1982b<=:<821>474=53;>7?f34>:n7:>;<62f?3b34>:n7?=1:?75g<69o168<l510f8917e28;h70:>b;32f>;39k0:=l5240`954?<5=;i6<?7;<62f?76?27?=o4>179>04d=98?019?m:037?826j3;:>63;1c8254=:<8h1=<>4=53a>46a34>:n7??e:?75g<68m168<l511a8917e28:i70:>b;33e>;39k0:<45240`957><5=;i6<<8;<62f?75>27?=o4>249>04d=9;>019?m:000?826j3;9>63;1c825`=:<8h1=<=4=53a>46?34>:n7?:3:?75g<6=8168<l515d8917e28>n70:>b;37`>;39k0:8n5240`951d<5=;i6<:n;<62f?73127?=o4>499>04d=9==019?m:065?826j3;?863;1c8206=:<8h1=9<4=53a>42634>:n7?;0:?75g<6;o168<l512g8917e289o70:>b;30g>;39k0:?o5240`950g<5=;i6<;6;<62f?72027?=o4>569>04d=9<<019?m:076?826j3;>863;1c8215=:<8h1=:>4=53a>40b34>:n7?9d:?75g<6>j168<l516:8917e28==70:>b;341>;39k0:;95240`95c?<5=;i6?76;<62f?4>i27?=h4;1:?75`<2m27?=h4>209>04c=98l019?j:03g?826m3;:o63;1d825g=:<8o1=<o4=53f>47>34>:i7?>8:?75`<69>168<k51048917b28;>70:>e;320>;39l0:=?5240g9547<5=;n6<??;<62a?77n27?=h4>0d9>04c=99n019?j:02`?826m3;;n63;1d824d=:<8o1==74=53f>44?34>:i7?=7:?75`<6:?168<k51378917b288?70:>e;317>;39l0:>?5240g954c<5=;n6<?<;<62a?77027?=h4>529>04c=9<;019?j:06e?826m3;?i63;1d820a=:<8o1=9m4=53f>42e34>:i7?;a:?75`<6<0168<k515:8917b28><70:>e;372>;39l0:895240g9515<5=;n6<:=;<62a?73927?=h4>419>04c=9:l019?j:01f?826m3;8h63;1d827f=:<8o1=>l4=53f>43f34>:i7?:9:?75`<6=1168<k51458917b28?=70:>e;361>;39l0:995240g9506<5=;n6<9?;<62a?71m27?=h4>6e9>04c=9?i019?j:05;?826m3;<:63;1d8230=:<8o1=::4=53f>4`>34>:i7<69:?75`<51h168?:5409>072==l168?:51338914328;m70:=4;32`>;3:=0:=n52436954d<5=8?6<?n;<610?76127?>94>199>072=98=019<;:035?825<3;:963;258251=:<;>1=<<4=507>47634>987?>0:?761<68o168?:511g8914328:o70:=4;33g>;3:=0:<o52436955g<5=8?6<>6;<610?75027?>94>269>072=9;<019<;:006?825<3;9863;258266=:<;>1=?<4=507>47b34>987?>3:?761<681168?:51418914328?:70:=4;37b>;3:=0:8h52436951b<5=8?6<:l;<610?73j27?>94>4`9>072=9=3019<;:06;?825<3;?;63;258203=:<;>1=9:4=507>42434>987?;2:?761<6<8168?:515289143289m70:=4;30a>;3:=0:?i52436956e<5=8?6<=m;<610?72i27?>94>589>072=9<2019<;:074?825<3;>:63;258210=:<;>1=8:4=507>43734>987?80:?761<6>l168?:517f8914328<h70:=4;34<>;3:=0:;;524369523<5=8?6<9;;<610?7a127?>94=989>072=:0k019<8:53891402<o019<8:002?825?3;:j63;26825a=:<;=1=<m4=504>47e34>9;7?>a:?762<690168?9510:8914028;<70:=7;322>;3:>0:=8524359542<5=8<6<?=;<613?76927?>:4>119>071=99l019<8:02f?825?3;;h63;26824f=:<;=1==l4=504>46f34>9;7??9:?762<6:1168?9513589140288=70:=7;311>;3:>0:>9524359575<5=8<6<<=;<613?76m27?>:4>129>071=992019<8:070?825?3;>=63;26820c=:<;=1=9k4=504>42c34>9;7?;c:?762<6<k168?9515c8914028>270:=7;37<>;3:>0:8:524359510<5=8<6<:;;<613?73;27?>:4>439>071=9=;019<8:063?825?3;8j63;26827`=:<;=1=>j4=504>45d34>9;7?<b:?762<6=h168?9514;8914028?370:=7;363>;3:>0:9;524359503<5=8<6<;;;<613?72827?>:4>719>071=9?o019<8:04g?825?3;=o63;26823==:<;=1=:84=504>41234>9;7?84:?762<6n0168?9528;891402;3j70:=a;62?825i3?n70:=a;315>;3:h0:=k5243c954b<5=8j6<?l;<61e?76j27?>l4>1`9>07g=983019<n:03;?825i3;:;63;2`8253=:<;k1=<;4=50b>47334>9m7?>2:?76d<698168?o51028914f28:m70:=a;33a>;3:h0:<i5243c955e<5=8j6<>m;<61e?77i27?>l4>089>07g=9;2019<n:004?825i3;9:63;2`8260=:<;k1=?:4=50b>44434>9m7?=2:?76d<69l168?o51018914f28:370:=a;367>;3:h0:9<5243c951`<5=8j6<:j;<61e?73l27?>l4>4b9>07g=9=h019<n:06b?825i3;?563;2`820==:<;k1=994=50b>42134>9m7?;4:?76d<6<:168?o51508914f28>:70:=a;374>;3:h0:?k5243c956c<5=8j6<=k;<61e?74k27?>l4>3c9>07g=9<k019<n:07:?825i3;>463;2`8212=:<;k1=884=50b>43234>9m7?:4:?76d<6=9168?o51628914f28<n70:=a;35`>;3:h0::n5243c952><5=8j6<99;<61e?70=27?>l4>759>07g=9o3019<n:3;:?825i382m63;2e875>;3:m0>i63;2e8264=:<;n1=<h4=50g>47c34>9h7?>c:?76a<69k168?j510c8914c28;270:=d;32<>;3:m0:=:5243f9540<5=8o6<?:;<61`?76<27?>i4>139>07b=98;019<k:033?825l3;;j63;2e824`=:<;n1==j4=50g>46d34>9h7??b:?76a<68h168?j511;8914c288370:=d;313>;3:m0:>;5243f9573<5=8o6<<;;<61`?75;27?>i4>239>07b=98o019<k:030?825l3;;463;2e8216=:<;n1=8?4=50g>42a34>9h7?;e:?76a<6<m168?j515a8914c28>i70:=d;37e>;3:m0:845243f951><5=8o6<:8;<61`?73>27?>i4>459>07b=9=9019<k:061?825l3;?=63;2e8205=:<;n1=>h4=50g>45b34>9h7?<d:?76a<6;j168?j512`8914c28?j70:=d;36=>;3:m0:955243f9501<5=8o6<;9;<61`?72=27?>i4>559>07b=9<:019<k:053?825l3;=i63;2e822a=:<;n1=;m4=50g>41?34>9h7?86:?76a<6?<168?j51668914c28l270:=d;0:=>;3:m095l52422904=:<::19h524229577<5=9;6<?i;<604?76l27??=4>1b9>066=98h019=?:03b?82483;:563;31825==:<::1=<94=513>47134>8<7?>5:?775<69=168>>51008915728;:70:<0;324>;3;90:<k52422955c<5=9;6<>k;<604?77k27??=4>0c9>066=99k019=?:02:?82483;9463;318262=:<::1=?84=513>44234>8<7?=4:?775<6::168>>51308915728;n70:<0;327>;3;90:<5524229505<5=9;6<;>;<604?73n27??=4>4d9>066=9=n019=?:06`?82483;?n63;31820d=:<::1=974=513>42?34>8<7?;7:?775<6<?168>>51568915728>870:<0;376>;3;90:8<524229516<5=9;6<=i;<604?74m27??=4>3e9>066=9:i019=?:01a?82483;>m63;31821<=:<::1=864=513>43034>8<7?:6:?775<6=<168>>51468915728?;70:<0;344>;3;90::h52422953b<5=9;6<8l;<604?70027??=4>779>066=9>?019=?:057?82483;m563;3181=<=:<::1>4o4=510>17<5=9868k4=510>44634>8?7?>f:?776<69m168>=510a8915428;i70:<3;32e>;3;:0:=452421954><5=986<?8;<607?76>27??>4>149>065=98>019=<:031?824;3;:=63;328255=:<:91==h4=510>46b34>8?7??d:?776<68j168>=511`8915428:j70:<3;33=>;3;:0:>5524219571<5=986<<9;<607?75=27??>4>259>065=9;9019=<:001?824;3;:i63;328256=:<:91==64=510>43434>8?7?:1:?776<6<o168>=515g8915428>o70:<3;37g>;3;:0:8o52421951g<5=986<:6;<607?73027??>4>469>065=9=<019=<:067?824;3;??63;328207=:<:91=9?4=510>42734>8?7?<f:?776<6;l168>=512f89154289h70:<3;30f>;3;:0:9l52421950?<5=986<;7;<607?72?27??>4>579>065=9<?019=<:077?824;3;><63;328235=:<:91=;k4=510>40c34>8?7?9c:?776<6?1168>=51648915428=>70:<3;340>;3;:0:j45242196<?<5=986?7n;<602?2634>8:7;j;<602?75927??;4>1g9>060=98n019=9:03`?824>3;:n63;37825d=:<:<1=<74=515>47?34>8:7?>7:?773<69?168>851078915128;?70:<6;326>;3;?0:=<524249546<5=9=6<>i;<602?77m27??;4>0e9>060=99i019=9:02a?824>3;;m63;37824<=:<:<1=?64=515>44034>8:7?=6:?773<6:<168>8513689151288870:<6;316>;3;?0:=h524249545<5=9=6<>7;<602?72;27??;4>509>060=9=l019=9:06f?824>3;?h63;37820f=:<:<1=9l4=515>42f34>8:7?;9:?773<6<1168>851558915128>=70:<6;370>;3;?0:8>524249514<5=9=6<:>;<602?73827??;4>3g9>060=9:o019=9:01g?824>3;8o63;37827g=:<:<1=8o4=515>43>34>8:7?:8:?773<6=>168>851448915128?>70:<6;360>;3;?0:9=524249526<5=9=6<8j;<602?71l27??;4>6b9>060=9>2019=9:055?824>3;<963;378231=:<:<1=k74=515>7?>34>8:7<6a:?77<<3927??44:e:?77<<6:8168>7510d8915>28;o70:<9;32g>;3;00:=o5242;954g<5=926<?6;<60=?76027??44>169>06?=98<019=6:036?82413;:863;388257=:<:31=<?4=51:>47734>857??f:?77<<68l168>7511f8915>28:h70:<9;33f>;3;00:<l5242;955?<5=926<<7;<60=?75?27??44>279>06?=9;?019=6:007?82413;9?63;388267=:<:31=<k4=51:>47434>857??8:?77<<6=:168>751438915>28>m70:<9;37a>;3;00:8i5242;951e<5=926<:m;<60=?73i27??44>489>06?=9=2019=6:064?82413;?:63;388201=:<:31=9=4=51:>42534>857?;1:?77<<6<9168>7512d8915>289n70:<9;30`>;3;00:?n5242;956d<5=926<;n;<60=?72127??44>599>06?=9<=019=6:075?82413;>963;388211=:<:31=8>4=51:>41734>857?9e:?77<<6>m168>7517a8915>28=370:<9;342>;3;00:;85242;9522<5=926<h6;<60=?4>127??44=9`9>06e=<8168>m55d9>06e=9;;019=l:03e?824k3;:h63;3b825f=:<:i1=<l4=51`>47f34>8o7?>9:?77f<691168>m51058915d28;=70:<c;321>;3;j0:=95242a9544<5=9h6<?>;<60g?76827??n4>0g9>06e=99o019=l:02g?824k3;;o63;3b824g=:<:i1==o4=51`>46>34>8o7?=8:?77f<6:>168>m51348915d288>70:<c;310>;3;j0:>>5242a9574<5=9h6<?j;<60g?76;27??n4>099>06e=9<9019=l:072?824k3;?j63;3b820`=:<:i1=9j4=51`>42d34>8o7?;b:?77f<6<h168>m515;8915d28>370:<c;373>;3;j0:8;5242a9512<5=9h6<:<;<60g?73:27??n4>409>06e=9=:019=l:01e?824k3;8i63;3b827a=:<:i1=>m4=51`>45e34>8o7?:a:?77f<6=0168>m514:8915d28?<70:<c;362>;3;j0:985242a9502<5=9h6<;?;<60g?70827??n4>6d9>06e=9?n019=l:04`?824k3;<463;3b8233=:<:i1=:;4=51`>41334>8o7?i9:?77f<510168>m528c8915a2=;019=i:4g8915a288:70:<f;32b>;3;o0:=i5242d954e<5=9m6<?m;<60b?76i27??k4>189>06`=982019=i:034?824n3;::63;3g8250=:<:l1=<:4=51e>47534>8j7?>1:?77c<699168>h511d8915a28:n70:<f;33`>;3;o0:<n5242d955d<5=9m6<>n;<60b?77127??k4>299>06`=9;=019=i:005?824n3;9963;3g8261=:<:l1=?=4=51e>44534>8j7?>e:?77c<69:168>h511:8915a28?870:<f;365>;3;o0:8k5242d951c<5=9m6<:k;<60b?73k27??k4>4c9>06`=9=k019=i:06:?824n3;?463;3g8202=:<:l1=984=51e>42334>8j7?;3:?77c<6<;168>h51538915a28>;70:<f;30b>;3;o0:?h5242d956b<5=9m6<=l;<60b?74j27??k4>5`9>06`=9<3019=i:07;?824n3;>;63;3g8213=:<:l1=8;4=51e>43334>8j7?:0:?77c<6?9168>h517g8915a28<o70:<f;35g>;3;o0:;55242d9520<5=9m6<9:;<60b?70<27??k4>f89>06`=:03019=i:3;b?823=3>:70:;5;7f?823=3;9=63;44825c=:<=?1=<j4=566>47d34>?97?>b:?700<69h1689;510;8912228;370:;5;323>;3<<0:=;524579543<5=>>6<?;;<671?76:27?884>109>013=98:019:::02e?823=3;;i63;44824a=:<=?1==m4=566>46e34>?97??a:?700<6801689;513:89122288<70:;5;312>;3<<0:>8524579572<5=>>6<<<;<671?75:27?884>1d9>013=989019:::02;?823=3;>?63;448214=:<=?1=9h4=566>42b34>?97?;d:?700<6<j1689;515`8912228>j70:;5;37=>;3<<0:85524579511<5=>>6<:9;<671?73<27?884>429>013=9=8019:::062?823=3;?<63;44827c=:<=?1=>k4=566>45c34>?97?<c:?700<6;k1689;514c8912228?270:;5;36<>;3<<0:9:524579500<5=>>6<;:;<671?72<27?884>519>013=9>:019:::04f?823=3;=h63;44822f=:<=?1=:64=566>41134>?97?85:?700<6?=1689;51g;891222;3270:;5;0:e>;3<10?=63;4986a>;3<10:><5245:954`<5=>36<?k;<67<?76k27?854>1c9>01>=98k019:7:03:?82303;:463;498252=:<=21=<84=56;>47234>?47?>4:?70=<69;1689651038912?28;;70:;8;33b>;3<10:<h5245:955b<5=>36<>l;<67<?77j27?854>0`9>01>=993019:7:00;?82303;9;63;498263=:<=21=?;4=56;>44334>?47?=3:?70=<6:;16896510g8912?28;870:;8;33<>;3<10:9>5245:9507<5=>36<:i;<67<?73m27?854>4e9>01>=9=i019:7:06a?82303;?m63;49820<=:<=21=964=56;>42034>?47?;6:?70=<6<=1689651518912?28>970:;8;375>;3<10:8=5245:956`<5=>36<=j;<67<?74l27?854>3b9>01>=9:h019:7:07b?82303;>563;49821==:<=21=894=56;>43134>?47?:5:?70=<6==1689651428912?28=;70:;8;35a>;3<10::i5245:953e<5=>36<97;<67<?70>27?854>749>01>=9>>019:7:0d:?8230382563;4981=d=z{>3;6=4={<5:4?4?027<4k4l1:p3c0=838p1:h9:3:;?81a=3i:7p}87583>7}:?>>1>564=650>f7<uz8<o7>52z?4e5<50116;4h520d8yv40l3:1>v38a081<==:?0l1><j4}r04a?6=:r7?484=899>0=2=:8k0q~<8f;296~;30?094552496964d<uz83<7>52z?733<501168:;520c8yv4?93:1>v3;7681<==:<>?1><l4}r0;6?6=:r7?;k4=899>02c=:8k0q~<73;296~;30909455246g964d<uz8387>52z?4=0<50116;4:520c8yv4?=3:1>v389781<==:?0>1><l4}|l1<47=83;pD4>k;|l1<44=83;pD4>k;|l1<45=83;pD4>k;|l1<42=83;pD4>k;|l1<43=83;pD4>k;|l1<40=83;pD4>k;|l1<41=83;pD4>k;|l1<4>=83;pD4>k;|l1<4?=83;pD4>k;|l1<4g=83;pD4>k;|l1<4d=83;pD4>k;|l1<4e=83;pD4>k;|l1<4b=83;pD4>k;|l1<4c=83;pD4>k;|l1<4`=83;pD4>k;|l1<76=83;pD4>k;|l1<77=83;pD4>k;|l1<74=83;pD4>k;|l1<75=83;pD4>k;|l1<72=83;pD4>k;|l1<73=83;pD4>k;|l1<70=83;pD4>k;|l1<71=83;pD4>k;|l1<7>=83;pD4>k;|l1<7?=83;pD4>k;|l1<7g=83;pD4>k;|l1<7d=83;pD4>k;|l1<7e=83;pD4>k;|l1<7b=83;pD4>k;|l1<7c=83;pD4>k;|l1<7`=83;pD4>k;|l1<66=83;pD4>k;|l1<67=83;pD4>k;|l1<64=83;pD4>k;|l1<65=83;pD4>k;|l1<62=83;pD4>k;|l1<63=83;pD4>k;|l1<60=83;pD4>k;|l1<61=83;pD4>k;|l1<6>=83;pD4>k;|l1<6?=83;pD4>k;|l1<6g=83;pD4>k;|l1<6d=83;pD4>k;|l1<6e=83;pD4>k;|l1<6b=83;pD4>k;|l1<6c=83;pD4>k;|l1<6`=83;pD4>k;|l1<16=83;pD4>k;|l1<17=83;pD4>k;|l1<14=83;pD4>k;|l1<15=83;pD4>k;|l1<12=83;pD4>k;|l1<13=83;pD4>k;|l1<10=83;pD4>k;|l1<11=83;pD4>k;|l1<1>=83;pD4>k;|l1<1?=83;pD4>k;|l1<1g=83;pD4>k;|l1<1d=83;pD4>k;|l1<1e=83;pD4>k;|l1<1b=83;pD4>k;|l1<1c=83;pD4>k;|l1<1`=83;pD4>k;|l1<06=83;pD4>k;|l1<07=83;pD4>k;|l1<04=83;pD4>k;|l1<05=83;pD4>k;|l1<02=83;pD4>k;|l1<03=83;pD4>k;|l1<00=83;pD4>k;|l1<01=83;pD4>k;|l1<0>=83;pD4>k;|l1<0?=83;pD4>k;|l1<0g=83;pD4>k;|l1<0d=83;pD4>k;|l1<0e=83;pD4>k;|l1<0b=83;pD4>k;|l1<0c=83;pD4>k;|l1<0`=83;pD4>k;|l1<36=83;pD4>k;|l1<37=83;pD4>k;|l1<34=83;pD4>k;|l1<35=83;pD4>k;|l1<32=83;pD4>k;|l1<33=83;pD4>k;|l1<30=83;pD4>k;|l1<31=83;pD4>k;|l1<3>=83;pD4>k;|l1<3?=83;pD4>k;|l1<3g=83;pD4>k;|l1<3d=83;pD4>k;|l1<3e=83;pD4>k;|l1<3b=83;pD4>k;|l1<3c=83;pD4>k;|l1<3`=83;pD4>k;|l1<26=83;pD4>k;|l1<27=83;pD4>k;|l1<24=83;pD4>k;|l1<25=83;pD4>k;|l1<22=83;pD4>k;|l1<23=83;pD4>k;|l1<20=83;pD4>k;|l1<21=83;pD4>k;|l1<2>=83;pD4>k;|l1<2?=83;pD4>k;|l1<2g=83;pD4>k;|l1<2d=83;pD4>k;|l1<2e=83;pD4>k;|l1<2b=83;pD4>k;|l1<2c=83;pD4>k;|l1<2`=83;pD4>k;|l1<=6=83;pD4>k;|l1<=7=83;pD4>k;|l1<=4=83;pD4>k;|l1<=5=83;pD4>k;|l1<=2=83;pD4>k;|l1<=3=83;pD4>k;|l1<=0=83;pD4>k;|l1<=1=83;pD4>k;|l1<=>=83;pD4>k;|l1<=?=83;pD4>k;|l1<=g=83;pD4>k;|l1<=d=83;pD4>k;|l1<=e=83;pD4>k;|l1<=b=83;pD4>k;|l1<=c=83;pD4>k;|l1<=`=83;pD4>k;|l1<<6=83;pD4>k;|l1<<7=83;pD4>k;|l1<<4=83;pD4>k;|l1<<5=83;pD4>k;|l1<<2=83;pD4>k;|l1<<3=83;pD4>k;|l1<<0=83;pD4>k;|l1<<1=83;pD4>k;|l1<<>=83;pD4>k;|l1<<?=83;pD4>k;|l1<<g=83;pD4>k;|l1<<d=83;pD4>k;|l1<<e=83;pD4>k;|l1<<b=83;pD4>k;|l1<<c=83;pD4>k;|l1<<`=83;pD4>k;|l1<d6=83;pD4>k;|l1<d7=83;pD4>k;|l1<d4=83;pD4>k;|l1<d5=83;pD4>k;|l1<d2=83;pD4>k;|l1<d3=83;pD4>k;|l1<d0=83;pD4>k;|l1<d1=83;pD4>k;|l1<d>=83;pD4>k;|l1<d?=83;pD4>k;|l1<dg=83;pD4>k;|l1<dd=83;pD4>k;|l1<de=83;pD4>k;|l1<db=83;pD4>k;|l1<dc=83;pD4>k;|l1<d`=83;pD4>k;|l1<g6=83;pD4>k;|l1<g7=83;pD4>k;|l1<g4=83;pD4>k;|l1<g5=83;pD4>k;|l1<g2=83;pD4>k;|l1<g3=83;pD4>k;|l1<g0=83;pD4>k;|l1<g1=83;pD4>k;|l1<g>=83;pD4>k;|l1<g?=83;pD4>k;|l1<gg=83;pD4>k;|l1<gd=83;pD4>k;|l1<ge=83;pD4>k;|l1<gb=83;pD4>k;|l1<gc=83;pD4>k;|l1<g`=83;pD4>k;|l1<f6=83;pD4>k;|l1<f7=83;pD4>k;|l1<f4=83;pD4>k;|l1<f5=83;pD4>k;|l1<f2=83;pD4>k;|l1<f3=83;pD4>k;|l1<f0=83;pD4>k;|l1<f1=83;pD4>k;|l1<f>=83;pD4>k;|l1<f?=83;pD4>k;|l1<fg=83;pD4>k;|l1<fd=83;pD4>k;|l1<fe=83;pD4>k;|l1<fb=83;pD4>k;|l1<fc=83;pD4>k;|l1<f`=83;pD4>k;|l1<a6=83;pD4>k;|l1<a7=83;pD4>k;|l1<a4=83;pD4>k;|l1<a5=83;pD4>k;|l1<a2=83;pD4>k;|l1<a3=83;pD4>k;|l1<a0=83;pD4>k;|l1<a1=83;pD4>k;|l1<a>=83;pD4>k;|l1<a?=83;pD4>k;|l1<ag=83;pD4>k;|l1<ad=83;pD4>k;|l1<ae=83;pD4>k;|l1<ab=83;pD4>k;|l1<ac=83;pD4>k;|l1<a`=83;pD4>k;|l1<`6=83;pD4>k;|l1<`7=83;pD4>k;|l1<`4=83;pD4>k;|l1<`5=83;pD4>k;|l1<`2=83;pD4>k;|l1<`3=83;pD4>k;|l1<`0=83;pD4>k;|l1<`1=83;pD4>k;|l1<`>=83;pD4>k;|l1<`?=83;pD4>k;|l1<`g=83;pD4>k;|l1<`d=83;pD4>k;|l1<`e=83;pD4>k;|l1<`b=83;pD4>k;|l1<`c=83;pD4>k;|l1<``=83;pD4>k;|l1<c6=83;pD4>k;|l1<c7=83;pD4>k;|l1<c4=83;pD4>k;|l1<c5=83;pD4>k;|l1<c2=83;pD4>k;|l1<c3=83;pD4>k;|l1<c0=83;pD4>k;|l1<c1=83;pD4>k;|l1<c>=83;pD4>k;|l1<c?=83;pD4>k;|l1<cg=83;pD4>k;|l1<cd=83;pD4>k;|l1<ce=83;pD4>k;|l1<cb=83;pD4>k;|l1<cc=83;pD4>k;|l1<c`=83;pD4>k;|l1=56=83;pD4>k;|l1=57=83;pD4>k;|l1=54=83;pD4>k;|l1=55=83;pD4>k;|l1=52=83;pD4>k;|l1=53=83;pD4>k;|l1=50=83;pD4>k;|l1=51=83;pD4>k;|l1=5>=83;pD4>k;|l1=5?=83;pD4>k;|l1=5g=83;pD4>k;|l1=5d=83;pD4>k;|l1=5e=83;pD4>k;|l1=5b=83;pD4>k;|l1=5c=83;pD4>k;|l1=5`=83;pD4>k;|l1=46=83;pD4>k;|l1=47=83;pD4>k;|l1=44=83;pD4>k;|l1=45=83;pD4>k;|l1=42=83;pD4>k;|l1=43=83;pD4>k;|l1=40=83;pD4>k;|l1=41=83;pD4>k;|l1=4>=83;pD4>k;|l1=4?=83;pD4>k;|l1=4g=83;pD4>k;|l1=4d=83;pD4>k;|l1=4e=83;pD4>k;|l1=4b=83;pD4>k;|l1=4c=83;pD4>k;|l1=4`=83;pD4>k;|l1=76=83;pD4>k;|l1=77=83;pD4>k;|l1=74=83;pD4>k;|l1=75=83;pD4>k;|l1=72=83;pD4>k;|l1=73=83;pD4>k;|l1=70=83;pD4>k;|l1=71=83;pD4>k;|l1=7>=83;pD4>k;|l1=7?=83;pD4>k;|l1=7g=83;pD4>k;|l1=7d=83;pD4>k;|l1=7e=83;pD4>k;|l1=7b=83;pD4>k;|l1=7c=83;pD4>k;|l1=7`=83;pD4>k;|l1=66=83;pD4>k;|l1=67=83;pD4>k;|l1=64=83;pD4>k;|l1=65=83;pD4>k;|l1=62=83;pD4>k;|l1=63=83;pD4>k;|l1=60=83;pD4>k;|l1=61=83;pD4>k;|l1=6>=83;pD4>k;|l1=6?=83;pD4>k;|l1=6g=83;pD4>k;|l1=6d=83;pD4>k;|l1=6e=83;pD4>k;|l1=6b=83;pD4>k;|l1=6c=83;pD4>k;|l1=6`=83;pD4>k;|l1=16=83;pD4>k;|l1=17=83;pD4>k;|l1=14=83;pD4>k;|l1=15=83;pD4>k;|l1=12=83;pD4>k;|l1=13=83;pD4>k;|l1=10=83;pD4>k;|l1=11=83;pD4>k;|l1=1>=83;pD4>k;|l1=1?=83;pD4>k;|l1=1g=83;pD4>k;|l1=1d=83;pD4>k;|l1=1e=83;pD4>k;|l1=1b=83;pD4>k;|l1=1c=83;pD4>k;|l1=1`=83;pD4>k;|l1=06=83;pD4>k;|l1=07=83;pD4>k;|l1=04=83;pD4>k;|l1=05=83;pD4>k;|l1=02=83;pD4>k;|l1=03=83;pD4>k;|l1=00=83;pD4>k;|l1=01=83;pD4>k;|l1=0>=83;pD4>k;|l1=0?=83;pD4>k;|l1=0g=83;pD4>k;|l1=0d=83;pD4>k;|l1=0e=83;pD4>k;|l1=0b=83;pD4>k;|l1=0c=83;pD4>k;|l1=0`=83;pD4>k;|l1=36=83;pD4>k;|l1=37=83;pD4>k;|l1=34=83;pD4>k;|l1=35=83;pD4>k;|l1=32=83;pD4>k;|l1=33=83;pD4>k;|l1=30=83;pD4>k;|l1=31=83;pD4>k;|l1=3>=83;pD4>k;|l1=3?=83;pD4>k;|l1=3g=83;pD4>k;|l1=3d=83;pD4>k;|l1=3e=83;pD4>k;|l1=3b=83;pD4>k;|l1=3c=83;pD4>k;|l1=3`=83;pD4>k;|l1=26=83;pD4>k;|l1=27=83;pD4>k;|l1=24=83;pD4>k;|l1=25=83;pD4>k;|l1=22=83;pD4>k;|l1=23=83;pD4>k;|l1=20=83;pD4>k;|l1=21=83;pD4>k;|l1=2>=83;pD4>k;|l1=2?=83;pD4>k;|l1=2g=83;pD4>k;|l1=2d=83;pD4>k;|l1=2e=83;pD4>k;|l1=2b=83;pD4>k;|l1=2c=83;pD4>k;|l1=2`=83;pD4>k;|l1==6=83;pD4>k;|l1==7=83;pD4>k;|l1==4=83;pD4>k;|l1==5=83;pD4>k;|l1==2=83;pD4>k;|l1==3=83;pD4>k;|l1==0=83;pD4>k;|l1==1=83;pD4>k;|l1==>=83;pD4>k;|l1==?=83;pD4>k;|l1==g=83;pD4>k;|l1==d=83;pD4>k;|l1==e=83;pD4>k;|l1==b=83;pD4>k;|l1==c=83;pD4>k;|l1==`=83;pD4>k;|l1=<6=83;pD4>k;|l1=<7=83;pD4>k;|l1=<4=83;pD4>k;|l1=<5=83;pD4>k;|l1=<2=83;pD4>k;|l1=<3=83;pD4>k;|l1=<0=83;pD4>k;|l1=<1=83;pD4>k;|l1=<>=83;pD4>k;|l1=<?=83;pD4>k;|l1=<g=83;pD4>k;|l1=<d=83;pD4>k;|l1=<e=83;pD4>k;|l1=<b=83;pD4>k;|l1=<c=83;pD4>k;|l1=<`=83;pD4>k;|l1=d6=83;pD4>k;|l1=d7=83;pD4>k;|l1=d4=83;pD4>k;|l1=d5=83;pD4>k;|l1=d2=83;pD4>k;|l1=d3=83;pD4>k;|l1=d0=83;pD4>k;|l1=d1=83;pD4>k;|l1=d>=83;pD4>k;|l1=d?=83;pD4>k;|l1=dg=83;pD4>k;|l1=dd=83;pD4>k;|l1=de=83;pD4>k;|l1=db=83;pD4>k;|l1=dc=83;pD4>k;|l1=d`=83;pD4>k;|l1=g6=83;pD4>k;|l1=g7=83;pD4>k;|l1=g4=83;pD4>k;|l1=g5=83;pD4>k;|l1=g2=83;pD4>k;|l1=g3=83;pD4>k;|l1=g0=83;pD4>k;|l1=g1=83;pD4>k;|l1=g>=83;pD4>k;|l1=g?=83;pD4>k;|l1=gg=83;pD4>k;|l1=gd=83;pD4>k;|l1=ge=83;pD4>k;|l1=gb=83;pD4>k;|l1=gc=83;pD4>k;|l1=g`=83;pD4>k;|l1=f6=83;pD4>k;|l1=f7=83;pD4>k;|l1=f4=83;pD4>k;|l1=f5=83;pD4>k;|l1=f2=83;pD4>k;|l1=f3=83;pD4>k;|l1=f0=83;pD4>k;|l1=f1=83;pD4>k;|l1=f>=83;pD4>k;|l1=f?=83;pD4>k;|l1=fg=83;pD4>k;|l1=fd=83;pD4>k;|l1=fe=83;pD4>k;|l1=fb=83;pD4>k;|l1=fc=83;pD4>k;|l1=f`=83;pD4>k;|l1=a6=83;pD4>k;|l1=a7=83;pD4>k;|l1=a4=83;pD4>k;|l1=a5=83;pD4>k;|l1=a2=83;pD4>k;|l1=a3=83;pD4>k;|l1=a0=83;pD4>k;|l1=a1=83;pD4>k;|l1=a>=83;pD4>k;|l1=a?=83;pD4>k;|l1=ag=83;pD4>k;|l1=ad=83;pD4>k;|l1=ae=83;pD4>k;|l1=ab=83;pD4>k;|l1=ac=83;pD4>k;|l1=a`=83;pD4>k;|l1=`6=83;pD4>k;|l1=`7=83;pD4>k;|l1=`4=83;pD4>k;|l1=`5=83;pD4>k;|l1=`2=83;pD4>k;|l1=`3=83;pD4>k;|l1=`0=83;pD4>k;|l1=`1=83;pD4>k;|l1=`>=83;pD4>k;|l1=`?=83;pD4>k;|l1=`g=83;pD4>k;|l1=`d=83;pD4>k;|l1=`e=83;pD4>k;|l1=`b=83;pD4>k;|l1=`c=83;pD4>k;|l1=``=83;pD4>k;|l1=c6=83;pD4>k;|l1=c7=83;pD4>k;|l1=c4=83;pD4>k;|l1=c5=83;pD4>k;|l1=c2=83;pD4>k;|l1=c3=83;pD4>k;|l1=c0=83;pD4>k;|l1=c1=83;pD4>k;|l1=c>=83;pD4>k;|l1=c?=83;pD4>k;|l1=cg=83;pD4>k;|l1=cd=83;pD4>k;|l1=ce=83;pD4>k;|l1=cb=83;pD4>k;|l1=cc=83;pD4>k;|l1=c`=83;pD4>k;|l1e56=83;pD4>k;|l1e57=83;pD4>k;|l1e54=83;pD4>k;|l1e55=83;pD4>k;|l1e52=83;pD4>k;|l1e53=83;pD4>k;|l1e50=83;pD4>k;|l1e51=83;pD4>k;|l1e5>=83;pD4>k;|l1e5?=83;pD4>k;|l1e5g=83;pD4>k;|l1e5d=83;pD4>k;|l1e5e=83;pD4>k;|l1e5b=83;pD4>k;|l1e5c=83;pD4>k;|l1e5`=83;pD4>k;|l1e46=83;pD4>k;|l1e47=83;pD4>k;|l1e44=83;pD4>k;|l1e45=83;pD4>k;|l1e42=83;pD4>k;|l1e43=83;pD4>k;|l1e40=83;pD4>k;|l1e41=83;pD4>k;|l1e4>=83;pD4>k;|l1e4?=83;pD4>k;|l1e4g=83;pD4>k;|l1e4d=83;pD4>k;|l1e4e=83;pD4>k;|l1e4b=83;pD4>k;|l1e4c=83;pD4>k;|l1e4`=83;pD4>k;|l1e76=83;pD4>k;|l1e77=83;pD4>k;|l1e74=83;pD4>k;|l1e75=83;pD4>k;|l1e72=83;pD4>k;|l1e73=83;pD4>k;|l1e70=83;pD4>k;|l1e71=83;pD4>k;|l1e7>=83;pD4>k;|l1e7?=83;pD4>k;|l1e7g=83;pD4>k;|l1e7d=83;pD4>k;|l1e7e=83;pD4>k;|l1e7b=83;pD4>k;|l1e7c=83;pD4>k;|l1e7`=83;pD4>k;|l1e66=83;pD4>k;|l1e67=83;pD4>k;|l1e64=83;pD4>k;|l1e65=83;pD4>k;|l1e62=83;pD4>k;|l1e63=83;pD4>k;|l1e60=83;pD4>k;|l1e61=83;pD4>k;|l1e6>=83;pD4>k;|l1e6?=83;pD4>k;|l1e6g=83;pD4>k;|l1e6d=83;pD4>k;|l1e6e=83;pD4>k;|l1e6b=83;pD4>k;|l1e6c=83;pD4>k;|l1e6`=83;pD4>k;|l1e16=83;pD4>k;|l1e17=83;pD4>k;|l1e14=83;pD4>k;|l1e15=83;pD4>k;|l1e12=83;pD4>k;|l1e13=83;pD4>k;|l1e10=83;pD4>k;|l1e11=83;pD4>k;|l1e1>=83;pD4>k;|l1e1?=83;pD4>k;|l1e1g=83;pD4>k;|l1e1d=83;pD4>k;|l1e1e=83;pD4>k;|l1e1b=83;pD4>k;|l1e1c=83;pD4>k;|l1e1`=83;pD4>k;|l1e06=83;pD4>k;|l1e07=83;pD4>k;|l1e04=83;pD4>k;|l1e05=83;pD4>k;|l1e02=83;pD4>k;|l1e03=83;pD4>k;|l1e00=83;pD4>k;|l1e01=83;pD4>k;|l1e0>=83;pD4>k;|l1e0?=83;pD4>k;|l1e0g=83;pD4>k;|l1e0d=83;pD4>k;|l1e0e=83;pD4>k;|l1e0b=83;pD4>k;|l1e0c=83;pD4>k;|l1e0`=83;pD4>k;|l1e36=83;pD4>k;|l1e37=83;pD4>k;|l1e34=83;pD4>k;|l1e35=83;pD4>k;|l1e32=83;pD4>k;|l1e33=83;pD4>k;|l1e30=83;pD4>k;|l1e31=83;pD4>k;|l1e3>=83;pD4>k;|l1e3?=83;pD4>k;|l1e3g=83;pD4>k;|l1e3d=83;pD4>k;|l1e3e=83;pD4>k;|l1e3b=83;pD4>k;|l1e3c=83;pD4>k;|l1e3`=83;pD4>k;|l1e26=83;pD4>k;|l1e27=83;pD4>k;|l1e24=83;pD4>k;|l1e25=83;pD4>k;|l1e22=83;pD4>k;|l1e23=83;pD4>k;|l1e20=83;pD4>k;|l1e21=83;pD4>k;|l1e2>=83;pD4>k;|l1e2?=83;pD4>k;|l1e2g=83;pD4>k;|l1e2d=83;pD4>k;|l1e2e=83;pD4>k;|l1e2b=83;pD4>k;|l1e2c=83;pD4>k;|l1e2`=83;pD4>k;|l1e=6=83;pD4>k;|l1e=7=83;pD4>k;|l1e=4=83;pD4>k;|l1e=5=83;pD4>k;|l1e=2=83;pD4>k;|l1e=3=83;pD4>k;|l1e=0=83;pD4>k;|l1e=1=83;pD4>k;|l1e=>=83;pD4>k;|l1e=?=83;pD4>k;|l1e=g=83;pD4>k;|l1e=d=83;pD4>k;|l1e=e=83;pD4>k;|l1e=b=83;pD4>k;|l1e=c=83;pD4>k;|l1e=`=83;pD4>k;|l1e<6=83;pD4>k;|l1e<7=83;pD4>k;|l1e<4=83;pD4>k;|l1e<5=83;pD4>k;|l1e<2=83;pD4>k;|l1e<3=83;pD4>k;|l1e<0=83;pD4>k;|l1e<1=83;pD4>k;|l1e<>=83;pD4>k;|l1e<?=83;pD4>k;|l1e<g=83;pD4>k;|l1e<d=83;pD4>k;|l1e<e=83;pD4>k;|l1e<b=83;pD4>k;|l1e<c=83;pD4>k;|l1e<`=83;pD4>k;|l1ed6=83;pD4>k;|l1ed7=83;pD4>k;|l1ed4=83;pD4>k;|l1ed5=83;pD4>k;|l1ed2=83;pD4>k;|l1ed3=83;pD4>k;|l1ed0=83;pD4>k;|l1ed1=83;pD4>k;|l1ed>=83;pD4>k;|l1ed?=83;pD4>k;|l1edg=83;pD4>k;|l1edd=83;pD4>k;|l1ede=83;pD4>k;|l1edb=83;pD4>k;|l1edc=83;pD4>k;|l1ed`=83;pD4>k;|l1eg6=83;pD4>k;|l1eg7=83;pD4>k;|l1eg4=83;pD4>k;|l1eg5=83;pD4>k;|l1eg2=83;pD4>k;|l1eg3=83;pD4>k;|l1eg0=83;pD4>k;|l1eg1=83;pD4>k;|l1eg>=83;pD4>k;|l1eg?=83;pD4>k;|l1egg=83;pD4>k;|l1egd=83;pD4>k;|l1ege=83;pD4>k;|l1egb=83;pD4>k;|l1egc=83;pD4>k;|l1eg`=83;pD4>k;|l1ef6=83;pD4>k;|l1ef7=83;pD4>k;|l1ef4=83;pD4>k;|l1ef5=83;pD4>k;|l1ef2=83;pD4>k;|l1ef3=83;pD4>k;|l1ef0=83;pD4>k;|l1ef1=83;pD4>k;|l1ef>=83;pD4>k;|l1ef?=83;pD4>k;|l1efg=83;pD4>k;|l1efd=83;pD4>k;|l1efe=83;pD4>k;|l1efb=83;pD4>k;|l1efc=83;pD4>k;|l1ef`=83;pD4>k;|l1ea6=83;pD4>k;|l1ea7=83;pD4>k;|l1ea4=83;pD4>k;|l1ea5=83;pD4>k;|l1ea2=83;pD4>k;|l1ea3=83;pD4>k;|l1ea0=83;pD4>k;|l1ea1=83;pD4>k;|l1ea>=83;pD4>k;|l1ea?=83;pD4>k;|l1eag=83;pD4>k;|l1ead=83;pD4>k;|l1eae=83;pD4>k;|l1eab=83;pD4>k;|l1eac=83;pD4>k;|l1ea`=83;pD4>k;|l1e`6=83;pD4>k;|l1e`7=83;pD4>k;|l1e`4=83;pD4>k;|l1e`5=83;pD4>k;|l1e`2=83;pD4>k;|l1e`3=83;pD4>k;|l1e`0=83;pD4>k;|l1e`1=83;pD4>k;|l1e`>=83;pD4>k;|l1e`?=83;pD4>k;|l1e`g=83;pD4>k;|l1e`d=83;pD4>k;|l1e`e=83;pD4>k;|l1e`b=83;pD4>k;|l1e`c=83;pD4>k;|l1e``=83;pD4>k;|l1ec6=83;pD4>k;|l1ec7=83;pD4>k;|l1ec4=83;pD4>k;|l1ec5=83;pD4>k;|l1ec2=83;pD4>k;|l1ec3=83;pD4>k;|l1ec0=83;pD4>k;|l1ec1=83;pD4>k;|l1ec>=83;pD4>k;|l1ec?=83;pD4>k;|l1ecg=83;pD4>k;|l1ecd=83;pD4>k;|l1ece=83;pD4>k;|l1ecb=83;pD4>k;|l1ecc=83;pD4>k;|l1ec`=83;pD4>k;|l1f56=83;pD4>k;|l1f57=83;pD4>k;|l1f54=83;pD4>k;|l1f55=83;pD4>k;|l1f52=83;pD4>k;|l1f53=83;pD4>k;|l1f50=83;pD4>k;|l1f51=83;pD4>k;|l1f5>=83;pD4>k;|l1f5?=83;pD4>k;|l1f5g=83;pD4>k;|l1f5d=83;pD4>k;|l1f5e=83;pD4>k;|l1f5b=83;pD4>k;|l1f5c=83;pD4>k;|l1f5`=83;pD4>k;|l1f46=83;pD4>k;|l1f47=83;pD4>k;|l1f44=83;pD4>k;|l1f45=83;pD4>k;|l1f42=83;pD4>k;|l1f43=83;pD4>k;|l1f40=83;pD4>k;|l1f41=83;pD4>k;|l1f4>=83;pD4>k;|l1f4?=83;pD4>k;|l1f4g=83;pD4>k;|l1f4d=83;pD4>k;|l1f4e=83;pD4>k;|l1f4b=83;pD4>k;|l1f4c=83;pD4>k;|l1f4`=83;pD4>k;|l1f76=83;pD4>k;|l1f77=83;pD4>k;|l1f74=83;pD4>k;|l1f75=83;pD4>k;|l1f72=83;pD4>k;|l1f73=83;pD4>k;|l1f70=83;pD4>k;|l1f71=83;pD4>k;|l1f7>=83;pD4>k;|l1f7?=83;pD4>k;|l1f7g=83;pD4>k;|l1f7d=83;pD4>k;|l1f7e=83;pD4>k;|l1f7b=83;pD4>k;|l1f7c=83;pD4>k;|l1f7`=83;pD4>k;|l1f66=83;pD4>k;|l1f67=83;pD4>k;|l1f64=83;pD4>k;|l1f65=83;pD4>k;|l1f62=83;pD4>k;|l1f63=83;pD4>k;|l1f60=83;pD4>k;|l1f61=83;pD4>k;|l1f6>=83;pD4>k;|l1f6?=83;pD4>k;|l1f6g=83;pD4>k;|l1f6d=83;pD4>k;|l1f6e=83;pD4>k;|l1f6b=83;pD4>k;|l1f6c=83;pD4>k;|l1f6`=83;pD4>k;|l1f16=83;pD4>k;|l1f17=83;pD4>k;|l1f14=83;pD4>k;|l1f15=83;pD4>k;|l1f12=83;pD4>k;|l1f13=83;pD4>k;|l1f10=83;pD4>k;|l1f11=83;pD4>k;|l1f1>=83;pD4>k;|l1f1?=83;pD4>k;|l1f1g=83;pD4>k;|l1f1d=83;pD4>k;|l1f1e=83;pD4>k;|l1f1b=83;pD4>k;|l1f1c=83;pD4>k;|l1f1`=83;pD4>k;|l1f06=83;pD4>k;|l1f07=83;pD4>k;|l1f04=83;pD4>k;|l1f05=83;pD4>k;|l1f02=83;pD4>k;|l1f03=83;pD4>k;|l1f00=83;pD4>k;|l1f01=83;pD4>k;|l1f0>=83;pD4>k;|l1f0?=83;pD4>k;|l1f0g=83;pD4>k;|l1f0d=83;pD4>k;|l1f0e=83;pD4>k;|l1f0b=83;pD4>k;|l1f0c=83;pD4>k;|l1f0`=83;pD4>k;|l1f36=83;pD4>k;|l1f37=83;pD4>k;|l1f34=83;pD4>k;|l1f35=83;pD4>k;|l1f32=83;pD4>k;|l1f33=83;pD4>k;|l1f30=83;pD4>k;|l1f31=83;pD4>k;|l1f3>=83;pD4>k;|l1f3?=83;pD4>k;|l1f3g=83;pD4>k;|l1f3d=83;pD4>k;|l1f3e=83;pD4>k;|l1f3b=83;pD4>k;|l1f3c=83;pD4>k;|l1f3`=83;pD4>k;|l1f26=83;pD4>k;|l1f27=83;pD4>k;|l1f24=83;pD4>k;|l1f25=83;pD4>k;|l1f22=83;pD4>k;|l1f23=83;pD4>k;|l1f20=83;pD4>k;|l1f21=83;pD4>k;|l1f2>=83;pD4>k;|l1f2?=83;pD4>k;|l1f2g=83;pD4>k;|l1f2d=83;pD4>k;|l1f2e=83;pD4>k;|l1f2b=83;pD4>k;|l1f2c=83;pD4>k;|l1f2`=83;pD4>k;|l1f=6=83;pD4>k;|l1f=7=83;pD4>k;|l1f=4=83;pD4>k;|l1f=5=83;pD4>k;|l1f=2=83;pD4>k;|l1f=3=83;pD4>k;|l1f=0=83;pD4>k;|l1f=1=83;pD4>k;|l1f=>=83;pD4>k;|l1f=?=83;pD4>k;|l1f=g=83;pD4>k;|l1f=d=83;pD4>k;|l1f=e=83;pD4>k;|l1f=b=83;pD4>k;|l1f=c=83;pD4>k;|l1f=`=83;pD4>k;|l1f<6=83;pD4>k;|l1f<7=83;pD4>k;|l1f<4=83;pD4>k;|l1f<5=83;pD4>k;|l1f<2=83;pD4>k;|l1f<3=83;pD4>k;|l1f<0=83;pD4>k;|l1f<1=83;pD4>k;|l1f<>=83;pD4>k;|l1f<?=83;pD4>k;|l1f<g=83;pD4>k;|l1f<d=83;pD4>k;|l1f<e=83;pD4>k;|l1f<b=83;pD4>k;|l1f<c=83;pD4>k;|l1f<`=83;pD4>k;|l1fd6=83;pD4>k;|l1fd7=83;pD4>k;|l1fd4=83;pD4>k;|l1fd5=83;pD4>k;|l1fd2=83;pD4>k;|l1fd3=83;pD4>k;|l1fd0=83;pD4>k;|~yEFDs;l8h7?8a537<={GHKq;qMN_{|BC \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf
new file mode 100644
index 000000000..e1ce12a9d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.ucf
@@ -0,0 +1,17 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_b200_chipscope_ila = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_b200_chipscope_ila = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
+NET "DATA<*" S;
+NET "DATA<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v
new file mode 100644
index 000000000..a9abb90e8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.v
@@ -0,0 +1,33 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : b200_chipscope_ila.v
+// /___/ /\ Timestamp : Tue Feb 19 16:52:47 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module b200_chipscope_ila(
+ CONTROL,
+ CLK,
+ DATA,
+ TRIG0) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL;
+input CLK;
+input [63 : 0] DATA;
+input [7 : 0] TRIG0;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo
new file mode 100644
index 000000000..f72d6853c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.veo
@@ -0,0 +1,31 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : b200_chipscope_ila.veo
+// /___/ /\ Timestamp : Tue Feb 19 16:52:47 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+b200_chipscope_ila YourInstanceName (
+ .CONTROL(CONTROL), // INOUT BUS [35:0]
+ .CLK(CLK), // IN
+ .DATA(DATA), // IN BUS [63:0]
+ .TRIG0(TRIG0) // IN BUS [7:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco
new file mode 100644
index 000000000..ecae96127
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xco
@@ -0,0 +1,141 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Wed Feb 20 00:50:54 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
+# END Select
+# BEGIN Parameters
+CSET check_bramcount=false
+CSET component_name=b200_chipscope_ila
+CSET constraint_type=external
+CSET counter_width_1=Disabled
+CSET counter_width_10=Disabled
+CSET counter_width_11=Disabled
+CSET counter_width_12=Disabled
+CSET counter_width_13=Disabled
+CSET counter_width_14=Disabled
+CSET counter_width_15=Disabled
+CSET counter_width_16=Disabled
+CSET counter_width_2=Disabled
+CSET counter_width_3=Disabled
+CSET counter_width_4=Disabled
+CSET counter_width_5=Disabled
+CSET counter_width_6=Disabled
+CSET counter_width_7=Disabled
+CSET counter_width_8=Disabled
+CSET counter_width_9=Disabled
+CSET data_port_width=64
+CSET data_same_as_trigger=false
+CSET disable_save_keep=false
+CSET enable_storage_qualification=true
+CSET enable_trigger_output_port=false
+CSET example_design=false
+CSET exclude_from_data_storage_1=true
+CSET exclude_from_data_storage_10=true
+CSET exclude_from_data_storage_11=true
+CSET exclude_from_data_storage_12=true
+CSET exclude_from_data_storage_13=true
+CSET exclude_from_data_storage_14=true
+CSET exclude_from_data_storage_15=true
+CSET exclude_from_data_storage_16=true
+CSET exclude_from_data_storage_2=true
+CSET exclude_from_data_storage_3=true
+CSET exclude_from_data_storage_4=true
+CSET exclude_from_data_storage_5=true
+CSET exclude_from_data_storage_6=true
+CSET exclude_from_data_storage_7=true
+CSET exclude_from_data_storage_8=true
+CSET exclude_from_data_storage_9=true
+CSET match_type_1=basic_with_edges
+CSET match_type_10=basic_with_edges
+CSET match_type_11=basic_with_edges
+CSET match_type_12=basic_with_edges
+CSET match_type_13=basic_with_edges
+CSET match_type_14=basic_with_edges
+CSET match_type_15=basic_with_edges
+CSET match_type_16=basic_with_edges
+CSET match_type_2=basic_with_edges
+CSET match_type_3=basic_with_edges
+CSET match_type_4=basic_with_edges
+CSET match_type_5=basic_with_edges
+CSET match_type_6=basic_with_edges
+CSET match_type_7=basic_with_edges
+CSET match_type_8=basic_with_edges
+CSET match_type_9=basic_with_edges
+CSET match_units_1=1
+CSET match_units_10=1
+CSET match_units_11=1
+CSET match_units_12=1
+CSET match_units_13=1
+CSET match_units_14=1
+CSET match_units_15=1
+CSET match_units_16=1
+CSET match_units_2=1
+CSET match_units_3=1
+CSET match_units_4=1
+CSET match_units_5=1
+CSET match_units_6=1
+CSET match_units_7=1
+CSET match_units_8=1
+CSET match_units_9=1
+CSET max_sequence_levels=1
+CSET number_of_trigger_ports=1
+CSET sample_data_depth=8192
+CSET sample_on=Rising
+CSET trigger_port_width_1=8
+CSET trigger_port_width_10=8
+CSET trigger_port_width_11=8
+CSET trigger_port_width_12=8
+CSET trigger_port_width_13=8
+CSET trigger_port_width_14=8
+CSET trigger_port_width_15=8
+CSET trigger_port_width_16=8
+CSET trigger_port_width_2=8
+CSET trigger_port_width_3=8
+CSET trigger_port_width_4=8
+CSET trigger_port_width_5=8
+CSET trigger_port_width_6=8
+CSET trigger_port_width_7=8
+CSET trigger_port_width_8=8
+CSET trigger_port_width_9=8
+CSET use_rpms=false
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:40Z
+# END Extra information
+GENERATE
+# CRC: 92d75d38
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xise b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xise
new file mode 100644
index 000000000..2f85bcdc4
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="b200_chipscope_ila.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="b200_chipscope_ila.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|b200_chipscope_ila" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="b200_chipscope_ila.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/b200_chipscope_ila" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="b200_chipscope_ila" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-02-19T16:52:50" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="1246592F65CAF06BF6A1D464FDE11DBE" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt
new file mode 100644
index 000000000..4dfd1b082
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_flist.txt
@@ -0,0 +1,18 @@
+# Output products list for <b200_chipscope_ila>
+_xmsgs/pn_parser.xmsgs
+b200_chipscope_ila.asy
+b200_chipscope_ila.cdc
+b200_chipscope_ila.constraints/b200_chipscope_ila.ucf
+b200_chipscope_ila.constraints/b200_chipscope_ila.xdc
+b200_chipscope_ila.gise
+b200_chipscope_ila.ncf
+b200_chipscope_ila.ngc
+b200_chipscope_ila.ucf
+b200_chipscope_ila.v
+b200_chipscope_ila.veo
+b200_chipscope_ila.xco
+b200_chipscope_ila.xdc
+b200_chipscope_ila.xise
+b200_chipscope_ila_flist.txt
+b200_chipscope_ila_readme.txt
+b200_chipscope_ila_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt
new file mode 100644
index 000000000..28176abfb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_readme.txt
@@ -0,0 +1,48 @@
+The following files were generated for 'b200_chipscope_ila' in directory
+/home/bhilburn/code/ettus/b200_dev/fpgapriv.git/usrp3/top/b200/coregen/
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * b200_chipscope_ila.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * b200_chipscope_ila.cdc
+ * b200_chipscope_ila.constraints/b200_chipscope_ila.ucf
+ * b200_chipscope_ila.constraints/b200_chipscope_ila.xdc
+ * b200_chipscope_ila.ncf
+ * b200_chipscope_ila.ngc
+ * b200_chipscope_ila.ucf
+ * b200_chipscope_ila.v
+ * b200_chipscope_ila.veo
+ * b200_chipscope_ila.xdc
+ * b200_chipscope_ila_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * b200_chipscope_ila.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * _xmsgs/pn_parser.xmsgs
+ * b200_chipscope_ila.gise
+ * b200_chipscope_ila.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * b200_chipscope_ila_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * b200_chipscope_ila_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl
new file mode 100755
index 000000000..a0922f3ec
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_chipscope_ila_xmdf.tcl
@@ -0,0 +1,87 @@
+# The package naming convention is <core_name>_xmdf
+package provide b200_chipscope_ila_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::b200_chipscope_ila_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::b200_chipscope_ila_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_chipscope_ila
+}
+# ::b200_chipscope_ila_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::b200_chipscope_ila_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.cdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.constraints/b200_chipscope_ila.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.constraints/b200_chipscope_ila.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_chipscope_ila_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_chipscope_ila
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy b/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy
new file mode 100644
index 000000000..aad58f8d2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.asy
@@ -0,0 +1,33 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 b200_clk_gen
+RECTANGLE Normal 32 32 576 1088
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName clk_in1_p
+PINATTR Polarity IN
+LINE Normal 0 144 32 144
+PIN 0 144 LEFT 36
+PINATTR PinName clk_in1_n
+PINATTR Polarity IN
+LINE Normal 0 432 32 432
+PIN 0 432 LEFT 36
+PINATTR PinName reset
+PINATTR Polarity IN
+LINE Normal 608 80 576 80
+PIN 608 80 RIGHT 36
+PINATTR PinName clk_out1
+PINATTR Polarity OUT
+LINE Normal 608 176 576 176
+PIN 608 176 RIGHT 36
+PINATTR PinName clk_out2
+PINATTR Polarity OUT
+LINE Normal 608 272 576 272
+PIN 608 272 RIGHT 36
+PINATTR PinName clk_out3
+PINATTR Polarity OUT
+LINE Normal 608 976 576 976
+PIN 608 976 RIGHT 36
+PINATTR PinName locked
+PINATTR Polarity OUT
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.gise b/fpga/usrp3/top/b200/coregen/b200_clk_gen.gise
new file mode 100644
index 000000000..70064d04f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="b200_clk_gen.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="b200_clk_gen.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="b200_clk_gen.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf b/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf
new file mode 100755
index 000000000..e3776c72b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.ucf
@@ -0,0 +1,72 @@
+# file: b200_clk_gen.ucf
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system
+#----------------------------------------------------------------
+# Differential clock only needs one constraint
+NET "CLK_IN1_P" TNM_NET = "CLK_IN1_P";
+TIMESPEC "TS_CLK_IN1_P" = PERIOD "CLK_IN1_P" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps;
+
+# Derived clock periods. These are commented out because they are
+# automatically propogated by the tools
+# However, if you'd like to use them for module level testing, you
+# can copy them into your module level timing checks
+#-----------------------------------------------------------------
+# NET "clk_int[1]" TNM_NET = "CLK_OUT1";
+# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 40.000 MHz;
+
+# NET "clk_int[2]" TNM_NET = "CLK_OUT2";
+# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 100.000 MHz;
+# NET "clk_int[3]" TNM_NET = "CLK_OUT3";
+# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 100.000 MHz;
+
+# FALSE PATH constraints
+PIN "RESET" TIG;
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen.v
new file mode 100755
index 000000000..bb9a57dc2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.v
@@ -0,0 +1,163 @@
+// file: b200_clk_gen.v
+//
+// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+//
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+//
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+//
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+//
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+//
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+// "Output Output Phase Duty Pk-to-Pk Phase"
+// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
+//----------------------------------------------------------------------------
+// CLK_OUT1____40.000______0.000______50.0______200.000____150.000
+// CLK_OUT2___100.000______0.000______50.0______400.000____150.000
+// CLK_OUT3___100.000______0.000______50.0______400.000____150.000
+//
+//----------------------------------------------------------------------------
+// "Input Clock Freq (MHz) Input Jitter (UI)"
+//----------------------------------------------------------------------------
+// __primary__________40.000____________0.010
+
+`timescale 1ps/1ps
+
+(* CORE_GENERATION_INFO = "b200_clk_gen,clk_wiz_v3_6,{component_name=b200_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *)
+module b200_clk_gen
+ (// Clock in ports
+ input CLK_IN1_40_P,
+ input CLK_IN1_40_N,
+ // Clock out ports
+ output CLK_OUT1_40_int,
+ output CLK_OUT2_100_gpif,
+ output CLK_OUT3_100_bus,
+ // Status and control signals
+ input RESET,
+ output LOCKED
+ );
+
+ // Input buffering
+ //------------------------------------
+ IBUFGDS clkin1_buf
+ (.O (clkin1),
+ .I (CLK_IN1_40_P),
+ .IB (CLK_IN1_40_N));
+
+
+ // Clocking primitive
+ //------------------------------------
+
+ // Instantiation of the DCM primitive
+ // * Unused inputs are tied off
+ // * Unused outputs are labeled unused
+ wire psdone_unused;
+ wire locked_int;
+ wire [7:0] status_int;
+ wire clkfb;
+ wire clk0;
+ wire clkfx;
+
+ DCM_SP
+ #(.CLKDV_DIVIDE (2.000),
+ .CLKFX_DIVIDE (2),
+ .CLKFX_MULTIPLY (5),
+ .CLKIN_DIVIDE_BY_2 ("FALSE"),
+ .CLKIN_PERIOD (25.0),
+ .CLKOUT_PHASE_SHIFT ("NONE"),
+ .CLK_FEEDBACK ("1X"),
+ .DESKEW_ADJUST ("SYSTEM_SYNCHRONOUS"),
+ .PHASE_SHIFT (0),
+ .STARTUP_WAIT ("FALSE"))
+ dcm_sp_inst
+ // Input clock
+ (.CLKIN (clkin1),
+ .CLKFB (clkfb),
+ // Output clocks
+ .CLK0 (clk0),
+ .CLK90 (),
+ .CLK180 (),
+ .CLK270 (),
+ .CLK2X (),
+ .CLK2X180 (),
+ .CLKFX (clkfx),
+ .CLKFX180 (),
+ .CLKDV (),
+ // Ports for dynamic phase shift
+ .PSCLK (1'b0),
+ .PSEN (1'b0),
+ .PSINCDEC (1'b0),
+ .PSDONE (),
+ // Other control and status signals
+ .LOCKED (locked_int),
+ .STATUS (status_int),
+
+ .RST (RESET),
+ // Unused pin- tie low
+ .DSSEN (1'b0));
+
+ assign LOCKED = locked_int;
+
+ // Output buffering
+ //-----------------------------------
+ assign clkfb = CLK_OUT1_40_int;
+
+ BUFG clkout1_buf
+ (.O (CLK_OUT1_40_int),
+ .I (clk0));
+
+
+ BUFG clkout2_buf
+ (.O (CLK_OUT2_100_gpif),
+ .I (clkfx));
+
+ BUFG clkout3_buf
+ (.O (CLK_OUT3_100_bus),
+ .I (clkfx));
+
+
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo b/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo
new file mode 100755
index 000000000..111c02991
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.veo
@@ -0,0 +1,83 @@
+//
+// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+//
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+//
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+//
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+//
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+//
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+// "Output Output Phase Duty Pk-to-Pk Phase"
+// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
+//----------------------------------------------------------------------------
+// CLK_OUT1____40.000______0.000______50.0______200.000____150.000
+// CLK_OUT2___100.000______0.000______50.0______400.000____150.000
+// CLK_OUT3___100.000______0.000______50.0______400.000____150.000
+//
+//----------------------------------------------------------------------------
+// "Input Clock Freq (MHz) Input Jitter (UI)"
+//----------------------------------------------------------------------------
+// __primary__________40.000____________0.010
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+
+ b200_clk_gen instance_name
+ (// Clock in ports
+ .CLK_IN1_40_P(CLK_IN1_40_P), // IN
+ .CLK_IN1_40_N(CLK_IN1_40_N), // IN
+ // Clock out ports
+ .CLK_OUT1_40_int(CLK_OUT1_40_int), // OUT
+ .CLK_OUT2_100_gpif(CLK_OUT2_100_gpif), // OUT
+ .CLK_OUT3_100_bus(CLK_OUT3_100_bus), // OUT
+ // Status and control signals
+ .RESET(RESET),// IN
+ .LOCKED(LOCKED)); // OUT
+// INST_TAG_END ------ End INSTANTIATION Template ---------
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco
new file mode 100644
index 000000000..96c8193b3
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xco
@@ -0,0 +1,269 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Jan 25 20:00:48 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:clk_wiz:3.6
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Other
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = csg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -2
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6
+# END Select
+# BEGIN Parameters
+CSET calc_done=DONE
+CSET clk_in_sel_port=CLK_IN_SEL
+CSET clk_out1_port=CLK_OUT1_40_int
+CSET clk_out1_use_fine_ps_gui=false
+CSET clk_out2_port=CLK_OUT2_100_gpif
+CSET clk_out2_use_fine_ps_gui=false
+CSET clk_out3_port=CLK_OUT3_100_bus
+CSET clk_out3_use_fine_ps_gui=false
+CSET clk_out4_port=CLK_OUT4
+CSET clk_out4_use_fine_ps_gui=false
+CSET clk_out5_port=CLK_OUT5
+CSET clk_out5_use_fine_ps_gui=false
+CSET clk_out6_port=CLK_OUT6
+CSET clk_out6_use_fine_ps_gui=false
+CSET clk_out7_port=CLK_OUT7
+CSET clk_out7_use_fine_ps_gui=false
+CSET clk_valid_port=CLK_VALID
+CSET clkfb_in_n_port=CLKFB_IN_N
+CSET clkfb_in_p_port=CLKFB_IN_P
+CSET clkfb_in_port=CLKFB_IN
+CSET clkfb_in_signaling=SINGLE
+CSET clkfb_out_n_port=CLKFB_OUT_N
+CSET clkfb_out_p_port=CLKFB_OUT_P
+CSET clkfb_out_port=CLKFB_OUT
+CSET clkfb_stopped_port=CLKFB_STOPPED
+CSET clkin1_jitter_ps=250.0
+CSET clkin1_ui_jitter=0.010
+CSET clkin2_jitter_ps=100.0
+CSET clkin2_ui_jitter=0.010
+CSET clkout1_drives=BUFG
+CSET clkout1_requested_duty_cycle=50.000
+CSET clkout1_requested_out_freq=40.000
+CSET clkout1_requested_phase=0.000
+CSET clkout2_drives=BUFG
+CSET clkout2_requested_duty_cycle=50.000
+CSET clkout2_requested_out_freq=100.000
+CSET clkout2_requested_phase=0.000
+CSET clkout2_used=true
+CSET clkout3_drives=BUFG
+CSET clkout3_requested_duty_cycle=50.000
+CSET clkout3_requested_out_freq=100.000
+CSET clkout3_requested_phase=0.000
+CSET clkout3_used=true
+CSET clkout4_drives=BUFG
+CSET clkout4_requested_duty_cycle=50.000
+CSET clkout4_requested_out_freq=100.000
+CSET clkout4_requested_phase=0.000
+CSET clkout4_used=false
+CSET clkout5_drives=BUFG
+CSET clkout5_requested_duty_cycle=50.000
+CSET clkout5_requested_out_freq=100.000
+CSET clkout5_requested_phase=0.000
+CSET clkout5_used=false
+CSET clkout6_drives=BUFG
+CSET clkout6_requested_duty_cycle=50.000
+CSET clkout6_requested_out_freq=100.000
+CSET clkout6_requested_phase=0.000
+CSET clkout6_used=false
+CSET clkout7_drives=BUFG
+CSET clkout7_requested_duty_cycle=50.000
+CSET clkout7_requested_out_freq=100.000
+CSET clkout7_requested_phase=0.000
+CSET clkout7_used=false
+CSET clock_mgr_type=AUTO
+CSET component_name=b200_clk_gen
+CSET daddr_port=DADDR
+CSET dclk_port=DCLK
+CSET dcm_clk_feedback=1X
+CSET dcm_clk_out1_port=CLK0
+CSET dcm_clk_out2_port=CLKFX
+CSET dcm_clk_out3_port=CLKFX
+CSET dcm_clk_out4_port=CLK0
+CSET dcm_clk_out5_port=CLK0
+CSET dcm_clk_out6_port=CLK0
+CSET dcm_clkdv_divide=2.0
+CSET dcm_clkfx_divide=2
+CSET dcm_clkfx_multiply=5
+CSET dcm_clkgen_clk_out1_port=CLKFX
+CSET dcm_clkgen_clk_out2_port=CLKFX
+CSET dcm_clkgen_clk_out3_port=CLKFX
+CSET dcm_clkgen_clkfx_divide=1
+CSET dcm_clkgen_clkfx_md_max=0.000
+CSET dcm_clkgen_clkfx_multiply=4
+CSET dcm_clkgen_clkfxdv_divide=2
+CSET dcm_clkgen_clkin_period=10.000
+CSET dcm_clkgen_notes=None
+CSET dcm_clkgen_spread_spectrum=NONE
+CSET dcm_clkgen_startup_wait=false
+CSET dcm_clkin_divide_by_2=false
+CSET dcm_clkin_period=25.000
+CSET dcm_clkout_phase_shift=NONE
+CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS
+CSET dcm_notes=None
+CSET dcm_phase_shift=0
+CSET dcm_pll_cascade=NONE
+CSET dcm_startup_wait=false
+CSET den_port=DEN
+CSET din_port=DIN
+CSET dout_port=DOUT
+CSET drdy_port=DRDY
+CSET dwe_port=DWE
+CSET feedback_source=FDBK_AUTO
+CSET in_freq_units=Units_MHz
+CSET in_jitter_units=Units_UI
+CSET input_clk_stopped_port=INPUT_CLK_STOPPED
+CSET jitter_options=UI
+CSET jitter_sel=No_Jitter
+CSET locked_port=LOCKED
+CSET mmcm_bandwidth=OPTIMIZED
+CSET mmcm_clkfbout_mult_f=4.000
+CSET mmcm_clkfbout_phase=0.000
+CSET mmcm_clkfbout_use_fine_ps=false
+CSET mmcm_clkin1_period=10.000
+CSET mmcm_clkin2_period=10.000
+CSET mmcm_clkout0_divide_f=4.000
+CSET mmcm_clkout0_duty_cycle=0.500
+CSET mmcm_clkout0_phase=0.000
+CSET mmcm_clkout0_use_fine_ps=false
+CSET mmcm_clkout1_divide=1
+CSET mmcm_clkout1_duty_cycle=0.500
+CSET mmcm_clkout1_phase=0.000
+CSET mmcm_clkout1_use_fine_ps=false
+CSET mmcm_clkout2_divide=1
+CSET mmcm_clkout2_duty_cycle=0.500
+CSET mmcm_clkout2_phase=0.000
+CSET mmcm_clkout2_use_fine_ps=false
+CSET mmcm_clkout3_divide=1
+CSET mmcm_clkout3_duty_cycle=0.500
+CSET mmcm_clkout3_phase=0.000
+CSET mmcm_clkout3_use_fine_ps=false
+CSET mmcm_clkout4_cascade=false
+CSET mmcm_clkout4_divide=1
+CSET mmcm_clkout4_duty_cycle=0.500
+CSET mmcm_clkout4_phase=0.000
+CSET mmcm_clkout4_use_fine_ps=false
+CSET mmcm_clkout5_divide=1
+CSET mmcm_clkout5_duty_cycle=0.500
+CSET mmcm_clkout5_phase=0.000
+CSET mmcm_clkout5_use_fine_ps=false
+CSET mmcm_clkout6_divide=1
+CSET mmcm_clkout6_duty_cycle=0.500
+CSET mmcm_clkout6_phase=0.000
+CSET mmcm_clkout6_use_fine_ps=false
+CSET mmcm_clock_hold=false
+CSET mmcm_compensation=ZHOLD
+CSET mmcm_divclk_divide=1
+CSET mmcm_notes=None
+CSET mmcm_ref_jitter1=0.010
+CSET mmcm_ref_jitter2=0.010
+CSET mmcm_startup_wait=false
+CSET num_out_clks=3
+CSET override_dcm=false
+CSET override_dcm_clkgen=false
+CSET override_mmcm=false
+CSET override_pll=false
+CSET platform=lin64
+CSET pll_bandwidth=OPTIMIZED
+CSET pll_clk_feedback=CLKFBOUT
+CSET pll_clkfbout_mult=4
+CSET pll_clkfbout_phase=0.000
+CSET pll_clkin_period=10.000
+CSET pll_clkout0_divide=1
+CSET pll_clkout0_duty_cycle=0.500
+CSET pll_clkout0_phase=0.000
+CSET pll_clkout1_divide=1
+CSET pll_clkout1_duty_cycle=0.500
+CSET pll_clkout1_phase=0.000
+CSET pll_clkout2_divide=1
+CSET pll_clkout2_duty_cycle=0.500
+CSET pll_clkout2_phase=0.000
+CSET pll_clkout3_divide=1
+CSET pll_clkout3_duty_cycle=0.500
+CSET pll_clkout3_phase=0.000
+CSET pll_clkout4_divide=1
+CSET pll_clkout4_duty_cycle=0.500
+CSET pll_clkout4_phase=0.000
+CSET pll_clkout5_divide=1
+CSET pll_clkout5_duty_cycle=0.500
+CSET pll_clkout5_phase=0.000
+CSET pll_compensation=SYSTEM_SYNCHRONOUS
+CSET pll_divclk_divide=1
+CSET pll_notes=None
+CSET pll_ref_jitter=0.010
+CSET power_down_port=POWER_DOWN
+CSET prim_in_freq=40.000
+CSET prim_in_jitter=0.010
+CSET prim_source=Differential_clock_capable_pin
+CSET primary_port=CLK_IN1_40
+CSET primitive=MMCM
+CSET primtype_sel=PLL_BASE
+CSET psclk_port=PSCLK
+CSET psdone_port=PSDONE
+CSET psen_port=PSEN
+CSET psincdec_port=PSINCDEC
+CSET relative_inclk=REL_PRIMARY
+CSET reset_port=RESET
+CSET secondary_in_freq=100.000
+CSET secondary_in_jitter=0.010
+CSET secondary_port=CLK_IN2
+CSET secondary_source=Single_ended_clock_capable_pin
+CSET ss_mod_freq=250
+CSET ss_mode=CENTER_HIGH
+CSET status_port=STATUS
+CSET summary_strings=empty
+CSET use_clk_valid=false
+CSET use_clkfb_stopped=false
+CSET use_dyn_phase_shift=false
+CSET use_dyn_reconfig=false
+CSET use_freeze=false
+CSET use_freq_synth=true
+CSET use_inclk_stopped=false
+CSET use_inclk_switchover=false
+CSET use_locked=true
+CSET use_max_i_jitter=false
+CSET use_min_o_jitter=false
+CSET use_min_power=false
+CSET use_phase_alignment=true
+CSET use_power_down=false
+CSET use_reset=true
+CSET use_spread_spectrum=false
+CSET use_spread_spectrum_1=false
+CSET use_status=false
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-05-10T12:44:55Z
+# END Extra information
+GENERATE
+# CRC: af7323ea
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc
new file mode 100755
index 000000000..d57c7eec7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xdc
@@ -0,0 +1,68 @@
+# file: b200_clk_gen.xdc
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system
+#----------------------------------------------------------------
+# Differential clock only needs one constraint
+create_clock -name CLK_IN1_P -period 25.0 [get_ports CLK_IN1_P]
+set_propagated_clock CLK_IN1_P
+set_input_jitter CLK_IN1_P 0.25
+
+set_false_path -from [get_ports "RESET"]
+
+# Derived clock periods. These are commented out because they are
+# automatically propogated by the tools
+# However, if you'd like to use them for module level testing, you
+# can copy them into your module level timing checks
+#-----------------------------------------------------------------
+
+#-----------------------------------------------------------------
+
+#-----------------------------------------------------------------
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen.xise b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xise
new file mode 100644
index 000000000..0c90c5973
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen.xise
@@ -0,0 +1,408 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="b200_clk_gen/example_design/b200_clk_gen_exdes.ucf" xil_pn:type="FILE_UCF">
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="b200_clk_gen/example_design/b200_clk_gen_exdes.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="2"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="2"/>
+ </file>
+ <file xil_pn:name="b200_clk_gen.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="4"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="4"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="4"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="4"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="AES Initial Vector virtex6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="AES Key (Hex String) virtex6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
+ <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="BPI Reads Per Page" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="BPI Sync Mode" xil_pn:value="Disable" xil_pn:valueState="default"/>
+ <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
+ <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
+ <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
+ <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin Init" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Configuration Rate virtex5" xil_pn:value="3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Cycles for First BPI Page Read" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/>
+ <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
+ <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Disable JTAG Connection" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable External Master Clock" xil_pn:value="Disable" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Multi-Threading par virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Bitstream virtex6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
+ <property xil_pn:name="Encrypt Key Select virtex6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
+ <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
+ <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
+ <property xil_pn:name="Extra Cost Tables Map virtex6" xil_pn:value="0" xil_pn:valueState="default"/>
+ <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
+ <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Fallback Reconfiguration virtex7" xil_pn:value="Disable" xil_pn:valueState="default"/>
+ <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
+ <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Optimization map virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
+ <property xil_pn:name="HMAC Key (Hex String)" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
+ <property xil_pn:name="ICAP Select" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|b200_clk_gen_exdes" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="b200_clk_gen/example_design/b200_clk_gen_exdes.v" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/b200_clk_gen_exdes" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
+ <property xil_pn:name="JTAG to XADC Connection" xil_pn:value="Enable" xil_pn:valueState="default"/>
+ <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
+ <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
+ <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile virtex7" xil_pn:value="Enable" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
+ <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
+ <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
+ <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
+ <property xil_pn:name="Optimization Effort virtex6" xil_pn:value="Normal" xil_pn:valueState="default"/>
+ <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
+ <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Output File Name" xil_pn:value="b200_clk_gen_exdes" xil_pn:valueState="default"/>
+ <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/>
+ <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
+ <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
+ <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Place MultiBoot Settings into Bitstream virtex7" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
+ <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
+ <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="b200_clk_gen_exdes_map.v" xil_pn:valueState="default"/>
+ <property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="b200_clk_gen_exdes_timesim.v" xil_pn:valueState="default"/>
+ <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="b200_clk_gen_exdes_synthesis.v" xil_pn:valueState="default"/>
+ <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="b200_clk_gen_exdes_translate.v" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Down Device if Over Safe Temperature" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Map virtex6" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
+ <property xil_pn:name="Register Ordering virtex6" xil_pn:value="4" xil_pn:valueState="default"/>
+ <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
+ <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
+ <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
+ <property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
+ <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="SPI 32-bit Addressing" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
+ <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
+ <property xil_pn:name="Set SPI Configuration Bus Width" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Shift Register Minimum Size virtex6" xil_pn:value="2" xil_pn:valueState="default"/>
+ <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
+ <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
+ <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
+ <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
+ <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Starting Address for Fallback Configuration virtex7" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="Starting Placer Cost Table (1-100)" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
+ <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
+ <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
+ <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use DSP Block" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use SPI Falling Edge" xil_pn:value="No" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/>
+ <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
+ <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
+ <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
+ <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
+ <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wait for DCI Match (Output Events) virtex5" xil_pn:value="Auto" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wait for PLL Lock (Output Events) virtex6" xil_pn:value="No Wait" xil_pn:valueState="default"/>
+ <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
+ <property xil_pn:name="Watchdog Timer Mode 7-series" xil_pn:value="Off" xil_pn:valueState="default"/>
+ <property xil_pn:name="Watchdog Timer Value 7-series" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
+ <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
+ <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="b200_clk_gen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-01-25T12:01:05" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="BF4ABAECC95F189A3B1A35E026FF9799" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt
new file mode 100644
index 000000000..19c5b73c6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/clk_wiz_v3_6_readme.txt
@@ -0,0 +1,181 @@
+CHANGE LOG for LogiCORE Clocking Wizard V3.6
+
+ Release Date: July 25, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+
+ The following device families are supported by the core for this release.
+
+ All 7 Series devices
+
+
+ Zynq-7000 devices
+ Zynq-7000
+ Defense Grade Zynq-7000Q (XQ)
+
+
+ All Virtex-6 devices
+
+
+ All Spartan-6 devices
+
+
+................................................................................
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - Spread Spectrum support for 7 series MMCME2
+
+ - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ Resolved issue with Virtex6 MMCM instantiation for VHDL project
+ Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+
+ The most recent information, including known issues, workarounds, and
+ resolutions for this version is provided in the IP Release Notes Guide
+ located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date By Version Description
+================================================================================
+10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
+07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
+04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
+01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
+06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
+03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
+12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
+09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
+07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
+09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
+06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
+04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
+================================================================================
+
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt
new file mode 100644
index 000000000..19c5b73c6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_readme.txt
@@ -0,0 +1,181 @@
+CHANGE LOG for LogiCORE Clocking Wizard V3.6
+
+ Release Date: July 25, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+
+ The following device families are supported by the core for this release.
+
+ All 7 Series devices
+
+
+ Zynq-7000 devices
+ Zynq-7000
+ Defense Grade Zynq-7000Q (XQ)
+
+
+ All Virtex-6 devices
+
+
+ All Spartan-6 devices
+
+
+................................................................................
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - Spread Spectrum support for 7 series MMCME2
+
+ - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ Resolved issue with Virtex6 MMCM instantiation for VHDL project
+ Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+
+ The most recent information, including known issues, workarounds, and
+ resolutions for this version is provided in the IP Release Notes Guide
+ located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date By Version Description
+================================================================================
+10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
+07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
+04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
+01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
+06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
+03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
+12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
+09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
+07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
+09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
+06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
+04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
+================================================================================
+
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html
new file mode 100644
index 000000000..7176ddb81
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html
@@ -0,0 +1,192 @@
+<HTML>
+<HEAD>
+<TITLE>clk_wiz_v3_6_vinfo</TITLE>
+<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1">
+</HEAD>
+<BODY>
+<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1">
+CHANGE LOG for LogiCORE Clocking Wizard V3.6
+
+ Release Date: July 25, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A>
+
+For system requirements:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A>
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+ <A HREF="http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/">www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/</A>
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+
+ The following device families are supported by the core for this release.
+
+ All 7 Series devices
+
+
+ Zynq-7000 devices
+ Zynq-7000
+ Defense Grade Zynq-7000Q (XQ)
+
+
+ All Virtex-6 devices
+
+
+ All Spartan-6 devices
+
+
+................................................................................
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - Spread Spectrum support for 7 series MMCME2
+
+ - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ Resolved issue with Virtex6 MMCM instantiation for VHDL project
+ Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+
+ The most recent information, including known issues, workarounds, and
+ resolutions for this version is provided in the IP Release Notes Guide
+ located at
+
+ <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A>
+
+
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A>
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date By Version Description
+================================================================================
+10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
+07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
+04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
+01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
+06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
+03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
+12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
+09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
+07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
+09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
+06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
+04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
+================================================================================
+
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2012 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
+</FONT>
+</PRE>
+</BODY>
+</HTML>
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf
new file mode 100644
index 000000000..a7daa6089
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/doc/pg065_clk_wiz.pdf
Binary files differ
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf
new file mode 100755
index 000000000..62c243978
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.ucf
@@ -0,0 +1,73 @@
+# file: b200_clk_gen_exdes.ucf
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system
+#----------------------------------------------------------------
+# Differential clock only needs one constraint
+NET "CLK_IN1_P" TNM_NET = "CLK_IN1_P";
+TIMESPEC "TS_CLK_IN1_P" = PERIOD "CLK_IN1_P" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps;
+
+# Derived clock periods. These are commented out because they are
+# automatically propogated by the tools
+# However, if you'd like to use them for module level testing, you
+# can copy them into your module level timing checks
+#-----------------------------------------------------------------
+# NET "clk_int[1]" TNM_NET = "CLK_OUT1";
+# TIMESPEC "TS_CLK_OUT1" = PERIOD "CLK_OUT1" 40.000 MHz;
+
+# NET "clk_int[2]" TNM_NET = "CLK_OUT2";
+# TIMESPEC "TS_CLK_OUT2" = PERIOD "CLK_OUT2" 100.000 MHz;
+# NET "clk_int[3]" TNM_NET = "CLK_OUT3";
+# TIMESPEC "TS_CLK_OUT3" = PERIOD "CLK_OUT3" 100.000 MHz;
+
+# FALSE PATH constraints
+PIN "COUNTER_RESET" TIG;
+PIN "RESET" TIG;
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v
new file mode 100755
index 000000000..e22b83072
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.v
@@ -0,0 +1,180 @@
+// file: b200_clk_gen_exdes.v
+//
+// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+//
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+//
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+//
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+//
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+//
+
+//----------------------------------------------------------------------------
+// Clocking wizard example design
+//----------------------------------------------------------------------------
+// This example design instantiates the created clocking network, where each
+// output clock drives a counter. The high bit of each counter is ported.
+//----------------------------------------------------------------------------
+
+`timescale 1ps/1ps
+
+module b200_clk_gen_exdes
+ #(
+ parameter TCQ = 100
+ )
+ (// Clock in ports
+ input CLK_IN1_P,
+ input CLK_IN1_N,
+ // Reset that only drives logic in example design
+ input COUNTER_RESET,
+ output [3:1] CLK_OUT,
+ // High bits of counters driven by clocks
+ output [3:1] COUNT,
+ // Status and control signals
+ input RESET,
+ output LOCKED
+ );
+
+ // Parameters for the counters
+ //-------------------------------
+ // Counter width
+ localparam C_W = 16;
+ // Number of counters
+ localparam NUM_C = 3;
+ genvar count_gen;
+ // When the clock goes out of lock, reset the counters
+ wire reset_int = !LOCKED || RESET || COUNTER_RESET;
+
+ reg [NUM_C:1] rst_sync;
+ reg [NUM_C:1] rst_sync_int;
+ reg [NUM_C:1] rst_sync_int1;
+ reg [NUM_C:1] rst_sync_int2;
+
+
+ // Declare the clocks and counters
+ wire [NUM_C:1] clk_int;
+ wire [NUM_C:1] clk_n;
+ wire [NUM_C:1] clk;
+ reg [C_W-1:0] counter [NUM_C:1];
+
+ // Instantiation of the clocking network
+ //--------------------------------------
+ b200_clk_gen clknetwork
+ (// Clock in ports
+ .CLK_IN1_40_P (CLK_IN1_P),
+ .CLK_IN1_40_N (CLK_IN1_N),
+ // Clock out ports
+ .CLK_OUT1_40_int (clk_int[1]),
+ .CLK_OUT2_100_gpif (clk_int[2]),
+ .CLK_OUT3_100_bus (clk_int[3]),
+ // Status and control signals
+ .RESET (RESET),
+ .LOCKED (LOCKED));
+
+genvar clk_out_pins;
+
+generate
+ for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1)
+ begin: gen_outclk_oddr
+ assign clk_n[clk_out_pins] = ~clk[clk_out_pins];
+
+ ODDR2 clkout_oddr
+ (.Q (CLK_OUT[clk_out_pins1]),
+ .C0 (clk[clk_out_pins]),
+ .C1 (clk_n[clk_out_pins]),
+ .CE (1'b1),
+ .D0 (1'b1),
+ .D1 (1'b0),
+ .R (1'b0),
+ .S (1'b0));
+ end
+endgenerate
+
+ // Connect the output clocks to the design
+ //-----------------------------------------
+ assign clk[1] = clk_int[1];
+ assign clk[2] = clk_int[2];
+ assign clk[3] = clk_int[3];
+
+
+ // Reset synchronizer
+ //-----------------------------------
+ generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1
+ always @(posedge reset_int or posedge clk[count_gen]) begin
+ if (reset_int) begin
+ rst_sync[count_gen] <= 1'b1;
+ rst_sync_int[count_gen]<= 1'b1;
+ rst_sync_int1[count_gen]<= 1'b1;
+ rst_sync_int2[count_gen]<= 1'b1;
+ end
+ else begin
+ rst_sync[count_gen] <= 1'b0;
+ rst_sync_int[count_gen] <= rst_sync[count_gen];
+ rst_sync_int1[count_gen] <= rst_sync_int[count_gen];
+ rst_sync_int2[count_gen] <= rst_sync_int1[count_gen];
+ end
+ end
+ end
+ endgenerate
+
+
+ // Output clock sampling
+ //-----------------------------------
+ generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters
+
+ always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin
+ if (rst_sync_int2[count_gen]) begin
+ counter[count_gen] <= #TCQ { C_W { 1'b 0 } };
+ end else begin
+ counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1;
+ end
+ end
+ // alias the high bit of each counter to the corresponding
+ // bit in the output bus
+ assign COUNT[count_gen] = counter[count_gen][C_W-1];
+ end
+ endgenerate
+
+
+
+
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc
new file mode 100755
index 000000000..dc0aad84c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/example_design/b200_clk_gen_exdes.xdc
@@ -0,0 +1,70 @@
+# file: b200_clk_gen_exdes.xdc
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system
+#----------------------------------------------------------------
+# Differential clock only needs one constraint
+create_clock -name CLK_IN1_P -period 25.0 [get_ports CLK_IN1_P]
+set_propagated_clock CLK_IN1_P
+set_input_jitter CLK_IN1_P 0.25
+
+# FALSE PATH constraint added on COUNTER_RESET
+set_false_path -from [get_ports "COUNTER_RESET"]
+set_false_path -from [get_ports "RESET"]
+
+# Derived clock periods. These are commented out because they are
+# automatically propogated by the tools
+# However, if you'd like to use them for module level testing, you
+# can copy them into your module level timing checks
+#-----------------------------------------------------------------
+
+#-----------------------------------------------------------------
+
+#-----------------------------------------------------------------
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat
new file mode 100755
index 000000000..32e315065
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.bat
@@ -0,0 +1,90 @@
+REM file: implement.bat
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+
+REM -----------------------------------------------------------------------------
+REM Script to synthesize and implement the RTL provided for the clocking wizard
+REM -----------------------------------------------------------------------------
+
+REM Clean up the results directory
+rmdir /S /Q results
+mkdir results
+
+REM Copy unisim_comp.v file to results directory
+copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\
+
+REM Synthesize the Verilog Wrapper Files
+echo 'Synthesizing Clocking Wizard design with XST'
+xst -ifn xst.scr
+move b200_clk_gen_exdes.ngc results\
+
+REM Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+copy ..\example_design\b200_clk_gen_exdes.ucf results\
+
+cd results
+
+echo 'Running ngdbuild'
+ngdbuild -uc b200_clk_gen_exdes.ucf b200_clk_gen_exdes
+
+echo 'Running map'
+map -timing -pr b b200_clk_gen_exdes -o mapped.ncd
+
+echo 'Running par'
+par -w mapped.ncd routed mapped.pcf
+
+echo 'Running trce'
+trce -e 10 routed -o routed mapped.pcf
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level model for the clocking wizard example design'
+netgen -ofmt verilog -sim -sdf_anno false -tm b200_clk_gen_exdes -w routed.ncd routed.v
+cd ..
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh
new file mode 100755
index 000000000..d33e6c5f5
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/implement.sh
@@ -0,0 +1,91 @@
+#!/bin/sh
+# file: implement.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+#-----------------------------------------------------------------------------
+# Script to synthesize and implement the RTL provided for the clocking wizard
+#-----------------------------------------------------------------------------
+
+# Clean up the results directory
+rm -rf results
+mkdir results
+
+# Copy unisim_comp.v file to results directory
+cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/
+
+# Synthesize the Verilog Wrapper Files
+echo 'Synthesizing Clocking Wizard design with XST'
+xst -ifn xst.scr
+mv b200_clk_gen_exdes.ngc results/
+
+# Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+cp ../example_design/b200_clk_gen_exdes.ucf results/
+
+cd results
+
+echo 'Running ngdbuild'
+ngdbuild -uc b200_clk_gen_exdes.ucf b200_clk_gen_exdes
+
+echo 'Running map'
+map -timing b200_clk_gen_exdes -o mapped.ncd
+
+echo 'Running par'
+par -w mapped.ncd routed mapped.pcf
+
+echo 'Running trce'
+trce -e 10 routed -o routed mapped.pcf
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level model for the clocking wizard example design'
+netgen -ofmt verilog -sim -sdf_anno false -tm b200_clk_gen_exdes -w routed.ncd routed.v
+
+cd ..
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat
new file mode 100755
index 000000000..8ac771810
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.bat
@@ -0,0 +1,58 @@
+REM file: planAhead_ise.bat
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+
+REM-----------------------------------------------------------------------------
+REM Script to synthesize and implement the RTL provided for the clocking wizard
+REM-----------------------------------------------------------------------------
+
+del \f results
+mkdir results
+cd results
+
+planAhead -mode batch -source ..\planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh
new file mode 100755
index 000000000..6c8c837d3
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.sh
@@ -0,0 +1,59 @@
+#!/bin/sh
+# file: planAhead_ise.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+#-----------------------------------------------------------------------------
+# Script to synthesize and implement the RTL provided for the clocking wizard
+#-----------------------------------------------------------------------------
+
+rm -rf results
+mkdir results
+cd results
+
+planAhead -mode batch -source ../planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl
new file mode 100755
index 000000000..b87b6e4d5
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_ise.tcl
@@ -0,0 +1,78 @@
+# file: planAhead_ise.tcl
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+set projDir [file dirname [info script]]
+set projName b200_clk_gen
+set topName b200_clk_gen_exdes
+set device xc6slx75csg484-2
+
+create_project $projName $projDir/results/$projName -part $device
+
+set_property design_mode RTL [get_filesets sources_1]
+
+## Source files
+#set verilogSources [glob $srcDir/*.v]
+import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/b200_clk_gen_exdes.v
+import_files -fileset [get_filesets sources_1] -force -norecurse ../../../b200_clk_gen.v
+
+
+#UCF file
+import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/b200_clk_gen_exdes.ucf
+
+set_property top $topName [get_property srcset [current_run]]
+
+launch_runs -runs synth_1
+wait_on_run synth_1
+
+set_property add_step Bitgen [get_runs impl_1]
+launch_runs -runs impl_1
+wait_on_run impl_1
+
+
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat
new file mode 100755
index 000000000..42273f5d4
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.bat
@@ -0,0 +1,58 @@
+REM file: planAhead_rdn.sh
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+
+REM-----------------------------------------------------------------------------
+REM Script to synthesize and implement the RTL provided for the XADC wizard
+REM-----------------------------------------------------------------------------
+
+del \f results
+mkdir results
+cd results
+
+planAhead -mode batch -source ..\planAhead_rdn.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh
new file mode 100755
index 000000000..f4c14729e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.sh
@@ -0,0 +1,57 @@
+#!/bin/sh
+# file: planAhead_rdn.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+#-----------------------------------------------------------------------------
+# Script to synthesize and implement the RTL provided for the XADC wizard
+#-----------------------------------------------------------------------------
+rm -rf results
+mkdir results
+cd results
+planAhead -mode batch -source ../planAhead_rdn.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl
new file mode 100755
index 000000000..5449fa5fb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/planAhead_rdn.tcl
@@ -0,0 +1,69 @@
+# file : planAhead_rdn.tcl
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+set device xc6slx75csg484-2
+set projName b200_clk_gen
+set design b200_clk_gen
+set projDir [file dirname [info script]]
+create_project $projName $projDir/results/$projName -part $device -force
+set_property design_mode RTL [current_fileset -srcset]
+set top_module b200_clk_gen_exdes
+set_property top b200_clk_gen_exdes [get_property srcset [current_run]]
+add_files -norecurse {../../../b200_clk_gen.v}
+add_files -norecurse {../../example_design/b200_clk_gen_exdes.v}
+import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/b200_clk_gen_exdes.xdc}
+synth_design
+opt_design
+place_design
+route_design
+write_sdf -rename_top_module b200_clk_gen_exdes -file routed.sdf
+write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module b200_clk_gen_exdes -file routed.v
+report_timing -nworst 30 -path_type full -file routed.twr
+report_drc -file report.drc
+write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj
new file mode 100755
index 000000000..51a950151
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.prj
@@ -0,0 +1,2 @@
+verilog work ../../b200_clk_gen.v
+verilog work ../example_design/b200_clk_gen_exdes.v
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr
new file mode 100755
index 000000000..ae0a09163
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/implement/xst.scr
@@ -0,0 +1,9 @@
+run
+-ifmt MIXED
+-top b200_clk_gen_exdes
+-p xc6slx75-csg484-2
+-ifn xst.prj
+-ofn b200_clk_gen_exdes
+-keep_hierarchy soft
+-equivalent_register_removal no
+-max_fanout 65535
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v
new file mode 100755
index 000000000..f7dba4105
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/b200_clk_gen_tb.v
@@ -0,0 +1,146 @@
+// file: b200_clk_gen_tb.v
+//
+// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+//
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+//
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+//
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+//
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+//
+
+//----------------------------------------------------------------------------
+// Clocking wizard demonstration testbench
+//----------------------------------------------------------------------------
+// This demonstration testbench instantiates the example design for the
+// clocking wizard. Input clocks are toggled, which cause the clocking
+// network to lock and the counters to increment.
+//----------------------------------------------------------------------------
+
+`timescale 1ps/1ps
+
+`define wait_lock @(posedge LOCKED)
+
+module b200_clk_gen_tb ();
+
+ // Clock to Q delay of 100ps
+ localparam TCQ = 100;
+
+
+ // timescale is 1ps/1ps
+ localparam ONE_NS = 1000;
+ localparam PHASE_ERR_MARGIN = 100; // 100ps
+ // how many cycles to run
+ localparam COUNT_PHASE = 1024;
+ // we'll be using the period in many locations
+ localparam time PER1 = 25.0*ONE_NS;
+ localparam time PER1_1 = PER1/2;
+ localparam time PER1_2 = PER1 - PER1/2;
+
+ // Declare the input clock signals
+ reg CLK_IN1 = 1;
+ wire CLK_IN1_P = CLK_IN1;
+ wire CLK_IN1_N = ~CLK_IN1;
+
+ // The high bits of the sampling counters
+ wire [3:1] COUNT;
+ // Status and control signals
+ reg RESET = 0;
+ wire LOCKED;
+ reg COUNTER_RESET = 0;
+wire [3:1] CLK_OUT;
+//Freq Check using the M & D values setting and actual Frequency generated
+
+
+ // Input clock generation
+ //------------------------------------
+ always begin
+ CLK_IN1 = #PER1_1 ~CLK_IN1;
+ CLK_IN1 = #PER1_2 ~CLK_IN1;
+ end
+
+ // Test sequence
+ reg [15*8-1:0] test_phase = "";
+ initial begin
+ // Set up any display statements using time to be readable
+ $timeformat(-12, 2, "ps", 10);
+ COUNTER_RESET = 0;
+ test_phase = "reset";
+ RESET = 1;
+ #(PER1*6);
+ RESET = 0;
+ test_phase = "wait lock";
+ `wait_lock;
+ #(PER1*6);
+ COUNTER_RESET = 1;
+ #(PER1*20)
+ COUNTER_RESET = 0;
+
+ test_phase = "counting";
+ #(PER1*COUNT_PHASE);
+
+ $display("SIMULATION PASSED");
+ $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
+ $finish;
+ end
+
+ // Instantiation of the example design containing the clock
+ // network and sampling counters
+ //---------------------------------------------------------
+ b200_clk_gen_exdes
+ #(
+ .TCQ (TCQ)
+ ) dut
+ (// Clock in ports
+ .CLK_IN1_P (CLK_IN1_P),
+ .CLK_IN1_N (CLK_IN1_N),
+ // Reset for logic in example design
+ .COUNTER_RESET (COUNTER_RESET),
+ .CLK_OUT (CLK_OUT),
+ // High bits of the counters
+ .COUNT (COUNT),
+ // Status and control signals
+ .RESET (RESET),
+ .LOCKED (LOCKED));
+
+// Freq Check
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl
new file mode 100755
index 000000000..f22f9e447
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simcmds.tcl
@@ -0,0 +1,8 @@
+# file: simcmds.tcl
+
+# create the simulation script
+vcd dumpfile isim.vcd
+vcd dumpvars -m /b200_clk_gen_tb -l 0
+wave add /
+run 50000ns
+quit
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat
new file mode 100755
index 000000000..227a07e0c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.bat
@@ -0,0 +1,59 @@
+REM file: simulate_isim.bat
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+
+vlogcomp -work work %XILINX%\verilog\src\glbl.v
+vlogcomp -work work ..\..\..\b200_clk_gen.v
+vlogcomp -work work ..\..\example_design\b200_clk_gen_exdes.v
+vlogcomp -work work ..\b200_clk_gen_tb.v
+
+REM compile the project
+fuse work.b200_clk_gen_tb work.glbl -L unisims_ver -o b200_clk_gen_isim.exe
+
+REM run the simulation script
+.\b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh
new file mode 100755
index 000000000..db1b8cc4b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_isim.sh
@@ -0,0 +1,61 @@
+# file: simulate_isim.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# lin64
+# create the project
+vlogcomp -work work ${XILINX}/verilog/src/glbl.v
+vlogcomp -work work ../../../b200_clk_gen.v
+vlogcomp -work work ../../example_design/b200_clk_gen_exdes.v
+vlogcomp -work work ../b200_clk_gen_tb.v
+
+# compile the project
+fuse work.b200_clk_gen_tb work.glbl -L unisims_ver -o b200_clk_gen_isim.exe
+
+# run the simulation script
+./b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat
new file mode 100755
index 000000000..86e433ecb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.bat
@@ -0,0 +1,61 @@
+REM file: simulate_mti.bat
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+
+REM set up the working directory
+vlib work
+
+REM compile all of the files
+vlog -work work %XILINX%\verilog\src\glbl.v
+vlog -work work ..\..\..\b200_clk_gen.v
+vlog -work work ..\..\example_design\b200_clk_gen_exdes.v
+vlog -work work ..\b200_clk_gen_tb.v
+
+REM run the simulation
+vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b200_clk_gen_tb work.glbl
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do
new file mode 100755
index 000000000..fbb4124b9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.do
@@ -0,0 +1,65 @@
+# file: simulate_mti.do
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# set up the working directory
+set work work
+vlib work
+
+# compile all of the files
+vlog -work work $env(XILINX)/verilog/src/glbl.v
+vlog -work work ../../../b200_clk_gen.v
+vlog -work work ../../example_design/b200_clk_gen_exdes.v
+vlog -work work ../b200_clk_gen_tb.v
+
+# run the simulation
+vsim -t ps -voptargs="+acc" -L unisims_ver work.b200_clk_gen_tb work.glbl
+do wave.do
+log b200_clk_gen_tb/dut/counter
+log -r /*
+run 50000ns
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh
new file mode 100755
index 000000000..1d9455134
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_mti.sh
@@ -0,0 +1,61 @@
+#/bin/sh
+# file: simulate_mti.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+# set up the working directory
+set work work
+vlib work
+
+# compile all of the files
+vlog -work work $XILINX/verilog/src/glbl.v
+vlog -work work ../../../b200_clk_gen.v
+vlog -work work ../../example_design/b200_clk_gen_exdes.v
+vlog -work work ../b200_clk_gen_tb.v
+
+# run the simulation
+vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b200_clk_gen_tb work.glbl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh
new file mode 100755
index 000000000..eeb5b9712
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_ncsim.sh
@@ -0,0 +1,62 @@
+#/bin/sh
+# file: simulate_ncsim.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# set up the working directory
+mkdir work
+
+# compile all of the files
+ncvlog -work work ${XILINX}/verilog/src/glbl.v
+ncvlog -work work ../../../b200_clk_gen.v
+ncvlog -work work ../../example_design/b200_clk_gen_exdes.v
+ncvlog -work work ../b200_clk_gen_tb.v
+
+# elaborate and run the simulation
+ncelab -work work -access +wc work.b200_clk_gen_tb work.glbl
+ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.b200_clk_gen_tb
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh
new file mode 100755
index 000000000..66b2cfb09
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/simulate_vcs.sh
@@ -0,0 +1,72 @@
+#!/bin/sh
+# file: simulate_vcs.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# remove old files
+rm -rf simv* csrc DVEfiles AN.DB
+
+# compile all of the files
+# Note that -sverilog is not strictly required- You can
+# remove the -sverilog if you change the type of the
+# localparam for the periods in the testbench file to
+# [63:0] from time
+vlogan -sverilog \
+ ${XILINX}/verilog/src/glbl.v \
+ ../../../b200_clk_gen.v \
+ ../../example_design/b200_clk_gen_exdes.v \
+ ../b200_clk_gen_tb.v
+
+# prepare the simulation
+vcs +vcs+lic+wait -debug b200_clk_gen_tb glbl
+
+# run the simulation
+./simv -ucli -i ucli_commands.key
+
+# launch the viewer
+dve -vpd vcdplus.vpd -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key
new file mode 100755
index 000000000..d35a8a813
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/ucli_commands.key
@@ -0,0 +1,5 @@
+call {$vcdpluson}
+call {$vcdplusmemon(b200_clk_gen_tb.dut.counter)}
+run
+call {$vcdplusclose}
+quit
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl
new file mode 100755
index 000000000..b751d0624
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/vcs_session.tcl
@@ -0,0 +1,18 @@
+gui_open_window Wave
+gui_sg_create b200_clk_gen_group
+gui_list_add_group -id Wave.1 {b200_clk_gen_group}
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.test_phase}
+gui_set_radix -radix {ascii} -signals {b200_clk_gen_tb.test_phase}
+gui_sg_addsignal -group b200_clk_gen_group {{Input_clocks}} -divider
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.CLK_IN1}
+gui_sg_addsignal -group b200_clk_gen_group {{Output_clocks}} -divider
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.dut.clk}
+gui_list_expand -id Wave.1 b200_clk_gen_tb.dut.clk
+gui_sg_addsignal -group b200_clk_gen_group {{Status_control}} -divider
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.RESET}
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.LOCKED}
+gui_sg_addsignal -group b200_clk_gen_group {{Counters}} -divider
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.COUNT}
+gui_sg_addsignal -group b200_clk_gen_group {b200_clk_gen_tb.dut.counter}
+gui_list_expand -id Wave.1 b200_clk_gen_tb.dut.counter
+gui_zoom -window Wave.1 -full
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do
new file mode 100755
index 000000000..f05bb5c2e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.do
@@ -0,0 +1,60 @@
+# file: wave.do
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+add wave -noupdate -format Literal -radix ascii /b200_clk_gen_tb/test_phase
+add wave -noupdate -divider {Input clocks}
+add wave -noupdate -format Logic /b200_clk_gen_tb/CLK_IN1
+add wave -noupdate -divider {Output clocks}
+add wave -noupdate -format Literal -expand /b200_clk_gen_tb/dut/clk
+add wave -noupdate -divider Status/control
+add wave -noupdate -format Logic /b200_clk_gen_tb/RESET
+add wave -noupdate -format Logic /b200_clk_gen_tb/LOCKED
+add wave -noupdate -divider Counters
+add wave -noupdate -format Literal -radix hexadecimal /b200_clk_gen_tb/COUNT
+add wave -noupdate -format Literal -radix hexadecimal -expand /b200_clk_gen_tb/dut/counter
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv
new file mode 100755
index 000000000..4bce3bcba
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/functional/wave.sv
@@ -0,0 +1,119 @@
+# file: wave.sv
+#
+# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+# Get the windows set up
+#
+if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} {
+ window geometry "Design Browser 1" 1054x819+536+322
+}
+window target "Design Browser 1" on
+browser using {Design Browser 1}
+browser set \
+ -scope nc::b200_clk_gen_tb
+browser yview see nc::b200_clk_gen_tb
+browser timecontrol set -lock 0
+
+if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} {
+ window geometry "Waveform 1" 1010x600+0+541
+}
+window target "Waveform 1" on
+waveform using {Waveform 1}
+waveform sidebar visibility partial
+waveform set \
+ -primarycursor TimeA \
+ -signalnames name \
+ -signalwidth 175 \
+ -units ns \
+ -valuewidth 75
+cursor set -using TimeA -time 0
+waveform baseline set -time 0
+waveform xview limits 0 20000n
+
+#
+# Define signal groups
+#
+catch {group new -name {Output clocks} -overlay 0}
+catch {group new -name {Status/control} -overlay 0}
+catch {group new -name {Counters} -overlay 0}
+
+set id [waveform add -signals [list {nc::b200_clk_gen_tb.CLK_IN1}]]
+
+group using {Output clocks}
+group set -overlay 0
+group set -comment {}
+group clear 0 end
+
+group insert \
+ {b200_clk_gen_tb.dut.clk[1]} \
+ {b200_clk_gen_tb.dut.clk[2]} \ {b200_clk_gen_tb.dut.clk[3]}
+group using {Counters}
+group set -overlay 0
+group set -comment {}
+group clear 0 end
+
+group insert \
+ {b200_clk_gen_tb.dut.counter[1]} \
+ {b200_clk_gen_tb.dut.counter[2]} \ {b200_clk_gen_tb.dut.counter[3]}
+group using {Status/control}
+group set -overlay 0
+group set -comment {}
+group clear 0 end
+
+group insert \
+ {nc::b200_clk_gen_tb.RESET} {nc::b200_clk_gen_tb.LOCKED}
+
+
+set id [waveform add -signals [list {nc::b200_clk_gen_tb.COUNT} ]]
+
+set id [waveform add -signals [list {nc::b200_clk_gen_tb.test_phase} ]]
+waveform format $id -radix %a
+
+set groupId [waveform add -groups {{Input clocks}}]
+set groupId [waveform add -groups {{Output clocks}}]
+set groupId [waveform add -groups {{Status/control}}]
+set groupId [waveform add -groups {{Counters}}]
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v
new file mode 100755
index 000000000..4d0d01cb0
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/b200_clk_gen_tb.v
@@ -0,0 +1,160 @@
+// file: b200_clk_gen_tb.v
+//
+// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+//
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+//
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+//
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+//
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+//
+
+//----------------------------------------------------------------------------
+// Clocking wizard demonstration testbench
+//----------------------------------------------------------------------------
+// This demonstration testbench instantiates the example design for the
+// clocking wizard. Input clocks are toggled, which cause the clocking
+// network to lock and the counters to increment.
+//----------------------------------------------------------------------------
+
+`timescale 1ps/1ps
+
+`define wait_lock @(posedge LOCKED)
+
+module b200_clk_gen_tb ();
+
+ // Clock to Q delay of 100ps
+ localparam TCQ = 100;
+
+
+ // timescale is 1ps/1ps
+ localparam ONE_NS = 1000;
+ localparam PHASE_ERR_MARGIN = 100; // 100ps
+ // how many cycles to run
+ localparam COUNT_PHASE = 1024;
+ // we'll be using the period in many locations
+ localparam time PER1 = 25.0*ONE_NS;
+ localparam time PER1_1 = PER1/2;
+ localparam time PER1_2 = PER1 - PER1/2;
+
+ // Declare the input clock signals
+ reg CLK_IN1 = 1;
+ wire CLK_IN1_P = CLK_IN1;
+ wire CLK_IN1_N = ~CLK_IN1;
+
+ // The high bits of the sampling counters
+ wire [3:1] COUNT;
+ // Status and control signals
+ reg RESET = 0;
+ wire LOCKED;
+ reg COUNTER_RESET = 0;
+wire [3:1] CLK_OUT;
+//Freq Check using the M & D values setting and actual Frequency generated
+
+ reg [13:0] timeout_counter = 14'b00000000000000;
+
+ // Input clock generation
+ //------------------------------------
+ always begin
+ CLK_IN1 = #PER1_1 ~CLK_IN1;
+ CLK_IN1 = #PER1_2 ~CLK_IN1;
+ end
+
+ // Test sequence
+ reg [15*8-1:0] test_phase = "";
+ initial begin
+ // Set up any display statements using time to be readable
+ $timeformat(-12, 2, "ps", 10);
+ $display ("Timing checks are not valid");
+ COUNTER_RESET = 0;
+ test_phase = "reset";
+ RESET = 1;
+ #(PER1*6);
+ RESET = 0;
+ test_phase = "wait lock";
+ `wait_lock;
+ #(PER1*6);
+ COUNTER_RESET = 1;
+ #(PER1*19.5)
+ COUNTER_RESET = 0;
+ #(PER1*1)
+ $display ("Timing checks are valid");
+ test_phase = "counting";
+ #(PER1*COUNT_PHASE);
+
+ $display("SIMULATION PASSED");
+ $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
+ $finish;
+ end
+
+
+ always@(posedge CLK_IN1) begin
+ timeout_counter <= timeout_counter + 1'b1;
+ if (timeout_counter == 14'b10000000000000) begin
+ if (LOCKED != 1'b1) begin
+ $display("ERROR : NO LOCK signal");
+ $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
+ $finish;
+ end
+ end
+ end
+
+ // Instantiation of the example design containing the clock
+ // network and sampling counters
+ //---------------------------------------------------------
+ b200_clk_gen_exdes
+ dut
+ (// Clock in ports
+ .CLK_IN1_P (CLK_IN1_P),
+ .CLK_IN1_N (CLK_IN1_N),
+ // Reset for logic in example design
+ .COUNTER_RESET (COUNTER_RESET),
+ .CLK_OUT (CLK_OUT),
+ // High bits of the counters
+ .COUNT (COUNT),
+ // Status and control signals
+ .RESET (RESET),
+ .LOCKED (LOCKED));
+
+
+// Freq Check
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file
new file mode 100755
index 000000000..0e8696ce6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/sdf_cmd_file
@@ -0,0 +1,2 @@
+COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X",
+SCOPE = b200_clk_gen_tb.dut;
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl
new file mode 100755
index 000000000..00e00dd03
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simcmds.tcl
@@ -0,0 +1,9 @@
+# file: simcmds.tcl
+
+# create the simulation script
+vcd dumpfile isim.vcd
+vcd dumpvars -m /b200_clk_gen_tb -l 0
+wave add /
+run 50000ns
+quit
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh
new file mode 100755
index 000000000..edf149164
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_isim.sh
@@ -0,0 +1,62 @@
+# file: simulate_isim.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# create the project
+vlogcomp -work work ${XILINX}/verilog/src/glbl.v
+vlogcomp -work work ../../implement/results/routed.v
+vlogcomp -work work b200_clk_gen_tb.v
+
+# compile the project
+fuse work.b200_clk_gen_tb work.glbl -L secureip -L simprims_ver -o b200_clk_gen_isim.exe
+
+# run the simulation script
+./b200_clk_gen_isim.exe -tclbatch simcmds.tcl -sdfmax /b200_clk_gen_tb/dut=../../implement/results/routed.sdf
+
+# run the simulation script
+#./b200_clk_gen_isim.exe -gui -tclbatch simcmds.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat
new file mode 100755
index 000000000..b759f9529
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.bat
@@ -0,0 +1,59 @@
+REM file: simulate_mti.bat
+REM
+REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+REM
+REM This file contains confidential and proprietary information
+REM of Xilinx, Inc. and is protected under U.S. and
+REM international copyright and other intellectual property
+REM laws.
+REM
+REM DISCLAIMER
+REM This disclaimer is not a license and does not grant any
+REM rights to the materials distributed herewith. Except as
+REM otherwise provided in a valid license issued to you by
+REM Xilinx, and to the maximum extent permitted by applicable
+REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+REM (2) Xilinx shall not be liable (whether in contract or tort,
+REM including negligence, or under any other theory of
+REM liability) for any loss or damage of any kind or nature
+REM related to, arising under or in connection with these
+REM materials, including for any direct, or any indirect,
+REM special, incidental, or consequential loss or damage
+REM (including loss of data, profits, goodwill, or any type of
+REM loss or damage suffered as a result of any action brought
+REM by a third party) even if such damage or loss was
+REM reasonably foreseeable or Xilinx had been advised of the
+REM possibility of the same.
+REM
+REM CRITICAL APPLICATIONS
+REM Xilinx products are not designed or intended to be fail-
+REM safe, or for use in any application requiring fail-safe
+REM performance, such as life-support or safety devices or
+REM systems, Class III medical devices, nuclear facilities,
+REM applications related to the deployment of airbags, or any
+REM other applications that could lead to death, personal
+REM injury, or severe property or environmental damage
+REM (individually and collectively, "Critical
+REM Applications"). Customer assumes the sole risk and
+REM liability of any use of Xilinx products in Critical
+REM Applications, subject only to applicable laws and
+REM regulations governing limitations on product liability.
+REM
+REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+REM PART OF THIS FILE AT ALL TIMES.
+REM
+# set up the working directory
+set work work
+vlib work
+
+REM compile all of the files
+vlog -work work %XILINX%\verilog\src\glbl.v
+vlog -work work ..\..\implement\results\routed.v
+vlog -work work b200_clk_gen_tb.v
+
+REM run the simulation
+vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do
new file mode 100755
index 000000000..cfe22dc41
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.do
@@ -0,0 +1,65 @@
+# file: simulate_mti.do
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# set up the working directory
+set work work
+vlib work
+
+# compile all of the files
+vlog -work work $env(XILINX)/verilog/src/glbl.v
+vlog -work work ../../implement/results/routed.v
+vlog -work work b200_clk_gen_tb.v
+
+# run the simulation
+vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl
+#do wave.do
+#log -r /*
+run 50000ns
+
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh
new file mode 100755
index 000000000..497db4bbd
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_mti.sh
@@ -0,0 +1,61 @@
+#/bin/sh
+# file: simulate_mti.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# set up the working directory
+set work work
+vlib work
+
+# compile all of the files
+vlog -work work $XILINX/verilog/src/glbl.v
+vlog -work work ../../implement/results/routed.v
+vlog -work work b200_clk_gen_tb.v
+
+# run the simulation
+vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b200_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b200_clk_gen_tb work.glbl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh
new file mode 100755
index 000000000..8dd610f57
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_ncsim.sh
@@ -0,0 +1,64 @@
+#!/bin/sh
+# file: simulate_ncsim.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# set up the working directory
+mkdir work
+
+# compile all of the files
+ncvlog -work work ${XILINX}/verilog/src/glbl.v
+ncvlog -work work ../../implement/results/routed.v
+ncvlog -work work b200_clk_gen_tb.v
+
+# elaborate and run the simulation
+ncsdfc ../../implement/results/routed.sdf
+
+ncelab -work work -access +wc -pulse_r 10 -nonotifier work.b200_clk_gen_tb work.glbl -sdf_cmd_file sdf_cmd_file
+ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.b200_clk_gen_tb
+
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh
new file mode 100755
index 000000000..3566700b2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/simulate_vcs.sh
@@ -0,0 +1,72 @@
+#!/bin/sh
+# file: simulate_vcs.sh
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+# remove old files
+rm -rf simv* csrc DVEfiles AN.DB
+
+# compile all of the files
+# Note that -sverilog is not strictly required- You can
+# remove the -sverilog if you change the type of the
+# localparam for the periods in the testbench file to
+# [63:0] from time
+ vlogan -sverilog \
+ b200_clk_gen_tb.v \
+ ../../implement/results/routed.v
+
+
+# prepare the simulation
+vcs -sdf max:b200_clk_gen_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \
+ +libext+.v -debug b200_clk_gen_tb.v ../../implement/results/routed.v
+
+# run the simulation
+./simv -ucli -i ucli_commands.key
+
+# launch the viewer
+#dve -vpd vcdplus.vpd -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key
new file mode 100755
index 000000000..0548d1733
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/ucli_commands.key
@@ -0,0 +1,5 @@
+
+call {$vcdpluson}
+run 50000ns
+call {$vcdplusclose}
+quit
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl
new file mode 100755
index 000000000..1438f6bed
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/vcs_session.tcl
@@ -0,0 +1 @@
+gui_open_window Wave
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do
new file mode 100755
index 000000000..048ce6aa6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen/simulation/timing/wave.do
@@ -0,0 +1,72 @@
+# file: wave.do
+#
+# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate /b200_clk_gen_tb/CLK_IN1
+add wave -noupdate /b200_clk_gen_tb/COUNT
+add wave -noupdate /b200_clk_gen_tb/LOCKED
+add wave -noupdate /b200_clk_gen_tb/RESET
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {3223025 ps} 0}
+configure wave -namecolwidth 238
+configure wave -valuecolwidth 107
+configure wave -justifyvalue left
+configure wave -signalnamewidth 0
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {74848022 ps}
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt b/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt
new file mode 100644
index 000000000..044c06a12
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen_flist.txt
@@ -0,0 +1,53 @@
+# Output products list for <b200_clk_gen>
+b200_clk_gen/clk_wiz_v3_6_readme.txt
+b200_clk_gen/doc/clk_wiz_v3_6_readme.txt
+b200_clk_gen/doc/clk_wiz_v3_6_vinfo.html
+b200_clk_gen/doc/pg065_clk_wiz.pdf
+b200_clk_gen/example_design/b200_clk_gen_exdes.ucf
+b200_clk_gen/example_design/b200_clk_gen_exdes.v
+b200_clk_gen/example_design/b200_clk_gen_exdes.xdc
+b200_clk_gen/implement/implement.bat
+b200_clk_gen/implement/implement.sh
+b200_clk_gen/implement/planAhead_ise.bat
+b200_clk_gen/implement/planAhead_ise.sh
+b200_clk_gen/implement/planAhead_ise.tcl
+b200_clk_gen/implement/planAhead_rdn.bat
+b200_clk_gen/implement/planAhead_rdn.sh
+b200_clk_gen/implement/planAhead_rdn.tcl
+b200_clk_gen/implement/xst.prj
+b200_clk_gen/implement/xst.scr
+b200_clk_gen/simulation/b200_clk_gen_tb.v
+b200_clk_gen/simulation/functional/simcmds.tcl
+b200_clk_gen/simulation/functional/simulate_isim.bat
+b200_clk_gen/simulation/functional/simulate_isim.sh
+b200_clk_gen/simulation/functional/simulate_mti.bat
+b200_clk_gen/simulation/functional/simulate_mti.do
+b200_clk_gen/simulation/functional/simulate_mti.sh
+b200_clk_gen/simulation/functional/simulate_ncsim.sh
+b200_clk_gen/simulation/functional/simulate_vcs.sh
+b200_clk_gen/simulation/functional/ucli_commands.key
+b200_clk_gen/simulation/functional/vcs_session.tcl
+b200_clk_gen/simulation/functional/wave.do
+b200_clk_gen/simulation/functional/wave.sv
+b200_clk_gen/simulation/timing/b200_clk_gen_tb.v
+b200_clk_gen/simulation/timing/sdf_cmd_file
+b200_clk_gen/simulation/timing/simcmds.tcl
+b200_clk_gen/simulation/timing/simulate_isim.sh
+b200_clk_gen/simulation/timing/simulate_mti.bat
+b200_clk_gen/simulation/timing/simulate_mti.do
+b200_clk_gen/simulation/timing/simulate_mti.sh
+b200_clk_gen/simulation/timing/simulate_ncsim.sh
+b200_clk_gen/simulation/timing/simulate_vcs.sh
+b200_clk_gen/simulation/timing/ucli_commands.key
+b200_clk_gen/simulation/timing/vcs_session.tcl
+b200_clk_gen/simulation/timing/wave.do
+b200_clk_gen.asy
+b200_clk_gen.gise
+b200_clk_gen.ucf
+b200_clk_gen.v
+b200_clk_gen.veo
+b200_clk_gen.xco
+b200_clk_gen.xdc
+b200_clk_gen.xise
+b200_clk_gen_flist.txt
+b200_clk_gen_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl b/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl
new file mode 100755
index 000000000..58f510c56
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/b200_clk_gen_xmdf.tcl
@@ -0,0 +1,144 @@
+# The package naming convention is <core_name>_xmdf
+package provide b200_clk_gen_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::b200_clk_gen_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::b200_clk_gen_xmdf::xmdfInit { instance } {
+# Variable containg name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name b200_clk_gen
+}
+# ::b200_clk_gen_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::b200_clk_gen_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be magically
+# available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/clk_wiz_readme.txt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/example_design/b200_clk_gen_exdes.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/doc/clk_wiz_ds709.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/doc/clk_wiz_gsg521.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/example_design/b200_clk_gen_exdes.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/implement.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/implement.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/xst.prj
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/implement/xst.scr
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/b200_clk_gen_tb.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simcmds.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_isim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_ncsim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/simulate_vcs.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/ucli_commands.key
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/vcs_session.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/wave.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen/simulation/functional/wave.sv
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.ejp
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b200_clk_gen_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b200_clk_gen
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.asy b/fpga/usrp3/top/b200/coregen/chipscope_icon.asy
new file mode 100644
index 000000000..a5c9ce410
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.asy
@@ -0,0 +1,13 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 chipscope_icon
+RECTANGLE Normal 32 32 544 864
+LINE Wide 576 112 544 112
+PIN 576 112 RIGHT 36
+PINATTR PinName control0[35:0]
+PINATTR Polarity BOTH
+LINE Wide 576 144 544 144
+PIN 576 144 RIGHT 36
+PINATTR PinName control1[35:0]
+PINATTR Polarity BOTH
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf
new file mode 100644
index 000000000..b83296f8e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.ucf
@@ -0,0 +1,9 @@
+NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
+TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
+#Update Constraints
+NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
+NET "U0/iSHIFT_OUT" TIG ;
+TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
+TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
+TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
+TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc
new file mode 100644
index 000000000..903799425
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.constraints/chipscope_icon.xdc
@@ -0,0 +1,7 @@
+# icon XDC
+create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
+create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
+set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
+set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.gise b/fpga/usrp3/top/b200/coregen/chipscope_icon.gise
new file mode 100644
index 000000000..a4f878755
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_icon.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_icon.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_icon.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ncf b/fpga/usrp3/top/b200/coregen/chipscope_icon.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.ncf
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc b/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc
new file mode 100644
index 000000000..58d57c1e1
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$33;7=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0122?72<:9:;86<>01686377:28<=:5<6;KMKPR=KFD_^BB?<2794;703:<1ECAZT;ALJQTHD86897>11:67?1678813866?0133?=6?99:;==>?11235`=E[JKES^^NRUCM21=E]OM37O[\_HLEK4=D?2IJ\Y[]E39@A44<KAOHGRBFCDLPAZR^XL80OD:4CMI32>EKC82;:6MCK3531>EKC;R37NBD2Y3;40=DDB3;96MCK@34?FJLI8N9;6MCK@3G02=DDBK:H5;4CMIA50=DDBI:96MCKE36?FJLL_i0OAEKV^FJRLBB=2IGGKV7;BNHB]7?8:1H@_74CNONMQRBLk1HCCZ]OM2?4;e<KFD_^BB?<02=g>EHF]XD@=2>1?a8GJHSZFF;0<<1c:ALJQTHD96:?3m4CNLWVJJ748>5o6M@NUPLH5:6=7i0OB@[RNN38409k2IDBY\@L1>23;e<KFD_^BB?<0:=g>EHF]XD@=2>9?`8GJHSZFF;0<0l;BMMPWIK858;2n5LOOVQKI6;:84h7NAATSMO49456j1HCCZ]OM2?668d3JEEX_AC0=07:f=DGG^YCA>324<`?FII\[EG<1<9>b9@KKRUGE:7>:0l;BMMPWIK85832n5LOOVQKI6;:04i7NAATSMO4949k2IDBY\@L1>04;e<KFD_^BB?<23=g>EHF]XD@=2<2?a8GJHSZFF;0>=1c:ALJQTHD96883k4CNLWVJJ74:?1<3m4CNLWVJJ74:?5n6M@NUPLH5:46k1HCCZ]OM2?0;d<KFD_^BB?<4<a?FII\[EG<181b:ALJQTHD96<2o5LOOVQKI6;07h0OB@[RNN38<8e3JEEX_AC1=2=g>EHF]XD@<2>0?a8GJHSZFF:0<?1c:ALJQTHD86:>3m4CNLWVJJ64895o6M@NUPLH4:6<7i0OB@[RNN28439k2IDBY\@L0>22;e<KFD_^BB><05=g>EHF]XD@<2>8?a8GJHSZFF:0<71b:ALJQTHD86:2n5LOOVQKI7;:94h7NAATSMO59466j1HCCZ]OM3?678d3JEEX_AC1=00:f=DGG^YCA?325<`?FII\[EG=1<:>b9@KKRUGE;7>;0l;BMMPWIK958<2n5LOOVQKI7;:14h7NAATSMO594>6k1HCCZ]OM3?6;e<KFD_^BB><22=g>EHF]XD@<2<1?a8GJHSZFF:0><1c:ALJQTHD868?3m4CNLWVJJ64:>5i6M@NUPLH4:4=3:5o6M@NUPLH4:4=7h0OB@[RNN2868e3JEEX_AC1=6=f>EHF]XD@<2:>c9@KKRUGE;7:3l4CNLWVJJ64>4i7NAATSMO59>9j2IDBY\@L0>::42<KFXNSJKAESCWMJHXAGLD;6M]E@VF@4=C:2NB86J]CJ68A166;2LOO95IDBG0?CBB;2LO^95IDSG2?B5<OGN:7D<4I108M44<A;80E><4I508M0?<AGC__YO[E29JJSg<B]KLSNFNIO;8NQG@W]OXX?5CI39OK3=KGJANH:5COFK@EI2<D\^:86BZT368HPR4<2F^X9:4LTV53>KRPJST@85BUYD61>KRPO<97C>;;O3241=I98;?7C?>259M51333G;?:95A1551?K403GO_[B\D6:LLGIOW?2DDAH\HE09L52=HX]CFEWKPPSKNMQOQMZUEKN?4P69S*1?cWE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM01[GRFCUULN2>VTLFDN=6_>;S78V@UB\>1YYCZJSU38W6=TME?0_EGIT038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\T@VVW6=SKB90XIG<;UFL7>RJ[=1_U]K<d:W3+PYNKFD$ERWJS^@PGDH)]VHX#DQ\6/KP]K(NW\YNS^AITCQ@EKYBY9$^SO]94:W3+PYNKFD$ERWJS^@PGDH)]VHX#DQZSD]PKCRE[JKESH_?.H]VW@Y_[]U_OFQXOSIBVJSILVOZ=#[PIBMM[GUDIGUIYKI U^@VBB413\:$YRGLOO-J[\CTWKYHMC Z_CQ,mATDCVFDOLB=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:<P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8;V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6:T$BSCK?.T]WEWAB\;h0Y=!Z_HALJ*SXKDN$KRZNRFGW_45Z&@UEI= Z_UCQC@R5j2_;#XQFCNL,QZEJL&MTXL\HEUY20X(NWGO;"XQ[ASEFP7d<]9%^SDM@N.W\GHB(OV^J^JK[[07^*LYBY9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU9]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P;P F_OG3*PYSI[MNX?o4U1-V[LEHF&_TO@J G^VBVBCSS1W%ER@J0/W\PDT@M]8j7X> U^K@KK)RWJGO#JQ[ASEFP^?Z&@UEI= Z_UCQC@R5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV>R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<>R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<?R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<<R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<=R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<:R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<;R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP>P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q8Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR>V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS<W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\>T$^SA[[2b9V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]0U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^>Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_<[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@RD]J@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOB\J_HF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC_KPIE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q2Q#[PLTV23>S7'\UBOB@ U^AN@*SX[LFTb<l4U1-V[LEHF&_TO@J U^VBVBCSWJO::6[?/T]JGJH(]VIFH"g\EM]m5g=R8&_TENAA/T]@IA)n\HXLIY2>0?3a?P6(]VCHCC!Z_BOG+lRFZNO_0<?11`9V4*SXAJEE#XQLME-jPDT@M]632<o4U1-V[LEHF&_TO@J iUCQC@R;17;j7X> U^K@KK)RWJGO#dZNRFGW[FC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV>R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW=S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP==S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP==S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=<S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=<S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=?S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=?S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=9S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=9S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=8S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=8S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR8V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS;W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\;T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]4U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^2Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_1[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX1X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY6Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ4^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[7_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT7\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU8]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV6R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW5S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP5P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ2Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR:V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS9W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\99W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\99W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\98W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\98W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9;W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9;W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9:W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9:W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9=W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9=W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9<W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^4Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_7[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX7X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY0Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ6^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[5_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT5\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU:]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV8R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW;S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP;P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ<Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR2V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS1W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\1T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]>U'_T@NK>e:W3+PYNKFD$YRM[RM]LQQ)RWJGOK_^?1d9V4*SXAJEE#XQLTSN\KPR(]VIFHJ\_1338Q5)RW@IDB"[PCUPO[JSS'\UOMYOPV@NJ@7><]9%^SDM@N.W\GQTKWF__#dM@MLCM@ZAUXVYN@1>1299V4*SXAJEE#XQLTSN\KPR(aJEFAL@K_FPS[VCK4849<6[?/T]JGJH(]VI_^AQ@UU-j@DRFW_KGEI<>;T2,QZODGG%^S^ZNT.D\WQGSS9W%YR][AU02?P6(]VCHCC!Z_RVBP*@X[]K_W<S!U^QWEQ463\:$YRGLOO-V[VRF\&LT_YO[[3_-QZUSI]8:7X> U^K@KK)RWZ^JX"HPSUCW_6[)]VY_MY?n;T2,QZODGG%^S^ZNT.W\GHB@ZY::h6[?/T]JGJH(]VY_MY!Z_ECWEZPFD@N:m6[?/T]JGJH(]VY_MY!Z_RVBPFKC9j1^<"[PIBMM+PYT\H^$YR][AUAN@Zh592_;#XQFCNL,QZUSI]%^S^ZNT^AMP*EN4849=6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&IB0?0=1:W3+PYNKFD$YR][AU-V[VRF\VIEX"MF<2<15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ818592_;#XQFCNL,QZUSI]%^S^ZNT^AMP*EN4<49<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7<3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#I2>>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=0=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G868582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*B;<78;7X> U^K@KK)RWZ^JX"[PSUCW[FHS'M6>2?m4U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV>R.FlK*PYJ]QIR>:5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW=S!U^DGV@413\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_T@XZ=8:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT0\,V[]IUKP8h7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ:Q#IaH/W\IP^DQ;=0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR;V"XQIDSG12>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWE__>55Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW<S!U^ZLVF_5k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\:T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]5U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR8V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_6[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX7X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV=R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]4U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ6^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[5_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX0X(RWQEYOT<8;T2,QZODGG%^S^ZNT.W\WQGSWJD_#JU:]/W\BATB:?1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S<W%YRBZT3:8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ7^*PY_G[IR>=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0=0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]31?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>1:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W959:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)T4=49<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&Y793?l;T2,QZODGG%^S^ZNT.W\WQGSWACLD<l4U1-V[LEHF&_T_YO[/T]PPDRXDF]::6[?/T]JGJH(]VY_MY!Z_UFL5g=R8&_TENAA/T]PPDR(]V^OCR`jxu3f?P6(]VCHCC!Z_RVBP*oDEMUL^]>PSDN2g>S7'\UBOB@ U^QWEQ)nLH^JS[OCIE3:?P6(]VCHCC!Z_RVBP*oT\H^7<3?6;T2,QZODGG%^S^ZNT.kPPDR;97;27X> U^K@KK)RWZ^JX"g\T@V?6;7>3\:$YRGLOO-V[VRF\&cXXLZ33?3`?P6(]VCHCC!Z_RVBP*oT\H^HAIQLE0g8Q5)RW@IDB"[PSUCW+lUSI]IFHRMJ_o3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ30?3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ31?3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ32?3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ33?3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ34?3g?P6(]VCHCC!Z_RVBP*oT\H^TOCZ35?3a?P6(]VCHCC!Z_RVBP*oT\H^TDDIG1`9V4*SXAJEE#XQ\T@V,mVRF\VFD[<o4U1-V[LEHF&_T_YO[/hVGKZhbp}8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR:V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\9T$BSCK?.T]E@W4e3\:$YRGLOO-V[V_IK&MT_T@L_VMQ@^4Z&@UEI= Z_GFQ6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX7X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ6^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT5\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV8R.H]FU5(RWONY=o5Z0.W\MFII'\UXUCM U^ELPZU^FJ;o7X> U^K@KK)RWZSEO"[PGNV\W\HDWA;o7X> U^K@KK)RWZSEO"[PGNV\W\HDWE;<7X> U^K@KK)RWZSEO"[PSXL@5`=R8&_TENAA/T]P]KE(]VcOMYOPCLF\j4e<]9%^SDM@N.W\W\HD'`NJXLQLME]m5d=R8&_TENAA/T]P]KE(aNE_S^WAC0d8Q5)RW@IDB"[PSXL@+lAH\VYRBNQGIFJ2a>S7'\UBOB@ U^QZJF)nOF^T_T@L_MMT5`=R8&_TENAA/T]P]KE(aZSEORY@RE>3:4c<]9%^SDM@N.W\W\HD'`YRBNQXOSF?5;7b3\:$YRGLOO-V[V_IK&cXUCMPWNPG8786m2_;#XQFCNL,QZU^FJ%b_T@L_VMQ@9599l1^<"[PIBMM+PYTQGI$e^WAC^ULVA:368o0Y=!Z_HALJ*SX[PDH#d]VNB]TKWB;=7;n7X> U^K@KK)RWZSEO"g\YOA\SJTC4?4:?6[?/T]JGJH(]V^OER|jg3d8Q5)RW@IDB"[PTEM\IP^(]VIXS@[W/H6-QZKRP8<$A`{w_N307>S7'\UBOB@ U^VGKZKRP&_TO^QBUY-J0+SXE\R::"Cbuy]L5ZUP8890Y=!Z_HALJ*SX\MET~hi>5:W3+PYNKFD$YRgKAUC\GHB6?2_;#XQFCNL,QZoCI]KTO@JPn008Q5)RW@IDB"[PiRGO[k7>3\:$YRGLOO-jGJKJIGNTK_^30?3:?P6(]VCHCC!fCNONEKBXO[Z7=3?6;T2,QZODGG%bOBCBAOF\W@J;87;j7X> U^K@KK)nKFGFMCJPSDN?5586i2_;#XQFCNL,mFIJEHDOS^KC<03=5d=R8&_TENAA/hALIHGILVYN@1?=>0c8Q5)RW@IDB"gLOLOBJAYTME6:?3?n;T2,QZODGG%bOBCBAOF\W@J;9=4:m6[?/T]JGJH(aJEFAL@K_RGO8439901^<"[PIBMM+lEHEDKEHR]JL=3=5<=R8&_TENAA/hALIHGILVYN@1<1189V4*SXAJEE#dM@MLCM@ZUBD595=45Z0.W\MFII'`IDA@OAD^QFH929901^<"[PIBMM+lEHEDKEHR]JL=7=5<=R8&_TENAA/hALIHGILVYN@181189V4*SXAJEE#dM@MLCM@ZUBD5=5=45Z0.W\MFII'`IDA@OAD^QFH9>9901^<"[PIBMM+lEHEDKEHR]JL=;=50=R8&_TENAA/hALV@YNL5:5=85Z0.W\MFII'`ID^HQFD=3=50=R8&_TENAA/hALV@YNL585=85Z0.W\MFII'`ID^HQFD=1=5<=R8&_TENAA/hALV@YNLVYN@1>11`9V4*SXAJEE#dM@RD]J@ZUBD5;>2<74U1-V[LEHF&cHC_KPIE]PAI:66890Y=!Z_HALJ*oCI]KTO@J>5:W3+PYNKFD$eIO[A^AN@Zhb3\:$YRGLOO-jW@J682_;#XQFCNL,mVCKWgl0Y=!Z_HALJ*oTQGIn7X> U^K@KK)n\MCn7X> U^K@KK)n\ME::6[?/T]JGJH(a]NDS[KL<07=56=R8&_TENAA/hVGKZhbp}n0Y=!fSIKEPZIR\l1^<"gZPECWAZIR\?1^\IO[Eb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG:;YMQG\0<PZ^GEO94XRV\CKB03QY_S[MLb:Z\GJTBW@DMC;5Wdc]J`46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafd87U}{3:[fw6d<WVUS@CCP_^2\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;?Q#[PLTV0g>YXWQFEARQP11]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS8:V"XQCCD1`?ZYXPEDFSRQ>1^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\98W%YRBLE2a8[ZY_DGGTSR?=_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]6:T$^SAMJ3b9\[Z^KFDUTS<=P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^74U'_T@NK<c:]\[]JIEVUT=9QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_42Z&\UGOH=l;^]\\IHJWVU:9RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX50[)]VFHI>m4_^][HKKXWV;=SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY24X(RWEIN?n5P_^ZOJHYXW8=TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ32Y+SXDJO8o6QP_YNMIZYX91UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[00^*PYKKL9h7RQPXMLN[ZY61VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT12_-QZJDM:h0SRQWLOO\[Z7XWV_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV?=]/W\HPR4k2UTSUBAM^]\65YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW<:R.T]OG@5d3VUTTA@B_^]15ZYX]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP=8S!U^N@A6d<WVUS@CCP_^01[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:Q#[PLBG0f>YXWQFEARQP22]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS;W%YRBLE2`8[ZY_DGGTSR<;_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]4U'_T@NK<b:]\[]JIEVUT>8QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_1[)]VFHI>l4_^][HKKXWV8=SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY6Y+SXDJO8n6QP_YNMIZYX:>UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[7_-QZJDM:h0SRQWLOO\[Z4?WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU8]/W\HFC4j2UTSUBAM^]\6<YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW5S!U^N@A6d<WVUS@CCP_^0\[ZS7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR;;Q#[PLTV0f>YXWQFEARQP31]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS0W%YRBLE2`8[ZY_DGGTSR=>_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6U'_T@NK<b:]\[]JIEVUT??QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_7[)]VFHI>l4_^][HKKXWV98SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY0Y+SXDJO8n6QP_YNMIZYX;=UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[5_-QZJDM:h0SRQWLOO\[Z52WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU:]/W\HFC4j2UTSUBAM^]\73YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW;S!U^N@A6d<WVUS@CCP_^14[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ<Q#[PLBG0f>YXWQFEARQP39]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS1W%YRBLE2`8[ZY_DGGTSR=6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]>U'_T@NK<a:]\[]JIEVUT?RQPU1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX4X(RWE__>i5P_^ZOJHYXW=:TSR[?/T]JGJH(]VI_^AQ@UU-V[FKCO[Z;?=5P_^ZOJHYXW=;TSR[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ;2:]\[]JIEVUT8?QP_T2,QZODGG%^SYJ@_LW[+PYD[VG^T"G;.T]NQ]71'Dg~tRA>_RU37d=XWVRGB@QP_5]\[P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS1W%YRBZT2c8[ZY_DGGTSR;P_^W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^0Z&\UGYY=n;^]\\IHJWVU=SRQZ0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY7Y+SXD\^8m6QP_YNMIZYX?VUTY=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT2\,V[ISS;h1TSRVCNL]\[=YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW=S!U^N@A6g<WVUS@CCP_^;\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR:V"XQCCDf8evikVnnobjjr89bw|hdWdsi6mgiqq`kucXajee>k5lhhrpgjvbW`idb!mgiqq`kucXajeeS{?P07]b(KIIM%DDBH=9a:akmuudgyoTenaa,bjjtvehxlUbob`Pv0]32Zg+sjU~hQaev]sewubz4:'oRvlo^njwq;I}dhn~RM`nuplhZVhz}y6>6[|e^G{pZDtkhd6jlb|e:WpawYTkhdTOeofn<WPAW7<Mgki`hQEt`e\Fp``5}x~i6[|e^WmqvccWKyhmc3iamqf?PubWZemxo}lao?eeiub$jUshhxfcddbilj~5zzj~yoa6-a\gjtbW}s{i0?#c^obnjtX~lxxeb`215.`[hoig{U}i}foo?7(fYe}`foSkyirklj86+kVid~hQbakmq[scu58&hSna}e^ojjjtX~lx6>!mPcnpf[hoig{Uj`}fn_wgq9<1+kVgmkRgk=0.`[pubWkm~0>#c^wpaZune4:'oR{|e^qlbqdtkhd6<!mPp`pw[lbdgmoT~hifsugq95*dW|ynSgzng^`vbb;6$jUey`QloovqkiYwg{~x1?"l_tqf[fii|{eg<0?#c^wpaZehf}xd`<3>,b]vw`Ydgg~yca<20-a\qvcXkfd~bb<=1.`[pubWjeexac4<2/gZstmVidby|`l4?3(fYr{lUhccz}om4>4)eX}zoTob`{rnn495*dW|ynSnaatsmo<86+kVxiRm`nuplh<;7$jU~hQloovqki7759&hSx}j_bmmpwik987; nQzsd]`kkruge;91="l_tqf[fii|{eg=>3?,b]vw`Ydgg~yca?;=1.`[pubWlrSo}lao?3(fYr{lU~bx}jd^`pgdh:8%iTy~kPxrv\pfmXfx`mazne?2(fYbphg{`hQkerkdj8`fdzo'oRm`nrvqelhsW}s{i0kwtdpmei*dW|yn~R}lao]`ldoi58&v??5lhhrpgjvbW`idbRx>_14\e)&_aecet-M@RD"Dakcui}ey,<:!469`kphsm{<0o~Qbuy:8gvYj}q;=m6gloo]awfgin2chccQmsbcm[gsaoo1bob`Pclf\``ehll30enaa_bmqaa=nkfdToy|c_nwwf>odggUxxlzzs89jgjhX{pdh>6``109{g3ukp>?&jji;85/0yEFw=:o0LMv=098E>7<6sZ286<j6:c695655i9>1>ko<bzl2`2<63g;o4784$0f5>4b73tY8;7?k9;`7>454:h:?6?hn3b9g65>=83;1=v]73;3g=?d32898>l>;:3db7g=q\j91<7?51;c6V>428n26o:51211e52=:ok8n6*>cg8:`>"e=38:;6l=0983>1>=i00j9vF>ce9'g<<5811Q=k4={04952<z,8n>6?>m;%00>76>3-296?>n;%a1>5=#k80:hl5$5783>!d02<>0bo852198/2d=83.i;796;o`5>76<3"?>6=4+b6860>he>3:07&;<:18'f2<2<2di:7?4;*71>5<#j>0>86`m6;08?.36290/n:4:4:la2?5<3"?;6=4+b6860>he>3>07&:i:18'f2<2<2di:7;4;*6g>5<#j>0>86`m6;48?.2d290/n:4:4:la2?1<3">i6=4+b6860>he>3207&:n:18'f2<2<2di:774;*6:>5<#j>0>86`m6;c8?.2?290/n:4:4:la2?d<3"><6=4+b6860>he>3i07&:9:18'f2<2<2di:7j4;*66>5<#j>0>86`m6;g8?.23290/n:4:4:la2?`<3">96=4+b6860>he>3;;76%;1;29 g1===1en;4>1:9(05<72-h<68:4nc4957=<#:l1<7*m7;77?kd128907&=j:18'f2<2<2di:7?;;:)0`?6=,k=1995ab7821>=,;j0;6)l8:468jg0=9?10'>l50;&a3?333gh=6<94;*1b>5<#j>0>86`m6;3;?>-413:1(o95559mf3<6121 9n4?:%`4>02<fk<1=l54+4`94?"e?3??7cl9:0`8?.3f290/n:4:4:la2?7d32!>57>5$c5911=ij?0:h65$5983>!d02<>0bo851d98/01=83.i;7;;;o`5>4`<3">n6=4+b6860>he>38:76%;3;29 g1===1en;4=2:9(7=<72-h<68:4nc4966=<#>k1<7*m7;5:?kd12910':650;&a3?1>3gh=6<54+6594?"e?3=27cl9:398/20=83.i;796;o`5>6=<#>?1<7*m7;5:?kd12=10'::50;&a3?1>3gh=6854+6094?"e?3=27cl9:798/27=83.i;796;o`5>2=<#>:1<7*m7;5:?kd12110';h50;&a3?1>3gh=6454+7g94?"e?3=27cl9:`98/3b=83.i;796;o`5>g=<#?i1<7*m7;5:?kd12j10';l50;&a3?1>3gh=6i54+7c94?"e?3=27cl9:d98/3?=83.i;796;o`5>c=<#?=1<7*m7;5:?kd128:07&89:18'f2<012di:7?>;:)51?6=,k=1;45ab7826>=,>=0;6)l8:6;8jg0=9:10';=50;&a3?1>3gh=6<:4;*41>5<#j>0<56`m6;36?>-193:1(o95789mf3<6>21 :=4?:%`4>2?<fk<1=:54+4d94?"e?3=27cl9:0:8?.3b290/n:489:la2?7>32!3=7>5$c593<=ij?0:m65$8183>!d02>30bo851c98/2`=83.i;796;o`5>4e<3"=n6=4+b684=>he>3;o76%8d;29 g1=?01en;4>e:9(3f<72-h<6:74nc495c=<#>91<7*m7;5:?kd12;;07&87:18'f2<012di:7<=;:)6`?6=,k=1;45ab7817>=e9m>1<7?50;2x f?=9jo0D<j=;I3``>ie;3:17pl>d283>4<729q/o447e:J2`7=O9jn0c5j50;9~ffd=8381<7>t$b;95`=O9m80D<mk;%`1>7713fh:6=44i9d94?=zjjk1<7l50;2x f?=9m1C=i<4H0ag?k??281e544?;na3>5<<gj<1<75`be83>>id=3:17b?lc;29?jdd2900coh50;9jg2<722e9=7>5;na;>5<<g1<1<75rb0c5>5<5290;w)m6:858L4b53A;hh6*m2;022>o?n3:17bl>:188yg7f?3:1>7>50z&`=??03A;o>6F>ce9'f7<59?1b4k4?::ma5?6=3th:m94?:583>5}#k003m6F>d39K5fb<,k81><84$8495>o583:17d<=:188m=2=831dnl4?::a5d7=83>1<7>t$b;9<d=O9m80D<mk;%`1>7713-3=6<5f2183>>o5:3:17d6;:188kgg=831vn<o::187>5<7s-i26564H0f1?M7dl2.i>7<>6:&:2?7<a;:1<75f3783>>o?<3:17bln:188yg`029096=4?{%a:><1<@8n97E?ld:&a6?46>2c3j7>5;n`2>5<<ukl36=4<:183!e>20l0D<j=;I3``>"e:38::6*66;3;?!d?28lm7d7?:188m<7=831dn<4?::a`6<72<0;6=u+c88;=>N6l;1C=nj4$c09640<,0<1=6g=0;29?l452900e>850;9j<1<722eim7>5;|`g0?6==3:1<v*l9;::?M7c:2B:oi5+b38153=#1?0:7d<?:188m74=831b?;4?::k;0?6=3fhj6=44}cf6>5<2290;w)m6:9;8L4b53A;hh6*m2;022>">>3;0e?>50;9j67<722c8:7>5;h:7>5<<gkk1<75rbe494?3=83:p(n75889K5a4<@8io7)l=:335?!?1281b>=4?::k16?6=3`9=6=44i9694?=hjh0;66smce83>0<729q/o4479:J2`7=O9jn0(o<52048 <0=92c9<7>5;h01>5<<a:<1<75f8583>>iei3:17plle;291?6=8r.h5766;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n;?0;66g74;29?jdf2900qomi:186>5<7s-i26574H0f1?M7dl2.i>7<>6:&:2?7<a;:1<75f2383>>o4>3:17d6;:188kgg=831vni>50;794?6|,j31445G1e08L4ec3-h96??9;%;5>4=n:90;66g=2;29?l512900e5:50;9lfd<722wih<4?:483>5}#k00356F>d39K5fb<,k81><84$8495>o583:17d<=:188m60=831b494?::mae?6=3tho>7>55;294~"d13227E?k2:J2ga=#j;09=;5+9782?l472900e?<50;9j73<722c387>5;n`b>5<<ukno6=4::183!e>2h;0D<j=;I3``>"e:38::6*66;3a?!d?28ni7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}cf`>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;27)l7:0fa?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<ukni6=4::183!e>2h;0D<j=;I3``>"e:38::6*66;31?!d?28o=7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}cfb>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;;7)l7:0g5?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<ukn26=4::183!e>2h;0D<j=;I3``>"e:38::6*66;g8 g>=9o;0e4>50;9j=4<722c2>7>5;h;0>5<<gk;1<75rbe:94?3=83:p(n75a09K5a4<@8io7)l=:335?!?12m1/n54>f09j=5<722c2=7>5;h;1>5<<a091<75`b083>>{em?0;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(485c:&a<?4782c2<7>5;h;2>5<<a081<75f9283>>ie93:17plj5;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<f3-h36?>?;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188ygc3290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:774$c:9657<a0:1<75f9083>>o>:3:17d7<:188kg7=831vnh=50;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>==#j109<<5f9183>>o>93:17d7=:188m<5=831dn<4?::aa7<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1;6*m8;036>o>83:17d7>:188m<4=831b5>4?::ma5?6=3thn=7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9785?!d?2;:97d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}cg3>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3?0(o652118m<6=831b5<4?::k:6?6=3`386=44oc394?=zjml1<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:59'f=<58:1b5=4?::k:5?6=3`396=44i8194?=hj80;66smdd83>0<729q/o44n1:J2`7=O9jn0(o<52048 <0=;2c2<7>5;h;2>5<<a081<75f9283>>ie93:17plk7;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<53`3;6=44i8394?=n1;0;66g63;29?jd62900qokk:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7e3`3;6=44i8394?=n1;0;66g63;29?jd62900qokl:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7>3`3;6=44i8394?=n1;0;66g63;29?jd62900qokm:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?753`3;6=44i8394?=n1;0;66g63;29?jd62900qokn:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?773`3;6=44i8394?=n1;0;66g63;29?jd62900qok6:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?c<a0:1<75f9083>>o>:3:17d7<:188kg7=831vnh650;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>a=n190;66g61;29?l?52900e4=50;9lf4<722wij;4?:483>5}#k00j=6F>d39K5fb<,k81><84$849g>o>83:17d7>:188m<4=831b5>4?::ma5?6=3thm97>55;294~"d13k:7E?k2:J2ga=#j;09=;5+978b?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<ukl?6=4::183!e>2h;0D<j=;I3``>"e:38::6*66;;8m<6=831b5<4?::k:6?6=3`386=44oc394?=zjo91<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:99j=5<722c2=7>5;h;1>5<<a091<75`b083>>{en;0;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(4857:k:4?6=3`3:6=44i8094?=n1:0;66am1;29?xda93:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;49;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg`7290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:7;4i8294?=n180;66g62;29?l?42900co?50;9~f``=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=695f9183>>o>93:17d7=:188m<5=831dn<4?::aa`<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1?6*m8;3eg>o>83:17d7>:188m<4=831b5>4?::ma5?6=3thn;7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9781?!d?28lh7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}cde>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;i7)l7:327?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<uk;;<7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9782f>"e038;86g60;29?l?62900e4<50;9j=6<722ei=7>5;|`24a<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1=o5+b982a<=n190;66g61;29?l?52900e4=50;9lf4<722wi==k50;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>4d<,k21=h74i8294?=n180;66g62;29?l?42900co?50;9~f46a290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:7?m;%`;>4cf3`3;6=44i8394?=n1;0;66g63;29?jd62900qo?>0;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<6j2.i47?ja:k:4?6=3`3:6=44i8094?=n1:0;66am1;29?xd6980;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(4851c9'f=<6mk1b5=4?::k:5?6=3`396=44i8194?=hj80;66sm10094?3=83:p(n75a09K5a4<@8io7)l=:335?!?128h0(o651d`8m<6=831b5<4?::k:6?6=3`386=44oc394?=zj8;86=4::183!e>2h;0D<j=;I3``>"e:38::6*66;3a?!d?28oh7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}c320?6==3:1<v*l9;c2?M7c:2B:oi5+b38153=#1?0:n6*m8;3fg>o>83:17d7>:188m<4=831b5>4?::ma5?6=3th:=84?:483>5}#k00j=6F>d39K5fb<,k81><84$8495g=#j10:ii5f9183>>o>93:17d7=:188m<5=831dn<4?::a540=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=6<l4$c:95`b<a0:1<75f9083>>o>:3:17d7<:188kg7=831vn<?8:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7e3-h36<kj;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg7603:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;4>b:&a<?7bm2c2<7>5;h;2>5<<a081<75f9283>>ie93:17pl>1883>0<729q/o44n1:J2`7=O9jn0(o<52048 <0=9k1/n54>eg9j=5<722c2=7>5;h;1>5<<a091<75`b083>>{e98k1<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:0`8 g>=9ll0e4>50;9j=4<722c2>7>5;h;0>5<<gk;1<75rb03a>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;i7)l7:0d3?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<uk;:o7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9782f>"e03;m<6g60;29?l?62900e4<50;9j=6<722ei=7>5;|`25a<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1=o5+b982b7=n190;66g61;29?l?52900e4=50;9lf4<722wi=<k50;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>4d<,k21=k<4i8294?=n180;66g62;29?l?42900co?50;9~f466290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:7?m;%`;>4bd3`3;6=44i8394?=n1;0;66g63;29?jd62900qo??2;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<6j2.i47?kc:k:4?6=3`3:6=44i8094?=n1:0;66am1;29?xd68:0;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(4851c9'f=<6lm1b5=4?::k:5?6=3`396=44i8194?=hj80;66sm11694?3=83:p(n75a09K5a4<@8io7)l=:335?!?128h0(o651ef8m<6=831b5<4?::k:6?6=3`386=44oc394?=zj8:>6=4::183!e>2h;0D<j=;I3``>"e:38::6*66;3a?!d?28nn7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}c332?6==3:1<v*l9;c2?M7c:2B:oi5+b38153=#1?0:n6*m8;3ga>o>83:17d7>:188m<4=831b5>4?::ma5?6=3th:<:4?:483>5}#k00j=6F>d39K5fb<,k81><84$8495g=#j10:hk5f9183>>o>93:17d7=:188m<5=831dn<4?::a55>=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=6<l4$c:95a`<a0:1<75f9083>>o>:3:17d7<:188kg7=831vn<>6:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7e3-h36<k?;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg77i3:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;4>b:&a<?7b82c2<7>5;h;2>5<<a081<75f9283>>ie93:17pl>0c83>0<729q/o44n1:J2`7=O9jn0(o<52048 <0=9k1/n54>e09j=5<722c2=7>5;h;1>5<<a091<75`b083>>{e99i1<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:0`8 g>=9l;0e4>50;9j=4<722c2>7>5;h;0>5<<gk;1<75rb03e>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;i7)l7:326?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<uk;9<7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9782f>"e038;96g60;29?l?62900e4<50;9j=6<722ei=7>5;|`26a<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1=o5+b982b6=n190;66g61;29?l?52900e4=50;9lf4<722wi=?k50;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>4d<,k21=k=4i8294?=n180;66g62;29?l?42900co?50;9~f44a290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:7?m;%`;>4`33`3;6=44i8394?=n1;0;66g63;29?jd62900qo?<0;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<6j2.i47?i4:k:4?6=3`3:6=44i8094?=n1:0;66am1;29?xd6;80;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(4851c9'f=<6n<1b5=4?::k:5?6=3`396=44i8194?=hj80;66sm12094?3=83:p(n75a09K5a4<@8io7)l=:335?!?128h0(o651g78m<6=831b5<4?::k:6?6=3`386=44oc394?=zj8986=4::183!e>2h;0D<j=;I3``>"e:38::6*66;3a?!d?28l=7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}c300?6==3:1<v*l9;c2?M7c:2B:oi5+b38153=#1?0:n6*m8;3e2>o>83:17d7>:188m<4=831b5>4?::ma5?6=3th:?84?:483>5}#k00j=6F>d39K5fb<,k81><84$8495g=#j10:j:5f9183>>o>93:17d7=:188m<5=831dn<4?::a560=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=6<l4$c:95c1<a0:1<75f9083>>o>:3:17d7<:188kg7=831vn<=8:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7e3-h36<h7;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg7403:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;4>b:&a<?7a02c2<7>5;h;2>5<<a081<75f9283>>ie93:17pl>3883>0<729q/o44n1:J2`7=O9jn0(o<52048 <0=9k1/n54>f89j=5<722c2=7>5;h;1>5<<a091<75`b083>>{e9:k1<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:0`8 g>=9o30e4>50;9j=4<722c2>7>5;h;0>5<<gk;1<75rb01a>5<2290;w)m6:`38L4b53A;hh6*m2;022>">>3;i7)l7:0db?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<uk;8o7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+9782f>"e03;mm6g60;29?l?62900e4<50;9j=6<722ei=7>5;|`27a<72<0;6=u+c88b5>N6l;1C=nj4$c09640<,0<1=o5+b982bg=n190;66g61;29?l?52900e4=50;9lf4<722wi=>k50;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>4d<,k21=kl4i8294?=n180;66g62;29?l?42900co?50;9~f446290>6=4?{%a:>d7<@8n97E?ld:&a6?46>2.2:7?m;%`;>4c53`3;6=44i8394?=n1;0;66g63;29?jd62900qo?=2;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<6j2.i47?j2:k:4?6=3`3:6=44i8094?=n1:0;66am1;29?xd6::0;684?:1y'g<<f92B:h?5G1bf8 g4=:8<0(4851c9'f=<6m:1b5=4?::k:5?6=3`396=44i8194?=hj80;66sm13694?3=83:p(n75a09K5a4<@8io7)l=:335?!?128h0(o651d18m<6=831b5<4?::k:6?6=3`386=44oc394?=zj88>6=4::183!e>2h;0D<j=;I3``>"e:38::6*66;3a?!d?28o?7d7?:188m<7=831b5?4?::k:7?6=3fh:6=44}c312?6==3:1<v*l9;c2?M7c:2B:oi5+b38153=#1?0:n6*m8;3f0>o>83:17d7>:188m<4=831b5>4?::ma5?6=3th:>:4?:483>5}#k00j=6F>d39K5fb<,k81><84$8495g=#j10:i85f9183>>o>93:17d7=:188m<5=831dn<4?::a57>=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=6<l4$c:95`3<a0:1<75f9083>>o>:3:17d7<:188kg7=831vn<<6:186>5<7s-i26l?4H0f1?M7dl2.i>7<>6:&:2?7e3-h36<k8;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg75i3:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;4>b:&a<?7b?2c2<7>5;h;2>5<<a081<75f9283>>ie93:17pl>2c83>0<729q/o44n1:J2`7=O9jn0(o<52048 <0=9k1/n54>e99j=5<722c2=7>5;h;1>5<<a091<75`b083>>{e9;i1<7;50;2x f?=i81C=i<4H0ag?!d52;;=7)79:0`8 g>=9l20e4>50;9j=4<722c2>7>5;h;0>5<<gk;1<75rb063>5<4290;w)m6:8d8L4b53A;hh6*m2;022>">>3;:7)l7:0dg?l?72900e4?50;9lf4<722wi=>h50;194?6|,j315k5G1e08L4ec3-h96??9;%;5>c=#j10:ji5f9183>>o>93:17bl>:188yg7393:1?7>50z&`=??a3A;o>6F>ce9'f7<59?1/5;4>a:&a<?7am2c2<7>5;h;2>5<<gk;1<75rb05;>5<3290;w)m6:`78L4b53A;hh6*m2;022>o>83:17d7>:188mgc=831dn<4?::a520=83>1<7>t$b;9e1=O9m80D<mk;%`1>7713`3;6=44i8394?=njl0;66am1;29?xd6?<0;694?:1y'g<<f<2B:h?5G1bf8 g4=:8<0e4>50;9j=4<722cii7>5;n`2>5<<uk;?97>55;294~"d13k:7E?k2:J2ga=#j;09=;5+978a?l?72900e4?50;9j=7<722c2?7>5;n`2>5<<uk;?:7>55;294~"d13k:7E?k2:J2ga=#j;09=;5+978;3>o>83:17d7>:188m<4=831b5>4?::ma5?6=3th:8:4?:483>5}#k00j=6F>d39K5fb<,k81><84$84956=n190;66g61;29?l?52900e4=50;9lf4<722wi=9650;794?6|,j31m<5G1e08L4ec3-h96??9;%;5>42<a0:1<75f9083>>o>:3:17d7<:188kg7=831vn<:l:181>5<7s-i26494H0f1?M7dl2.i>7<>6:k;b?6=3fh:6=44}c37=?6=;3:1<v*l9;;e?M7c:2B:oi5+b38153=#1?0m7d7?:188m<7=831dn<4?::a51d=83?1<7>t$b;9e4=O9m80D<mk;%`1>7713-3=6<l4i8294?=n180;66g62;29?l?42900co?50;9~f42f29086=4?{%a:><`<@8n97E?ld:&a6?46>2.2:7?n;%`;>4`b3`3;6=44i8394?=hj80;66sm16594?2=83:p(n758`9K5a4<@8io7)l=:335?!?1281b>=4?::k16?6=3`2?6=44occ94?=zj8?i6=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg7203:187>50z&`=?g43A;o>6F>ce9'f7<59?1b>94?::k;1?6=3`hn6=44o8`94?=zj8?m6=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg72k3:187>50z&`=?g43A;o>6F>ce9'f7<59?1b>94?::k;1?6=3`hn6=44o8`94?=zj8<86=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg7183:187>50z&`=?g43A;o>6F>ce9'f7<59?1b>94?::k;1?6=3`hn6=44o8`94?=zj8<<6=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg71<3:187>50z&`=?g43A;o>6F>ce9'f7<59?1b>94?::k;1?6=3`hn6=44o8`94?=zj8<i6=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg7103:187>50z&`=?g43A;o>6F>ce9'f7<59?1b>94?::k;1?6=3`hn6=44o8`94?=zj8<n6=4<:183!e>28n:7E?k2:J2ga=#j;09=;5f2583>>o>i3:17bl>:188yg72i3:1>7>50z&`=??b3A;o>6F>ce9'f7<59?1/5;4>1:k:4?6=3fh:6=44}c36a?6=:3:1<v*l9;;f?M7c:2B:oi5+b38153=#1?0:=6g60;29?jd62900qo?92;296?6=8r.h577j;I3g6>N6km1/n?4=179'=3<692c2<7>5;n`2>5<<uk;=:7>52;294~"d133n7E?k2:J2ga=#j;09=;5+97825>o>83:17bl>:188yg71i3:1>7>50z&`=??b3A;o>6F>ce9'f7<59?1/5;4>1:k:4?6=3fh:6=44}c35`?6=:3:1<v*l9;;f?M7c:2B:oi5+b38153=#1?0:=6g60;29?jd62900qo?:9;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?:d;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?91;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?95;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?99;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?9c;291?6=8r.h576l;I3g6>N6km1/n?4=179'=3<63`8;6=44i3094?=n0=0;66gmb;29?jdf2900qo?63;291?6=8r.h57o>;I3g6>N6km1/n?4=179'=3<d3`3;6=44i8394?=n1;0;66g63;29?jd62900qo?61;297?6=8r.h577i;I3g6>N6km1/n?4=179'=3<6i2c2<7>5;h;2>5<<gk;1<75rb0;6>5<5290;w)m6:858L4b53A;hh6*m2;022>o?n3:17bl>:188yg7>:3:197>50z&`=?g63A;o>6F>ce9'f7<59?1/5;4k;h;3>5<<a0;1<75f9383>>o>;3:17bl>:188yg7><3:197>50z&`=?>d3A;o>6F>ce9'f7<59?1/5;4>;h03>5<<a;81<75f8583>>oej3:17bln:188yg7?i3:187>50z&`=?>e3A;o>6F>ce9'f7<59?1/5;4>;h03>5<<a1>1<75fbc83>>iei3:17pl>8c83>1<729q/o447b:J2`7=O9jn0(o<52048 <0=92c9<7>5;h:7>5<<akh1<75`b`83>>{e91i1<7:50;2x f?=0k1C=i<4H0ag?!d52;;=7)79:09j65<722c387>5;h`a>5<<gkk1<75rb0:g>5<3290;w)m6:9`8L4b53A;hh6*m2;022>">>3;0e?>50;9j<1<722cin7>5;n`b>5<<uk;3i7>54;294~"d132i7E?k2:J2ga=#j;09=;5+9782?l472900e5:50;9jfg<722eim7>5;|`2<c<72=0;6=u+c88;f>N6l;1C=nj4$c09640<,0<1=6g=0;29?l>32900eol50;9lfd<722wi=4>50;694?6|,j314o5G1e08L4ec3-h96??9;%;5>4=n:90;66g74;29?lde2900coo50;9~f4g4290?6=4?{%a:>d6<@8n97E?ld:&:2?723-h36<hi;h;3>5<<a0;1<75f9383>>ie93:17pl>a383>2<729q/o44n2:J2`7=O9jn0(4851b9j=5<722c2=7>5;h;1>5<<a091<75f9583>>o>=3:17bl>:188yv312909wS;9;<3b7??53ty<n7>52z\4f>;6i:02=6s|5483>7}Y=<16==l5b09~w05=838pR8=4=02:>g7<uz?96=4={_71?877?3h:7p}:1;296~X2927:<84m1:p15<72;qU9=521119f4=z{=l1<7<t^5d894662k;0q~:k:181[2c34;:h7l>;|q7g?6=:rT?o63>1c8a5>{t<k0;6?uQ4c9>54?=j81v9o50;0xZ1g<58;<6o?4}r6:>5<5sW>270?>5;`2?xu303:1>vP;8:?256<e92wx8:4?:3y]02=:98;1n<5rs5494?4|V=<01<>i:c38yv222909wS::;<33`?d63ty?87>52z\70>;an3h:7p};2;296~X3:27:<n4m1:p04<72;qU8<5211c9f4=z{=:1<7<t^528946?2k;0q~=i:181[5a34;;:7l>;|q0a?6=:rT8i63>058a5>{t;m0;6?uQ3e9>554=j81v>m50;0xZ6e<58;n6o?4}r1a>5<5sW9i70?>c;`2?xu4i3:1>vP<a:?25d<e92wx?44?:3y]7<=:9821n<5rs4a94?4|V<i01<?9:c38yv3e2909wS;m;<320?d63ty>m7>52z\6e>;69;0i=6s|5883>7}Y=016=<>5b09~w0>=838pR864=02f>g7<uz?<6=4={_74?87783h:7p}8a;296~X0i27:>o4m1:p3=<72;qU;55213;9f4=z{>=1<7<t^65894402k;0q~99:181[1134;997l>;|q41?6=:rT<963>228a5>{t?=0;6?uQ759>577=j81v:<50;0xZ24<589o6o?4}r52>5<5sW=:70?<b;`2?xu083:1>vP80:?27<<e92wx:k4?:3y]2c=:9:=1n<5rs7g94?4|V?o01<=::c38yv0c2909wS8k;<307?d63ty=o7>52z\5g>;6;80i=6s|6c83>7}Y>k16=?h5b09~w3g=838pR;o4=00g>g7<uz<26=4={_4:?876n3h:7p}97;296~X1?27:>n4m1:p23<72;qU:;5213c9f4=z{??1<7<t^778944?2k;0q~8;:181[0334;9:7l>;|q57?6=:rT=?63>258a5>{t>;0;6?uQ639>574=j81v;?50;0xZ37<589n6o?4}r43>5<5sW<;70?<c;`2?xu2n3:1>vP:f:?27d<e92wx9h4?:3y]1`=:9:21n<5rs9394?4|V1;01<=9:c38yv>72909wS6?;<300?d63ty<j7>52z\4b>;6;;0i=6s|7d83>7}Y?l16=>>5b09~w2b=838pR:j4=00f>g7<uz=h6=4={_5`?87583h:7p}n6;29`~;6l=0i?63>a0816>;6i=09>63>76816>;6>j09>63>68816>;6><09>63>60816>;6=m09>63>58816>;6=109863>5c810>;61=0386s|1b`94?4|58k>6?>4=bc95fe<uz;hm7>54z?e<??634;?=77>;<37e??634ij6n>4}ra`>5<5s4ij6584=b`9<c=z{8i96=4={<3b1?>334;j:7l>;|q2g1<72;q6=l;5379>5d1=j81v<m::180873933;70?;a;;3?87><3hj7p}>c683>7}:9h>1nl52c`8`3>{t9j21<7<t=054>gg<58k964;4}r3be?6=>r7o;7l>;<314??634;:j77>;<334??634lm64?4=06a><7<uz;i=7>55z?ga?d634;9i77>;<31`??634;;i77>;<33`??63ty:n?4?:4y>`c<e927:?=461:?26c<>927:==461:?24c<>92wx=o=50;7x9`6=j816=><5909>567=1816=<<5909>547=181v<l;:1868c62k;01<=;:838945420;01<?;:838947420;0q~?m5;291~;b:3h:70?<6;;2?874=33:70?>6;;2?876=33:7p}>b783>0}:m:0i=63>398:5>;6;>02=63>198:5>;69>02=6s|1c594?3|5l>1n<5212c9=4=:9:315<5210c9=4=:98315<5rs0`;>5<2s4o>6o?4=01`><7<589i64?4=03`><7<58;i64?4}r3a=?6==r7n:7l>;<30a??634;8h77>;<32a??634;:h77>;|q2eg<72<q6h54m1:?267<>927:><461:?247<>927:<<461:p5de=83?p1i75b09>572=1816=?=5909>552=1816===5909~w4gc290>w0jn:c38944120;01<<::838946120;01<>::838yv7fm3:19v3kb;`2?875033:70?=7;;2?877033:70??7;;2?xu6io0;68u2db8a5>;6:h02=63>288:5>;68h02=63>088:5>{t9k:1<7;t=ef9f4=:9;i15<5213`9=4=:99i15<5211`9=4=z{8hn6=4=1z?f3?d634;;o77=;<33f??534;;m77=;<33=??534;;477=;<333??534;;:77=;<331??534;;877=;<337??534;;>77=;<335??534;:i77=;<32`??534;:o77=;<32f??534;:m77=;<32=??534;:477=;<323??534;::77=;<321??534;:877=;<327??534;:>77=;<325??534;:<77=;<33b??534;;i77=;<33`??534;;<77=;<de><4<uz;h<7>520y>a`<e927:>n462:?26g<>:27:>l462:?26<<>:27:>5462:?262<>:27:>;462:?260<>:27:>9462:?266<>:27:>?462:?264<>:27:?h462:?27a<>:27:?n462:?27g<>:27:?l462:?27<<>:27:?5462:?272<>:27:?;462:?270<>:27:?9462:?276<>:27:??462:?274<>:27:?=462:?26c<>:27:>h462:?26a<>:27:>=462:?25c<>:2wx=oh50;0x9`b=j816=9l5939~w4df290:8v3lf;`b?8eb21>01h95919>a`<>827nj77?;<d3><6<5o;15=52f38:4>;a;33;70h;:8289c3=1916j;460:?f<??734o264>4=dc9=5=:mk02<63jc;;3?8cc20:01<o<:82894g520>0q~?mb;2956}:l90im63lf;:7?8c020;01hk5909>ac<>927m<77>;<d2><7<5o815<52f28:5>;a<33:70h::8389c0=1816i5461:?f=??634oj64?4=d`9=4=:mj02=63jd;;2?87f:3397p}>bb83>45|5m;1nl52d18;0>;b?33970kj:8089``=1;16j=462:?e5??534l964<4=g19=7=:n=02>63i5;;1?8`120801h65939>a<<>:27nm77=;<ga><4<5li15?52ee8:6>;6i;02=6s|1cf94?74s4n96oo4=e39<1=:m>02?63je;;0?8ca20901k>5929>b4<>;27m>77<;<d0><5<5o>15>52f48:7>;a>33870k7:8189`?=1:16il463:?ff??434oh64=4=df9=6=:9h815=5rs0c;>5<3s4n86oo4=01e><6<58>;64>4=06:><6<uz;j57>55z?g0?df34n865:4=01e><7<58>;64?4=06:><7<uz;h=7>54z?2e0<ei27:m;47f:?e<??734;2976i;|q2g<<72;q6=l:5859>5d4=j81v<m<:18087f?32m70mn:cd89c1=0o1v<m9:18187f932?70mn:b48yv`>290iw0j=:2489a7=;?16h=4<6:?`b?5134in6>84=bf973=:l?08:63k5;15?8b32:<01i=5379>b2<e92wxjh4?:cy>`7<5:27o=7<=;<f3>74<5jl1>?52cd816>;dl38970j9:3089a3=:;16h94=2:?g7?4534l36o?4}rd`>5<6:r7o97ln;<f7>=2<5m=15=52dd8:4>;cn33;70k?:8289`7=1916i?460:?f7??734o?64>4=d79=5=:m?02<63k8;;3?8b>20:01io5919>`g<>827oo77?;<fg><6<uzlo6=4>2z?g2?df34n>65:4=e59=4=:ll02=63kf;;2?8c720;01h?5909>a7<>927n?77>;<g7><7<5l?15<52e78:5>;c033:70j6:8389ag=1816ho461:?gg??634no64?4}rdb>5<6:r7hh7ln;<f5>=2<5m=15?52dd8:6>;cn33970k?:8089`7=1;16i?462:?f7??534o?64<4=d79=7=:m?02>63k8;;1?8b>20801io5939>`g<>:27oo77=;<fg><4<uzli6=4>2z?`a?df34io65:4=e59=6=:ll02?63kf;;0?8c720901h?5929>a7<>;27n?77<;<g7><5<5l?15>52e78:7>;c033870j6:8189ag=1:16ho463:?gg??434no64=4}r377?6=:8q6=9>5b09>57d=1:16=?75929>571=1:16=?;5929>575=1:16=??5929>56b=1:16=>l5929>56?=1:16=>95929>563=1:16=>=5929>567=1:16=?h5929>57b=1:16=<h5929>55d=1:16==75929>551=1:16==;5929>555=1:16==?5929>54b=1:16=<l5929>54?=1:16=<95929>543=1:16=<=5929>547=1:16==h5929>55b=1:16jk463:p514=838:w0?<f;`2?875k33870?=a;;0?875033870?=6;;0?875<33870?=2;;0?874m33870?<c;;0?874i33870?<8;;0?874>33870?<4;;0?874:33870?<0;;0?875m33870?=0;;0?877k33870??a;;0?877033870??6;;0?877<33870??2;;0?876m33870?>c;;0?876i33870?>8;;0?876>33870?>4;;0?876:33870?>0;;0?877m33870??0;;0?xu6<=0;69?t=062>g7<588h64>4=00a><6<588j64>4=00:><6<588364>4=004><6<588=64>4=006><6<588?64>4=000><6<588964>4=002><6<589n64>4=01g><6<589h64>4=01a><6<589j64>4=01:><6<589364>4=014><6<589=64>4=016><6<589?64>4=010><6<589964>4=012><6<589;64>4=00e><6<588n64>4=00g><6<588;64>4=03e><6<58:h64>4=02a><6<58:j64>4=02:><6<58:364>4=024><6<58:=64>4=026><6<58:?64>4=020><6<58:964>4=022><6<58;n64>4=03g><6<58;h64>4=03a><6<58;j64>4=03:><6<58;364>4=034><6<58;=64>4=036><6<58;?64>4=030><6<58;964>4=032><6<58;;64>4=02e><6<58:n64>4=02g><6<58:;64>4=gd9=5=z{8226=4={<343?>334;<47l>;|q2<=<72;q6=:85b09>52>=191v<68:181870=3h:70?88;;2?xu6?k0;6?u21579f4=:9><15=5rs05`>5<5s4;?:7l>;<342??63ty:;i4?:3y>511=j816=:;5919~w41b2909w0?;8;`2?870=33:7p}>8183>2}:9=i1n<5217a9fg=:9?31no521779fg=:9?;1no5214f9fg=:9<31no5rs05:>5<5s4;?n77<;<37=?d63ty:;k4?:3y>51d=j816=9m58g9~w41f2909w0?;a;`2?873j33;7p}>8783>6}:9>21nh5217a9fd=:9?n15=5rs0:6>5<3s4;<97lj;<342?db34;=57ln;<35e??73ty:494?:7y>51>=1:16=995929>510=1:16=9;5929>533=jh16=;85919~w4>4290=w0?;8;;1?873?33970?;6;;1?873=33970?91;`b?871:33;7p}>8383>3}:9=215<521559=4=:9=<15<521579=4=:9<n1nl5214g9=5=z{82:6=49{<37<??734;?;77?;<372??734;?977?;<36=?df34;>m77?;|q217<72;q6=875859>50d=j81v<:k:180872k38?70?:f;07?872033i7p}>5283>7}:9<n1495214d9f4=z{8>n6=4<{<354?4334;=?7<;;<36g??e3ty:994?:3y>537=0=16=;=5b09~w42a2908w0?94;07?871?38?70?90;;a?xu6=<0;6?u21779<1=:9?=1n<5rs073>5<4s4;=47<;;<35f?4334;=877m;|q213<72;q6=;75859>53d=j81v<;>:181871m38?70?98;;a?xu6=>0;6?u217a9<1=:9?o1n<5rs04e>5<4s4;>m7l>;<36<?db34;>n77n;|q235<72:q6=8k5b09>50e=jl16=8h59`9~w4162908w0?92;`2?87183hn70?93;;b?xu6?;0;6>u21749f4=:9?>1nh521759=d=z{8=86=4<{<35e?d634;=47lj;<35f??f3ty:;94?:3y>53b=j816=;k59`9~w4?>2909w0?61;;3?87>;3h:7p}>9683>7}:90>1>?521839f4=z{83=6=46{<3:4?de34;3j7lm;<3;a?de34;3h7lm;<3;g?de34;3n7lm;<3;e?de34;287lm;<3:1?d63ty:5l4?:3y>5=g=jh16=4=5919~w4?e2908w0?7b;`b?87?i32?70?63;;2?xu61j0;6>u219a9fd=:91h149521819=7=z{83o6=4<{<3;`?df34;3o76;;<3:7??43ty:5h4?:2y>5=c=jh16=5j5859>5<4=191v<7i:18087?n3hj70?7e;:7?87>:33:7p}>9983>7}:9081n<521839=4=z{8k;6=4<{<3:4?df34;3j76;;<3:6??53tyjh7>52z?2e6<e927:m?463:pe2<729qvl650;2xyvg>290;wp}na;29<~X3m27::5475:?221<?=27::=475:?21f<?=27:95475:?2`6<?l2T<?6s|ac83>3}Y<:16h?474:?2=5<?<27:5?463:\5<>;6i80im6s|ab83>4c|V:201<o>:32894g32;:01i<5219>`4<5827o<7<?;<ae>76<5jo1>=52ce814>;c>38;70j::3289a2=:916h>4=0:?232<5827::n4=0:?22<<5827::84=0:?224<5827:9i4=0:?21<<5827:5=4=0:?2<c<5827:4h4=0:?2<a<5827:4n4=0:?2<g<5827:4l4=0:?2=1<582T>h63lb;`2?x{i;o>1<7?tH0ag?xh4n<0;6<uG1bf8yk5a>3:1=vF>ce9~j6`0290:wE?ld:m7c>=83;pD<mk;|l0b<<728qC=nj4}o1ee?6=9rB:oi5rn2da>5<6sA;hh6sa3ga94?7|@8io7p`<fe83>4}O9jn0qc=ie;295~N6km1vb>hi:182M7dl2we8=>50;3xL4ec3td?<<4?:0yK5fb<ug>;>7>51zJ2ga=zf=:86=4>{I3``>{i<9>1<7?tH0ag?xh38<0;6<uG1bf8yk27>3:1=vF>ce9~j160290:wE?ld:m05>=83;pD<mk;|l74<<728qC=nj4}o63e?6=9rB:oi5rn52a>5<6sA;hh6sa41a94?7|@8io7p`;0e83>4}O9jn0qc:?e;295~N6km1vb9>i:182M7dl2we8<>50;3xL4ec3td?=<4?:0yK5fb<ug>:>7>51zJ2ga=zf=;86=4>{I3``>{i<8>1<7?tH0ag?xh39<0;6<uG1bf8yk26>3:1=vF>ce9~j170290:wE?ld:m04>=83;pD<mk;|l75<<728qC=nj4}o62e?6=9rB:oi5rn53a>5<6sA;hh6sa40a94?7|@8io7p`;1e83>4}O9jn0qc:>e;295~N6km1vb9?i:182M7dl2we8?>50;3xL4ec3td?><4?:0yK5fb<ug>9>7>51zJ2ga=zf=886=4>{I3``>{i<;>1<7?tH0ag?xh3:<0;6<uG1bf8yk25>3:1=vF>ce9~j140290:wE?ld:m07>=83;pD<mk;|l76<<728qC=nj4}o61e?6=9rB:oi5rn50a>5<6sA;hh6sa43a94?7|@8io7p`;2e83>4}O9jn0qc:=e;295~N6km1vb9<i:182M7dl2we8>>50;3xL4ec3td??<4?:0yK5fb<ug>8>7>51zJ2ga=zf=986=4>{I3``>{i<:>1<7?tH0ag?xh3;<0;6<uG1bf8yk24>3:1=vF>ce9~j150290:wEl?;Ice?M7dl2we8>650;3xLg6<@hl0D<mk;|l77<<728qC=nj4}o60e?6=9rB:oi5rn51a>5<6sA;hh6sa42a94?7|@8io7p`;3e83>4}O9jn0qc:<e;295~N6km1vb9=i:182M7dl2we89>50;3xL4ec3td?8<4?:0yK5fb<ug>?>7>51zJ2ga=zf=>86=4>{I3``>{i<=>1<7?tH0ag?xh3<<0;6<uG1bf8yk23>3:1=vF>ce9~j120290:wE?ld:m01>=83;pD<mk;|l70<<728qC=nj4}o67e?6=9rB:oi5rn56a>5<6sA;hh6sa45a94?7|@8io7p`;4e83>4}O9jn0qc:;e;295~N6km1vb9:i:182M7dl2we88>50;3xL4ec3td?9<4?:0yK5fb<ug>>>7>51zJ2ga=zf=?86=4>{I3``>{i<<>1<7?tH0ag?xh3=<0;6<uG1bf8yk22>3:1=vF>ce9~j130290:wE?ld:m00>=83;pD<mk;|l71<<728qC=nj4}o66e?6=9rB:oi5rn57a>5<6sA;hh6sa44a94?7|@8io7p`;5e83>4}O9jn0qc::e;295~N6km1vb9;i:182M7dl2we8;>50;3xL4ec3td?:<4?:0yK5fb<ug>=>7>51zJ2ga=zf=<86=4>{I3``>{i<?>1<7?tH0ag?xh3><0;6<uG1bf8yk21>3:1=vF>ce9~j100290:wE?ld:m03>=83;pD<mk;|l72<<728qC=nj4}o65e?6=9rB:oi5rn54a>5<6sA;hh6sa47a94?7|@8io7p`;6e83>4}O9jn0qc:9e;295~N6km1vb98i:182M7dl2we8:>50;3xL4ec3td?;<4?:0yK5fb<ug><>7>51zJ2ga=zf==86=4>{I3``>{i<>>1<7?tH0ag?xh3?<0;6<uG1bf8yk20>3:1=vF>ce9~j110290:wE?ld:m02>=83;pD<mk;|l73<<728qC=nj4}o64e?6=9rB:oi5rn55a>5<6sA;hh6sa46a94?7|@8io7p`;7e83>4}O9jn0qc:8e;295~N6km1vb99i:182M7dl2we85>50;3xL4ec3td?4<4?:0yK5fb<ug>3>7>51zJ2ga=zf=286=4>{I3``>{i<1>1<7?tH0ag?xh30<0;6<uG1bf8yk2?>3:1=vF>ce9~j1>0290:wE?ld:m0=>=83;pD<mk;|l7<<<728qC=nj4}o6;e?6=9rB:oi5rn5:a>5<6sA;hh6sa49a94?7|@8io7p`;8e83>4}O9jn0qc:7e;295~N6km1vb96i:182M7dl2we84>50;3xL4ec3td?5<4?:0yK5fb<ug>2>7>51zJ2ga=zf=386=4>{I3``>{i<0>1<7?tH0ag?xh31<0;6<uG1bf8yk2>>3:1=vF>ce9~j1?0290:wE?ld:m0<>=83;pDo>4H`d8L4ec3twvqMNL{41f>=eb9o>n:pNOBz2~DEV|uIJ \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf b/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf
new file mode 100644
index 000000000..b83296f8e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.ucf
@@ -0,0 +1,9 @@
+NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
+TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
+#Update Constraints
+NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
+NET "U0/iSHIFT_OUT" TIG ;
+TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
+TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
+TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
+TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.v b/fpga/usrp3/top/b200/coregen/chipscope_icon.v
new file mode 100644
index 000000000..2fa3203dd
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.v
@@ -0,0 +1,29 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_icon.v
+// /___/ /\ Timestamp : Fri Mar 08 11:51:37 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module chipscope_icon(
+ CONTROL0,
+ CONTROL1) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL0;
+inout [35 : 0] CONTROL1;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.veo b/fpga/usrp3/top/b200/coregen/chipscope_icon.veo
new file mode 100644
index 000000000..777580b34
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.veo
@@ -0,0 +1,29 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_icon.veo
+// /___/ /\ Timestamp : Fri Mar 08 11:51:37 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+chipscope_icon YourInstanceName (
+ .CONTROL0(CONTROL0), // INOUT BUS [35:0]
+ .CONTROL1(CONTROL1) // INOUT BUS [35:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xco b/fpga/usrp3/top/b200/coregen/chipscope_icon.xco
new file mode 100644
index 000000000..69906ce4d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xco
@@ -0,0 +1,56 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Mar 8 19:51:09 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
+# END Select
+# BEGIN Parameters
+CSET component_name=chipscope_icon
+CSET constraint_type=external
+CSET enable_jtag_bufg=true
+CSET example_design=false
+CSET number_control_ports=2
+CSET use_ext_bscan=false
+CSET use_softbscan=false
+CSET use_unused_bscan=false
+CSET user_scan_chain=USER1
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:25Z
+# END Extra information
+GENERATE
+# CRC: 865957ec
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc b/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc
new file mode 100644
index 000000000..903799425
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xdc
@@ -0,0 +1,7 @@
+# icon XDC
+create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
+create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
+set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
+set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
+set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon.xise b/fpga/usrp3/top/b200/coregen/chipscope_icon.xise
new file mode 100644
index 000000000..49d561436
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="chipscope_icon.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="chipscope_icon.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_icon.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_icon" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-03-08T11:51:40" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="BD46AAD29AD5653980780005171DAA9E" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt
new file mode 100644
index 000000000..435dc80e2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_flist.txt
@@ -0,0 +1,16 @@
+# Output products list for <chipscope_icon>
+_xmsgs/pn_parser.xmsgs
+chipscope_icon.asy
+chipscope_icon.constraints/chipscope_icon.ucf
+chipscope_icon.constraints/chipscope_icon.xdc
+chipscope_icon.gise
+chipscope_icon.ngc
+chipscope_icon.ucf
+chipscope_icon.v
+chipscope_icon.veo
+chipscope_icon.xco
+chipscope_icon.xdc
+chipscope_icon.xise
+chipscope_icon_flist.txt
+chipscope_icon_readme.txt
+chipscope_icon_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt
new file mode 100644
index 000000000..ac93ce5a1
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_readme.txt
@@ -0,0 +1,46 @@
+The following files were generated for 'chipscope_icon' in directory
+/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * chipscope_icon.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * chipscope_icon.constraints/chipscope_icon.ucf
+ * chipscope_icon.constraints/chipscope_icon.xdc
+ * chipscope_icon.ngc
+ * chipscope_icon.ucf
+ * chipscope_icon.v
+ * chipscope_icon.veo
+ * chipscope_icon.xdc
+ * chipscope_icon_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * chipscope_icon.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * _xmsgs/pn_parser.xmsgs
+ * chipscope_icon.gise
+ * chipscope_icon.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * chipscope_icon_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * chipscope_icon_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl
new file mode 100755
index 000000000..241f4d5ae
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_icon_xmdf.tcl
@@ -0,0 +1,88 @@
+# The package naming convention is <core_name>_xmdf
+package provide chipscope_icon_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::chipscope_icon_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::chipscope_icon_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon
+}
+# ::chipscope_icon_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy
new file mode 100644
index 000000000..5342fbe4f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.asy
@@ -0,0 +1,17 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 chipscope_ila_128
+RECTANGLE Normal 32 32 288 704
+LINE Wide 0 80 32 80
+PIN 0 80 LEFT 36
+PINATTR PinName control[35:0]
+PINATTR Polarity IN
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName clk
+PINATTR Polarity IN
+LINE Wide 0 176 32 176
+PIN 0 176 LEFT 36
+PINATTR PinName trig0[127:0]
+PINATTR Polarity IN
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc
new file mode 100644
index 000000000..be4f8951b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.cdc
@@ -0,0 +1,144 @@
+#ChipScope Core Generator Project File Version 3.0
+#Fri Mar 08 11:53:53 PST 2013
+SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127
+SignalExport.bus<0000>.name=TRIG0
+SignalExport.bus<0000>.offset=0.0
+SignalExport.bus<0000>.precision=0
+SignalExport.bus<0000>.radix=Bin
+SignalExport.bus<0000>.scaleFactor=1.0
+SignalExport.clockChannel=CLK
+SignalExport.dataEqualsTrigger=true
+SignalExport.triggerChannel<0000><0000>=TRIG0[0]
+SignalExport.triggerChannel<0000><0001>=TRIG0[1]
+SignalExport.triggerChannel<0000><0002>=TRIG0[2]
+SignalExport.triggerChannel<0000><0003>=TRIG0[3]
+SignalExport.triggerChannel<0000><0004>=TRIG0[4]
+SignalExport.triggerChannel<0000><0005>=TRIG0[5]
+SignalExport.triggerChannel<0000><0006>=TRIG0[6]
+SignalExport.triggerChannel<0000><0007>=TRIG0[7]
+SignalExport.triggerChannel<0000><0008>=TRIG0[8]
+SignalExport.triggerChannel<0000><0009>=TRIG0[9]
+SignalExport.triggerChannel<0000><0010>=TRIG0[10]
+SignalExport.triggerChannel<0000><0011>=TRIG0[11]
+SignalExport.triggerChannel<0000><0012>=TRIG0[12]
+SignalExport.triggerChannel<0000><0013>=TRIG0[13]
+SignalExport.triggerChannel<0000><0014>=TRIG0[14]
+SignalExport.triggerChannel<0000><0015>=TRIG0[15]
+SignalExport.triggerChannel<0000><0016>=TRIG0[16]
+SignalExport.triggerChannel<0000><0017>=TRIG0[17]
+SignalExport.triggerChannel<0000><0018>=TRIG0[18]
+SignalExport.triggerChannel<0000><0019>=TRIG0[19]
+SignalExport.triggerChannel<0000><0020>=TRIG0[20]
+SignalExport.triggerChannel<0000><0021>=TRIG0[21]
+SignalExport.triggerChannel<0000><0022>=TRIG0[22]
+SignalExport.triggerChannel<0000><0023>=TRIG0[23]
+SignalExport.triggerChannel<0000><0024>=TRIG0[24]
+SignalExport.triggerChannel<0000><0025>=TRIG0[25]
+SignalExport.triggerChannel<0000><0026>=TRIG0[26]
+SignalExport.triggerChannel<0000><0027>=TRIG0[27]
+SignalExport.triggerChannel<0000><0028>=TRIG0[28]
+SignalExport.triggerChannel<0000><0029>=TRIG0[29]
+SignalExport.triggerChannel<0000><0030>=TRIG0[30]
+SignalExport.triggerChannel<0000><0031>=TRIG0[31]
+SignalExport.triggerChannel<0000><0032>=TRIG0[32]
+SignalExport.triggerChannel<0000><0033>=TRIG0[33]
+SignalExport.triggerChannel<0000><0034>=TRIG0[34]
+SignalExport.triggerChannel<0000><0035>=TRIG0[35]
+SignalExport.triggerChannel<0000><0036>=TRIG0[36]
+SignalExport.triggerChannel<0000><0037>=TRIG0[37]
+SignalExport.triggerChannel<0000><0038>=TRIG0[38]
+SignalExport.triggerChannel<0000><0039>=TRIG0[39]
+SignalExport.triggerChannel<0000><0040>=TRIG0[40]
+SignalExport.triggerChannel<0000><0041>=TRIG0[41]
+SignalExport.triggerChannel<0000><0042>=TRIG0[42]
+SignalExport.triggerChannel<0000><0043>=TRIG0[43]
+SignalExport.triggerChannel<0000><0044>=TRIG0[44]
+SignalExport.triggerChannel<0000><0045>=TRIG0[45]
+SignalExport.triggerChannel<0000><0046>=TRIG0[46]
+SignalExport.triggerChannel<0000><0047>=TRIG0[47]
+SignalExport.triggerChannel<0000><0048>=TRIG0[48]
+SignalExport.triggerChannel<0000><0049>=TRIG0[49]
+SignalExport.triggerChannel<0000><0050>=TRIG0[50]
+SignalExport.triggerChannel<0000><0051>=TRIG0[51]
+SignalExport.triggerChannel<0000><0052>=TRIG0[52]
+SignalExport.triggerChannel<0000><0053>=TRIG0[53]
+SignalExport.triggerChannel<0000><0054>=TRIG0[54]
+SignalExport.triggerChannel<0000><0055>=TRIG0[55]
+SignalExport.triggerChannel<0000><0056>=TRIG0[56]
+SignalExport.triggerChannel<0000><0057>=TRIG0[57]
+SignalExport.triggerChannel<0000><0058>=TRIG0[58]
+SignalExport.triggerChannel<0000><0059>=TRIG0[59]
+SignalExport.triggerChannel<0000><0060>=TRIG0[60]
+SignalExport.triggerChannel<0000><0061>=TRIG0[61]
+SignalExport.triggerChannel<0000><0062>=TRIG0[62]
+SignalExport.triggerChannel<0000><0063>=TRIG0[63]
+SignalExport.triggerChannel<0000><0064>=TRIG0[64]
+SignalExport.triggerChannel<0000><0065>=TRIG0[65]
+SignalExport.triggerChannel<0000><0066>=TRIG0[66]
+SignalExport.triggerChannel<0000><0067>=TRIG0[67]
+SignalExport.triggerChannel<0000><0068>=TRIG0[68]
+SignalExport.triggerChannel<0000><0069>=TRIG0[69]
+SignalExport.triggerChannel<0000><0070>=TRIG0[70]
+SignalExport.triggerChannel<0000><0071>=TRIG0[71]
+SignalExport.triggerChannel<0000><0072>=TRIG0[72]
+SignalExport.triggerChannel<0000><0073>=TRIG0[73]
+SignalExport.triggerChannel<0000><0074>=TRIG0[74]
+SignalExport.triggerChannel<0000><0075>=TRIG0[75]
+SignalExport.triggerChannel<0000><0076>=TRIG0[76]
+SignalExport.triggerChannel<0000><0077>=TRIG0[77]
+SignalExport.triggerChannel<0000><0078>=TRIG0[78]
+SignalExport.triggerChannel<0000><0079>=TRIG0[79]
+SignalExport.triggerChannel<0000><0080>=TRIG0[80]
+SignalExport.triggerChannel<0000><0081>=TRIG0[81]
+SignalExport.triggerChannel<0000><0082>=TRIG0[82]
+SignalExport.triggerChannel<0000><0083>=TRIG0[83]
+SignalExport.triggerChannel<0000><0084>=TRIG0[84]
+SignalExport.triggerChannel<0000><0085>=TRIG0[85]
+SignalExport.triggerChannel<0000><0086>=TRIG0[86]
+SignalExport.triggerChannel<0000><0087>=TRIG0[87]
+SignalExport.triggerChannel<0000><0088>=TRIG0[88]
+SignalExport.triggerChannel<0000><0089>=TRIG0[89]
+SignalExport.triggerChannel<0000><0090>=TRIG0[90]
+SignalExport.triggerChannel<0000><0091>=TRIG0[91]
+SignalExport.triggerChannel<0000><0092>=TRIG0[92]
+SignalExport.triggerChannel<0000><0093>=TRIG0[93]
+SignalExport.triggerChannel<0000><0094>=TRIG0[94]
+SignalExport.triggerChannel<0000><0095>=TRIG0[95]
+SignalExport.triggerChannel<0000><0096>=TRIG0[96]
+SignalExport.triggerChannel<0000><0097>=TRIG0[97]
+SignalExport.triggerChannel<0000><0098>=TRIG0[98]
+SignalExport.triggerChannel<0000><0099>=TRIG0[99]
+SignalExport.triggerChannel<0000><0100>=TRIG0[100]
+SignalExport.triggerChannel<0000><0101>=TRIG0[101]
+SignalExport.triggerChannel<0000><0102>=TRIG0[102]
+SignalExport.triggerChannel<0000><0103>=TRIG0[103]
+SignalExport.triggerChannel<0000><0104>=TRIG0[104]
+SignalExport.triggerChannel<0000><0105>=TRIG0[105]
+SignalExport.triggerChannel<0000><0106>=TRIG0[106]
+SignalExport.triggerChannel<0000><0107>=TRIG0[107]
+SignalExport.triggerChannel<0000><0108>=TRIG0[108]
+SignalExport.triggerChannel<0000><0109>=TRIG0[109]
+SignalExport.triggerChannel<0000><0110>=TRIG0[110]
+SignalExport.triggerChannel<0000><0111>=TRIG0[111]
+SignalExport.triggerChannel<0000><0112>=TRIG0[112]
+SignalExport.triggerChannel<0000><0113>=TRIG0[113]
+SignalExport.triggerChannel<0000><0114>=TRIG0[114]
+SignalExport.triggerChannel<0000><0115>=TRIG0[115]
+SignalExport.triggerChannel<0000><0116>=TRIG0[116]
+SignalExport.triggerChannel<0000><0117>=TRIG0[117]
+SignalExport.triggerChannel<0000><0118>=TRIG0[118]
+SignalExport.triggerChannel<0000><0119>=TRIG0[119]
+SignalExport.triggerChannel<0000><0120>=TRIG0[120]
+SignalExport.triggerChannel<0000><0121>=TRIG0[121]
+SignalExport.triggerChannel<0000><0122>=TRIG0[122]
+SignalExport.triggerChannel<0000><0123>=TRIG0[123]
+SignalExport.triggerChannel<0000><0124>=TRIG0[124]
+SignalExport.triggerChannel<0000><0125>=TRIG0[125]
+SignalExport.triggerChannel<0000><0126>=TRIG0[126]
+SignalExport.triggerChannel<0000><0127>=TRIG0[127]
+SignalExport.triggerPort<0000>.name=TRIG0
+SignalExport.triggerPortCount=1
+SignalExport.triggerPortIsData<0000>=true
+SignalExport.triggerPortWidth<0000>=128
+SignalExport.type=ila
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf
new file mode 100644
index 000000000..736db76a7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_128 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/chipscope_ila_128.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise
new file mode 100644
index 000000000..3af396d70
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_ila_128.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_ila_128.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_ila_128.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ncf
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc
new file mode 100644
index 000000000..b5e78e4fd
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$ed17=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?0122<>6789::<=:401270>67;O>0<<>>1193547788;;==??0137?54?I8:0<?6N47AF56?E=>NM86>8F1684C4592;?7<??F04857>=AGZ^X7Z]IF2?571=87;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ<FLMXI0<=50?37?40=AGZ^X7JFAEK?50<768>0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766<281EC^ZT;FJTDBNX5;1<3?;;38JJUSS2MC[NIG_<083:45<:3CE\XZ5WDCTAI:6294:?6<5IORVP?QBJ^O^0<4?>0786?IR\Y__6IA_AEMS84<768?0>7AZTQWW>AIWJME[0<4?>00877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>586>29=6D@@UU8@KKRUGE6897>11:67?17788;087GAPTV9@LVF4:0;2<?44;KMTPR=L@ZI0>4?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281177??9999??5533a>3=AGZ^X7O34;2=5>>53H:97L?=;@0;?D4A:H=M;?5N359B85833H6:295N<3<7?D:46?1J094?>59B818?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=a>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d<IMNYN1?<:1<:?DBCZK6:?364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?9m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040>0:@EFGGBIH9<?>>;01:8FPUXAGLD=6M=;BC1?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN2<KEAI96MCKC3:?FJLJ[NH@F;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EEc8GJHSZFF7<3l4CNLWVJJ;994i7NAATSMO8479j2IDBY\@L=31:g=DGG^YCA2>3?`8GJHSZFF7=90m;BMMPWIK48?5n6M@NUPLH9716k1HCCZ]OM>23;d<KFD_^BB319<a?FII\[EG0<71a:ALJQTHD5;5n6M@NUPLH9476k1HCCZ]OM>15;d<KFD_^BB323<a?FII\[EG0?=1b:ALJQTHD58?2o5LOOVQKI:5=7h0OB@[RNN?638e3JEEX_AC<35=f>EHF]XD@1<7>c9@KKRUGE6953o4CNLWVJJ;:7h0OB@[RNN?758e3JEEX_AC<23=f>EHF]XD@1==>c9@KKRUGE68?3l4CNLWVJJ;;=4o7NAATSMO863=87h0OB@[RNN?708f3JEEX_AC<2<b?FII\[EG090n;BMMPWIK4<4j7NAATSMO838f3JEEX_AC<6<b?FII\[EG050n;BMMPWIK404:86M@RD]DAKCUI]CDBRGAFN58GWCF\LN:7I64D133G567k2NJXLQXIEVK[De<LH^JSZGKTI]A6>BN>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;1<L@K7=;08;EKB8419?2NBM1?7>69GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1<L@K7>908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>611OELJF<1<:?AOFL@6:<374DHCGM976601OELJF<00==>BNIMC7=>06;EKB@L:6<7h0HDOKI=36>58>3MCJHD2>5?:8@LGCA5;546JFAEK?6;><L@KOE1=18:FJEAO;<720HDOKI=7=<>BNIMC7:364DHCGM91902NBMIG38?:8@LGCA535:6JFB=2=3>BNJ5;;2:5KIC>25;1<L@H7=?08;EKA8459?2NBN1?;>69GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1<L@H7>=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK62255KICFJ858>3MCIHD2>0?;8@LDCA5;:245KICFJ844912NBNIG312<:?AOEL@6:83l4DH@GM97229427IGMDH>21;><L@HOE1?18:FJFAO;:720HDLKI=1=<>BNJMC78364DH@GM93902NBNIG36?:8@LDCA5=546JFBEK?<;><L@HOE1717:FJTD:76>1OE]O31?58@LVF4;427IG_A=194;1<L@ZJ0>0n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=e>BNXKNB\1>1c:FJTGBNX5;1<3o4DHRA@LV;97<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;1<LFK7=908;EMB8439?2NDM1?9>69GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1<LFK7>?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;1<LFK7?=06;EMB867=87=0HBO330<5?AIF4:4=7IAN<5<5?AIF4<4=7IAN<7<5?AIF4>4=7IAN<9<5?AIF40437IANDN>3:<=CGHND0<>19:FLEAI;98427IANDN>26;?<LFKOC1?<>89GKDBH48>5n6J@AEM?50<7601OCLJ@<07=<>BHIME7=364DNCGK94902NDMIA33?:8@JGCG5>546J@AEM?1;><LFKOC1818:FLEAI;?720HBOKO=:=<>BHIME75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?902NDNIA30?;8@JDCG5;;245KOCFL847912NDNIA313<:?AIELF6:?374DN@GK9736k1OCOJ@<0794;?<LFHOC1?:>99GKGBH48437IAMDN>1:==CGKND0>07;EMA@J:3611OCOJ@<4<;?AIELF6=255KOCFL828?3MEIHB27>99GKGBH404<7IAM_SGD3>BHXH6;2:5KOQC?5;1<LFZJ0?06;EMSE95=87=0HB^N<2<b?AIWIME[0=0l;EMSEAIW480;2l5KOQCGKU:66>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3?4E39F01=B<9897HH<;DLB3>CII^XNB>5JNC58AKDULLDi7H@PRRVQEHYFj2OES_][R@O\F1=A89=:<6H?D1G3G5D799K;<=:4F7331>@FDZO97KJ>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD:8BA@?0M23?6HKP29E@W2<NMXN?6HKS59EAAE682LMIHHJEDDFA@@BML>0JKH?4:DEB@><NOLM99??119EBC@F:1:J>5>N29224>@ANOLJJ?HIFGD;B5773OLMJKHIF476003288:0JKHIFGDE<D>?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G1<AGC_S=M8;HLJPZ6C?2CEEYQ?E69JJLRX8O=0ECG[_024?LHN\V;:;6GAIU]262=NF@^T=>94IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1<AGC_S<M8;HLJPZ7C?2CEEYQ>E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G1<AGC_S?M8;HLJPZ4C?2CEEYQ=E69JJLRX:O=0ECG[_224?LHN\V9:;6GAIU]062=NF@^T?>94IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1<AGC_S>M8;HLJPZ5C?2CEEYQ<E69JJLRX;O<0ECG[_@48MKOSWK30ECG[_GKOA6=NF_90@IM=;MK1?II13EEHGHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP02<D\^=;6CZXB[\H0=J]QL<96CZXG:1?K743G;<?6@>829M655<F;;<7CK[WNPH0>HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y=0\#:6d^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0]>5^1418U6763[k0^LCM17@TAW?<ZHGI4OYJR99QEHYJGMO=7_KHCDC5?WC@KLHi7_KHCD@QABEB<2XXXL:4RRVA3>TT\KXXX:5]SUVZT@c<ZZ^T\_G@RHVZ[Dc<ZZ^T\_G@RHVZ[G7<[8;0_E\JG^G[PWGD\VDLOh5\HSGD[HOIWZCQI<=4SHO\GJJKAZCDBRMGEBIb?VOJWMO]ENK9;RMVVFC?3ZZJ^YOA649PVI71>2YY@<8J7:QQHF71M>1X^AM<2D58WWPFDVK<7^\YAM]A2>USI]_X86]VNB18PAV?3]XBK=2?>`9WVLA748:;2l5[RHE384666h1_^DI?<021:d=SZ@M;0<><>`9WVLA748:?2l5[RHE384626h1_^DI?<025:d=SZ@M;0<>8>`9WVLA748:32l5[RHE3846>601_^DI?<02=e>RUAN:7=<>1a:VQMB6;98;5m6Z]IF2?5449i2^YEJ>3101=e>RUAN:7=<:1a:VQMB6;98?5m6Z]IF2?5409i2^YEJ>3105=e>RUAN:7=<61a:VQMB6;983556Z]IF2?548f3]XBK=2>21<b?QTNO96:><0n;UPJC5:6:;4j7Y\FG1>2668f3]XBK=2>25<b?QTNO96:>80n;UPJC5:6:?4h7Y\FG1>262<76h1_^DI?<004:<=SZ@M;0<<19:VQMB6;9:427Y\FG1>20;?<\[CL<1?:>89WVLA748<556Z]IF2?528>3]XBK=2>8?;8PWO@85;2255[RHE3848>3]XBK=2=0?;8PWO@858:245[RHE3874912^YEJ>322<:?QTNO9698374TSKD4942601_^DI?<34==>RUAN:7>:06;UPJC5:50730X_GH0=0::==SZ@M;0?06;UPJC5:48730X_GH0=12:<=SZ@M;0><19:VQMB6;;:427Y\FG1>00;?<\[CL<1=:>89WVLA74:<556Z]IF2?728>3]XBK=2<8?;8PWO@8592255[RHE3868>3]XBK=2;0?;8PWO@85>:245[RHE3814912^YEJ>342<:?QTNO96?8374TSKD4922601_^DI?<54==>RUAN:78:06;UPJC5:30730X_GH0=6::==SZ@M;0906;UPJC5:28730X_GH0=72:<=SZ@M;08<19:VQMB6;=:427Y\FG1>60;?<\[CL<1;:>89WVLA74<<556Z]IF2?128>3]XBK=2:8?;8PWO@85?2255[RHE3808>3]XBK=290?;8PWO@85<:245[RHE3834912^YEJ>362<:?QTNO96=8374TSKD4902601_^DI?<74==>RUAN:7::06;UPJC5:10730X_GH0=4::==SZ@M;0;06;UPJC5:08730X_GH0=52:<=SZ@M;0:<19:VQMB6;?:427Y\FG1>40;?<\[CL<19:>89WVLA74><556Z]IF2?328>3]XBK=288?;8PWO@85=2255[RHE3828>3]XBK=270?;8PWO@852:245[RHE38=4912^YEJ>382<:?QTNO9638374TSKD49>2601_^DI?<94==>RUAN:74:06;UPJC5:?0730X_GH0=:::==SZ@M;0506;UPJC5:>8730X_GH0=;2:<=SZ@M;04<19:VQMB6;1:427Y\FG1>:0;?<\[CL<17:>89WVLA740<556Z]IF2?=28>3]XBK=268?;8PWO@8532255[RHE38<833]S[I>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ;Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP<P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<=S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??0\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>00_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ335X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY247[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<9S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??4\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>04_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ331X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY243[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<5S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??8\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>08_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_477U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<?R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?=]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T100^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[030Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX545Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_473U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?9]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T104^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[034Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX541Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47?U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\982V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;2Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<7R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>21_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ314X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY264[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^759T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:>>S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=3\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>25_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ310X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY260[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:>:S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[00^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[01^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY27X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY20X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_42Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_43Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8<V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:4P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<6R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<7R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=0\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[32^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[33^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY15X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY16X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_74Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;>V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;?V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ99P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9:P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?8R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=8\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_66Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_67Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8?P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ88P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>:R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[24^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[25^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY03X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6>Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]41T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]38T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?=P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9<R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[56^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY71X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY72X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_11Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]30T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?5P F_RPOPZHBW9$MJ>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ><P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW8>R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW8?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[40^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[41^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY60X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_02Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_03Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<<V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>4P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW86R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW87R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU90\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[73^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY55X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY56X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_34Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_35Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1<T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?>V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS??V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=9P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=:P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;8R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU97\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU98\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY44X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_26Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_27Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]09T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ<?P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ<8P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW::R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW:;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU85\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU86\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[64^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[65^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY43X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2>Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]01T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?8T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3=P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW5<R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW5=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU73\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU74\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[96^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[97^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;1X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;2X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=1Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]??T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?0T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS12V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS13V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ35P F_RPOPZHBW9$MJ>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ2<P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4>R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU61\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU62\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[80^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[81^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:7X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:0X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<2Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<3Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0<V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ2;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ24P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW46R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW47R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU69\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3113=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:68;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84636;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<02;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9935>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84776;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=<?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>25785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<037:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;98?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>17<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<?7>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?54?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<003:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9;;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>23<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5739:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=313;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4885>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>3?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8429::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:93<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<04=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6?7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<61229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2=;453\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<?>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6485;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5892?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~322<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9436;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>80=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=05:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<7>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6<85:2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx585>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<0?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8679::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68>3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<21=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:4<7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0>;1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>02;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4:=5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<8?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos86?9:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey682?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~341<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9266;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz78?0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=60:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;<=49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1::>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?0385;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5><2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~349<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt92>6;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<42=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:297887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{08<1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>67;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4<>5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2:5?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8009::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6>;3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4:=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:217897X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{080=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=43:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|18=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?2685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5<?2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~364<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9016;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7::0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=4;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|181229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>44;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}282?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8259::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<83<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<67=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:0>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0:91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>4<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}28>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx52:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~383<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9>46;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7490=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=:6:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;0?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|168>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5222?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<69::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey62=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<80=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:>;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{04:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>:1;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw40<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}267?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6253<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<8<57>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%BSZGKTI];*pYsk>?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/H]TMAROW1$~SymP0.[FWZJR\?$^SA[[6778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_9,v[qeX9<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/sqw1`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$xnQyeb>3:0c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#ymPvda?5;073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:66?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA585:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&IB0>090:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#NG34?43?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>6:36<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M909>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN4>4>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M6;28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%O0<0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#I2=>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<2<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/E>7:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-G8082n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+A:16<l0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)C4>4=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ;Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S9W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ2^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR:V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX5X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ3^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ:Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW?S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ9Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX6X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP>P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^5Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX7X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_6[)]VRD^NW9b:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU;]/EmL+SXE\RHU;84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW9S!U^DGV@023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^2Z&\UGYY88;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV:R.T][KWE^>k1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\=T$LbE Z_LW[G\013\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^3Z&\UMH_K95:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU:]/W\HPR1?2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]2U'_TTB\LY7`8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[7_-CkN)]VG^TNW96:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU9]/W\BATB><1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\>T$^SA[[669V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT6\,V[]IUKP<=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR=V"XQIDSG51>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY4Y+SXD\^=;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ<Q#[PXNP@]0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8582n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+V:66<l0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)T4;4>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6828h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X090:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2:>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<7<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>4:0g<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQ}su4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\8T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]6U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^4Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_6[)]VLO^H8l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGVZBKQ&MT^HIPBHVX0X(RWONYI;m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ[AJ^'NUYIJQMIUY6Y+SXNMXN:n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP\@I_(OVXNKRLFTZ4^*PYAL[O=o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS]GH\)@W[OLSOG[[6_-QZ@CZL3h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_5[)}Vxjao?7/T]QEHD60h<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X4X(rW{kfn<6 U^PBIG7?W`dxyao{e0c5?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W=S!u^pbig7?'\UYM@L>8^kmwpjf|l<2o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^7Z&|Uym`l>8.W\VDKE91k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_4[)}Vxjao?7/T]QEHD60Vcexbntd0b2>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V?R.t]qehd60&_T^LCM19]jjvski}o>5n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]5U'T~lcm19-V[WGJJ82j:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^4Z&|Uym`l>8.W\VDKE91Ubb~{caug0e3=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U=]/w\vdke91%^S_OBB0:\mkurdh~n84m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\;T$~Sobb0:,QZTFEK;3m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]4U'T~lcm19-V[WGJJ82Tec}zl`vf7d0<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T3\,v[wgjj82$YR\NMC3;[lht}eki97l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S=W%yR|nmc3;+PYUIDH:4l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\<T$~Sobb0:,QZTFEK;3Sd`|umcwa7g13\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[5_-qZtfek;3#XQ]AL@2<Zoi{|fjxh;6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R?V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S<W%yR|nmc3;+PYUIDH:4Rgastnbp`7f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z7^*pYuidh:4"[PR@OA5=Ynfzgmyk99b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q=Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R<V"xQ}al`2<*SXZHGI=5Qfnrwoeqc7i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y5Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj79f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI5FI[0/w\vdke1&_T^LCM9858Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI5FI[0/w\vdke1&_T^LCM9^kmwpjf|l:2;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G?LO]:%yR|nmc;,QZTFEK3Tec}zl`vf30`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^33[c>2n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\54Ya?<l0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ76Wo2=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX98Um4<8?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:=Rh72738Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?>_g:\437<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^32[c>X9<i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ75=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[44Xn><;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6:Vl<=;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;9Sk9=619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<<Pf6155>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP13]e3Z6192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\57Ya?V;==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9;Um;R<:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=?Qi8728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?=_g:225=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_00\b=4192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\57Ya0V:==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9;Um4R?:c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?<;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:??;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?9;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?8;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?Rh8619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<=Pf6354>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e37073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]27Z`0;?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ74Wo=?:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW89Tj:;91:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>Qi7^255>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e3Z7192_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\56Ya?V8==6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9:Um;R=91:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=>Qi7^66b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e<0e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^371a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_0621`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_06240b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3760b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3770b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3700b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3710b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3720b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^3730b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37<0b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37=0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37[c1182_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H:-QZKRP;?=#@czx^M\51Ya?8<;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<Vl<>;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;?Sk9<609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<:Pf6]324=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_06\b2Y6>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[42Xn>U99n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8?>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<;>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<8>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<9>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<>>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<?>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<<>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<=>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<2>h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<3>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9<Um;8m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;=9i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<:9i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<99i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<89i5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8<?9n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8=?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN484?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4;4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4:4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4=4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4<4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4?4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN4>4?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN414?h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*EN404?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@949<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G8683k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?0;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>6:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!K<6<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"J38?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#I26>4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV>R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU?]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP=P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZ^HZJS>46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\:T$LbE Z_LW[G\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S;W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV<R.T][KWE^=11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_6[)OgB%YRCZXB[67>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,V[CBUM<80Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^5Z&\UGYY;;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ8Q#[PXNP@]0><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*BhO&\UFYUMV529V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!U^DGV@353\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY7Y+SXD\^>86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\<T$^SUA]CX7;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU:]/EmL+SXE\RHU8=4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR?V"XQIDSG66>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT5\,V[ISS==1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_0[)]VRD^NW:8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP:P HnI,V[HS_KP?87X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_TJI\J539V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW;S!U^NVP02<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PY_G[IR955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(RWONYI8<4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR=V"XQCUU77?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\\JTDQ<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&NdC"XQBUYAZ16=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-QZ@CZL?97X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]?U'_T@XZ:4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_YMQG\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S0W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T][KWE^<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-P8583k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.Q?5;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>1:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=1=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<5<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]35?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^29>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$_1914b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%X050;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y753:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`w:76=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=3=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0?0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;;7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>7:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1;1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4?4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?3;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>3:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=3=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<3<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw33?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{Uo`t2;>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbczVngu1;14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabuWmfr0;0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`atXles7;3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2<:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2?:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<2>:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<29:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6<28:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>3:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;<3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24483>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84646=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??4?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:68<4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3114=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:<2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=33<;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:<40;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<>1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>2?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69:4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3106=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;>2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=322;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=:0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?54>9<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<?6>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=0?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:84?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3130=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=310;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>80;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5709<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<8>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97507>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>28<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3122=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489:2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=306;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:?>0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5629<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<=1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8429<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<;1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8409<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<91449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84>9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<71459V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>?0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6683=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>90;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6083=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6283=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>50;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6<83<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>04;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>06;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68?3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>00;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6893::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>02;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h68;3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>0<;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6853:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>0:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>;29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=62:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>929;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=60:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=66:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=64:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=6::12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5>5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<42=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<40=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<95885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<46=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<?5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<44=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<=5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<4:=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4<35895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<4<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>94?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo360<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>;4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo362<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>=4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo364<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>?4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo366<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>14?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo368<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;>7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl280?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:097>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl282?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl284?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0=7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl286?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:0?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl288?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:017>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl28>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>76=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>56=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>36=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm16:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>16=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm168>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm166>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9>9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<79<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<59<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j04:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<39<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0481449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<19<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0461449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8<?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j040;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;87>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>2:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1<1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu4:4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?0;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh2:>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5<5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq828302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|38?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6229=4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_dl22>S7'@UECRJ!U^KOE*SXLF__>o5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/BOS[WCTM]9>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_HLWGDVXN'_TOL^XE116?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NW@D_OL^PF/W\GDVPM8?i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="DQAO^PSI+OXQLYTC_KH.NWW[WC@=91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHHB@J/H]ZAVJR\?$BSCAPRQO-QZKRPO=>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#GPNN]QTH(RWZXG??QN539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\F11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)[[FTAXV;7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/QQHZW49=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TOIAAE.K\]@UK]]<%__BPQ=2=0==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUZ0<0:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^ANTWCTM]%BSTK\LTV5*LYIGVX[A#[PMTZE303<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$BSCAPRQO-QZUUD:8TM8;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCLRQAVCS'@URI^BZT7,J[KIXZYG%YR]]L20\F1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^306a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)[[FT]1>14c9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@IUTB[L^$ERWJSMWW2+UUDV[7=3;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)AVSN_RA]EF,LQQYUMN?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$BSCAPRQO-QZKRPO=TMO;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VG^TK9PCE6f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,J[KIXZYG%YRCZXG:64>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQ\RM11[D373\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(NWGET^]C!U^QQH64XJ<:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'CTBBQ]PL,V[VTK;;UH9=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RJ;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\IP^0494?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPMTZ48483>2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)[[FTAXV7499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56494?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPQ23?5;2?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ?<2=>548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;87>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQ^<0<72>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-WWJXY5858;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_P>0:0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ F_XGP[JTBO'E^XR\JG438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S@[WF6]BF07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_LW[B2YDL=o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l5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJX;n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"]LNU]@IUYDM;i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"]LNU]@IUYV:j1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#^MAT^PFW@R382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;87>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6:29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1<1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<2<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?0;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:26=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|5<58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0:0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz38?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>::1?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS9W%YR]JL5c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_46Z&\UXIA:n;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ32Y+SX[LF?m6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU>2\,V[VCK<h1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP=>S!U^QFH1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS8>V"XQ\EM6b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^72U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY2Y+SX[LF?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU=]/W\W@J312_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT<RZ@_76H*CYTMEQ8Q#[PSDN7=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]3U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY6Y+SX[LF?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU9]/W\W@J312_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT<RZ@_76H*CYTMEQ<Q#[PSDN7=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]?U'_T_HB;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY:Y+SX[LF?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&@UX^A Z_RGO\07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP<P Z_BCS[DBCZ<?0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T0\,V[FGWWHNO^RCZX408Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\8T$^SdMNP^CG@W363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW<S!U^ABTZGCL[?>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][0_-QZEFXVKOH_QBUY71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS8W%YRgLAQ]B@AT292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V<R.T]@EUYFLMX>96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ0^*PYDIYUJHI\PMTZ66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR8V"XQfC@R\EABU=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U<]/W\GDVXIMNY985Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY0Y+SXKHZTMIJ]_LW[17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q8Q#[PiBCS[DBCZ<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T4\,V[FGWWHNO^8;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX0X(RWJK[SLJKR^OV\04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP8P Z_hABTZGCL[?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][4_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_0[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW8S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ4^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^0Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V8R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY4Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]0U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U8]/W\mFGWWHNO^8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX<X(RWJK[SLJKR478Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\0T$^SNO__@FGVZKRP<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T8\,V[lEFXVKOH_;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_<[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS0W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][8_-QZoDIYUJHI\:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!LI=3=17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:56<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC7?3;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<5<66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-@M939=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(K@6=28<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#NG37?71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8=82:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5359<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H1>1509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=3=14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,@949=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(L5959<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H1:1509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=7=14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,@909=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(L5=59<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$H161509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ D=;=1a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^6Z&NdC"XQBUYAZ1==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^6Z&\UMH_K:7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[1_-QZJR\<30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ;Q#[PXNP@]0b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'MeD#[PMTZ@]0><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_TJI\J569V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ3^*PYK]]?27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P Z_YMQG\3c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$LbE Z_LW[G\3?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$^SKJ]E458Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY1Y+SXD\^>56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^ZLVF_2l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%KcF!U^OV\F_202_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%YRHKRD74?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX7X(RWE__945Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.T][KWE^=m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR>V"J`G.T]NQ]E^=11^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR>V"XQIDSG63>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_1[)]VF^X874U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/W\\JTDQ<n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#IaH/W\IP^DQ<20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PFEPF12=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^3Z&\UGYY;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,V[]IUKP?o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]1U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\?T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV6R.Fl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gB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ;Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP<P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP<P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV?R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX5X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]5U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW?S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV<R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV<R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT3\,DjM(RWD_SOT:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^5Z&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]4U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]4U'_TTB\LY418Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S=W%KcF!U^OV\F_3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU;]/W\BATB<m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT4\,V[ISS<o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT4\,V[]IUKP?87X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ7^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\=T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S<W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S<W%YRV@RB[67>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ=Q#IaH/W\IP^DQ=o0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[7_-QZ@CZL>o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*PYK]]>m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*PY_G[IR9>5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX3X(@fA$^S@[WCX6f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR=V"XQIDSG7`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ<Q#[PLTV7b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ<Q#[PXNP@]05<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW5S!GoJ-QZKRPJS?i6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY;Y+SXNMXN8i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX<X(RWE__8k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX<X(RWQEYOT:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^?Z&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]>U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]>U'_TTB\LY558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T494?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>2:11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%X0?0;7:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+V:46==0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!\<5<73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'Z6>2994U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-P8383?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#^28>558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)T414?;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/R>::60<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VHYG==9;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]AVN7dn2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(AVCXSYK]MHLBPLIIWZFBOHQAE1,V[HS_Nk>0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVNb59V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]De<2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@g2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@a0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDJh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDcc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949j01^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=f<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1b89V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585n45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok959jh1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"GPIR]WAWKNFH^BCCQ\LHAF[KC7&\UFYUHm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ee=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJmb:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<ae>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:a6<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/W\IP^Aj<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVNb49V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Ej<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLb49V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Cj<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNb49V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJEj<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLb49V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCjk1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;de3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5;5nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?7;de3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3=m;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsi5:5?o5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqg;979i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecwe949;k1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc?7;5e3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a=6=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?558382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:697>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;929>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<=1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm315<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>21;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9716:l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4848j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj692>h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0>0<f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2;>2d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<4<0b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>5:6`<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8284n2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:?6:l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd4048o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;879h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:66:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV949;j1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%bOL^PAEFQ8684k2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cHM]QNDEP?0;5d3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>6:6e<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aJK[SLJKR=4=7f=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)nKHZTMIJ]<6<0g>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oDIYUJHI\38?1`?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_26>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5:5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW848402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ32?1;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]682>64U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iRAMP929;11^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%b_N@[<4<0<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7:3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:06:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=:=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_040=e:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZUDF]UHA]Q^299V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M956[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^VQMB6512_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRZ]IF31b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]V]HBYQGCLR\U7`<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_T[N@[_MANTZW512_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_BG1f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(_JD_SEMBP3g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*QDF]UCO@^PCD0g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)PKG^TDNC__P0a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)PKG^T@NC_2d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+REI\VFHA]QLE3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*QDF]UGO@^PQ3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2?>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2>>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2=>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2<>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2;>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl2:>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl29>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl28>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl27>3f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*eaoVnjxl26>3a8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*oDIYU\^RKA2e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+lRUANMN^RGA2d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+lRUANMN^RAZT368Q5)NWGETH#[PIMC,QZTT\&MT^^ZT0\,V[WUS:=1^<"GPNN]G*PYNDH%^S_][/F]QWQ]6U'_T^^Z=4:W3+LYIGVN%YRGCA.W\VVR(OVXXXV<R.T]QWQ433\:$ER@@_E,V[LJF'\UY_Y!H_SQW_6[)]VXXX?:4U1-J[KIXL'_TEAO U^PPP*AXZZ^P8P Z_SQW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY6Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R<V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[6_-QZTT\;:0Y=!F_OM\@+SXAEK$YR\\T.JBHQYw}eyn=45Z0.K\JJYC&\UB@L!Z_SQW+UIU:91^<"GPNN]G*PYNDH%^S_][/QPF[WCTM]:9<6[?/H]MKZB)]VCGM"[PRRV,TWCXZLYNX<<7;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\GICFZ;=0Y=!F_OM\@+SXAEK$YR\\T.W\EWKXPOOY#XQKOTV1<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X=<7;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS9:>0Y=!F_OM\@+SXAEK$YR\\T.W\EWKXPOOY#XQHEO]GAIG^S8W%YRHK359V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZABFVNN@LWT2\,V[CB4<2_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SJKA_EGOE\]4U'_TJI=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV:R.T]E@7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UYJI\J299V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZRAL[O9o6[?/H]MKZB)]VCGM"[PRRV,QZGUEVRMI_!kio]oeqeomm8>7X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"gLLS0;?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*oCAG6;2?64U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hFJJ979:?1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2?>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2>>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2=>3f8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lBH]]Uo`t2;>3;8Q5)NWGETH#[PIMC,QZTT\&_TDLB[_YDFV*SXKEOJ^?64U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\@JSS:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQKOTV36<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UOCXZ>349V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PY@MGUOIAOV[0_-QZ@C;<1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQHEO]GAIG^S;W%YRHK289V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PYUNMXN>45Z0.K\JJYC&\UB@L!Z_SQW+PYOIE^TTKK]/T]WBATB:m1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#iga_mcwgmcc:?1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dMCR3;8Q5)NWGETH#[PIMC,QZTT\&_TDLB[_YDFV*oCAG6;2?74U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.kGMK:66;=0Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"gKOTV1a>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(aME^XRjcy=2=6`=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NDYYQklx>1:4d<]9%BSCAPD/W\MIG(]VXXX"[PPNP2`>S7'@UECRJ!U^KOE*SXZZ^$YR^]SU22`>S7'@UECRJ!U^KOE*SXZZ^$YR^]SU32g>S7'@UECRJ!U^KOE*SXZZ^$YR\\T13e?P6(AVDDSI Z_HNB+PYU[]%b^H]JT=2=60=R8&CTBBQK.T]JHD)RWZ^JX"OLTSGPAQYw}eyn>=5Z0.K\JJYC&\UB@L!Z_RVBP*GD\Vnxxlz=0:W3+LYIGVN%YRGCA.W\WQGS'HXFSi}{au06?P6(AVDDSI Z_HNB+PYT\H^$OL^PRDQFPZbkq8;m7X> I^LL[A(RW@FJ#XQ\T@V,@LTSQVN;=k5Z0.K\JJYC&\UB@L!Z_RVBP*BNZ]STH<<?;T2,MZHHWM$^SDBN/T]PPDR(L@X_UR]JL308Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_eqweq433\:$ER@@_E,V[LJF'\UXXLZ DRVBPZciWmfr=?:4U1-J[KIXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{161=R8&CTBBQK.T]JHD)RWZ^JX"J\T@V\akYcdp99=6[?/H]MKZB)]VCGM"[PSUCW+AUSI]Ugclj=9:W3+LYIGVN%YRGCA.W\WQGS'LR_OL^PRDCG]Zbt|h~9=6[?/H]MKZB)]VCGM"[PSUCW+CSKDVnxxlz=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[1_-MZUSI]$^S^ZNT228Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY24X(NWZ^JX#[PSUCW6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^7Z&@UXXLZ!U^QWEQ4a3\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\:T$BS^ZNT/W\WQGS:o1^<"GPNN]G*PYNDH%^S^ZNT.D\WVRF\R9V"DQ\T@V-QZUSI]8m7X> I^LL[A(RW@FJ#XQ\T@V,BZUT\H^P8P F_RVBP+SX[]K_>k5Z0.K\JJYC&\UB@L!Z_RVBP*@X[Z^JXV;R.H]PPDR)]VY_MY<i;T2,MZHHWM$^SDBN/T]PPDR(NVYXXLZT6\,J[VRF\'_T_YO[2g9V4*OXFFUO"XQFL@-V[VRF\&LT_^ZNTZ5^*LYT\H^%YR][AU0e?P6(AVDDSI Z_HNB+PYT\H^$JR]\T@VX<X(NWZ^JX#[PSUCW6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^?Z&@UXXLZ!U^QWEQ433\:$ER@@_E,V[LJF'\UXXLZ G^LP_5[)]VDX]?:4U1-J[KIXL'_TEAO U^QWEQ)@WGYP=P Z_OQR61=R8&CTBBQK.T]JHD)RWZ^JX"IPNRY1Y+SXFZ[986[?/H]MKZB)]VCGM"[PSUCW+BYI[R9V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[5_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\=T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U9]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^1Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW5S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX=X(RWGYZ>45Z0.K\JJYC&\UB@L!Z_RVBP*Kj}qUOE_ZV_eqweq76:;1^<"GPNN]G*PYNDH%^S^ZNT.LP[ausi}6;2?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz31?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8785:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|595>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2;>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?1;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4?49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx191239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>;:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;17;n7X> I^LL[A(RW@FJ#XQ\T@V,JVYkghn996[?/H]MKZB)]VCGM"[PSUCW+VRF\LUoyo{<1<11>S7'@UECRJ!U^KOE*SX[]K_#^ZNTD]gwqgs484:j6[?/H]MKZB)]VCGM"[PSUCW+QBHWgosx?:4U1-J[KIXL'_TEAO U^QWEQ)SZ@MLI_Qksucw5f=R8&CTBBQK.T]JHD)RWZ^JX"[PASO11>S7'@UECRJ!U^KOE*SX[]K_#XQNRL]dhpcX{l~:n6[?/H]MKZB)]VCGM"[PSUCW+PYDZ;90Y=!F_OM\@+SXAEK$YR][AU-V[AOU\PUMHNK=3:W3+LYIGVN%YRGCA.W\WQGS'\UOE_ZV_GFSA74<]9%BSCAPD/W\MIG(]VY_MY!Z_EKQP\YKLJ9j7X> I^LL[A(RW@FJ#XQ\T@V,QZBJ]Q>$YRM\_LW[+L5)]VG^T5!Bmtz\KZ54i2_;#DQAO^F-QZOKI&_T_YO[/T]GIP^3'\UH_RCZX.K0*PYJ]Q2$A`{w_N]75f=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZEKMHX986[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRJ@UU06?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-V[AIR\98>7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD8%^SIAZT012?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-V[BCIWMOGMTU>]/W\BA423\:$ER@@_E,V[LJF'\UXXLZ U^FPH4)RW[LO^H<:;T2,MZHHWM$^SDBN/T]PPDR(]VNX@<!Z_UDGV@4>3\:$ER@@_E,V[LJF'\UXXLZ U^FPH4)cagUgmymgee01?P6(AVDDSI Z_HNB+PYT\H^$YRJ\L0-jGIT5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aMCE0=0=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? iEKM8485i2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aME^XRjcy=2=6d=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBH]]Uo`t2>>0f8Q5)NWGETH#[PIMC,QZUSI]%^SI]C20f8Q5)NWGETH#[PIMC,QZUSI]%^SI]C30a8Q5)NWGETH#[PIMC,QZUSI]%^SI]]1b9V4*OXFFUO"XQFL@-V[VRF\&_TIN\=5:W3+LYIGVN%YRGCA.W\WQGS'\UNO_Qhltg\w`r6l2_;#DQAO^F-QZOKI&_T_YO[/T]EQIJ5>2_;#DQAO^F-QZOKI&_T_YO[/T]EQIJXoenS~k{369V4*OXFFUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8937X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M256?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>02;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=>l4U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J769VL8n6[?/H]MKZB)]VCGM"[PSUCW+PYJ]Q%^SN]PMTZ,M4(RWD_S>"Cbuy]L547XO:30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3266?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>32;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:8>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76=:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N317==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<=<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9<937X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M226e<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?9_RU37f=R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<8PSV30<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=:=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI60:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3:5f=R8&CTBBQK.T]JHD)RWZ^JX"[PNRN04>S7'@UECRJ!U^KOE*SX[]K_#XQ]ERGW[@B@M&CTD?B!U^FLQQ4e3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#XQKOTV36g=R8&CTBBQK.T]JHD)RWZ^JX"[PRDQFPZCCOL%^SIAZT00`?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'`NDYY2?>3a8Q5)NWGETH#[PIMC,QZUSI]%^S_K\EU]F@BC(aME^X1?11g9V4*OXFFUO"XQFL@-V[VRF\&_T^D]FNF03?P6(AVDDSI Z_HNB+PYT\H^$YR][AUAN@74<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPFKCWg;m7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]O;=k5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_I<<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M979:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG32?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA595>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?0;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE1;1269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>78<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=5=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC743<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M9?9:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2?>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;978=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<3<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L595>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>7:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N793<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8385>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$H191279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+A:?6;<0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"J39?11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ3^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]5U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP?P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^3Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ=Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT7\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UGYY<j;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_=[)]VRD^NW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^?Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^?Z&\UGYY<j;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_<[)]VRD^NW=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W969:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2>>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;:78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<2<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5>5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>6:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y7:3<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-P8285>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$_161279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+V:>68i0Y=!F_OM\@+SXAEK$YR][AU-V[QBH:91^<"GPNN]G*PYNDH%^S^ZNT.W\PWO@OLX956[?/H]MKZB)]VCGM"[PSUCW+PYSZ@MLI_Qhltg\w`r6n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:76;:0Y=!F_OM\@+SXAEK$YR][AU-jWQGS48:5=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7=3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1<11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ33?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=6=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?1;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9099o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;?7;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\525=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^753<=;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXNCK_BG10>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEBWg887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX1>1229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?5;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\585>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ33?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP929::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^793<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=4=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;?7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX161229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?=;413\:$ER@@_E,V[LJF'\U_^DI F^LL[QEJK'_TJI\<2:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]A71=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXLES<i6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CT_YA]AFG\UPGK&\UXXB\NGD]RQDJ(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WGI)NWGG^SH_>.T]j@JSS'@URI^BZT7,V[VTKK8<N:55Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(AVE^X_KH.T]GKPR2m2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-j@JSS=h1^<"GPNN]G*PYNDH%^SY\FG.W\PF)NWZ^D^LIJ_PWBH+SX[]EYMJKPQTCO+LYTZE^TBHQ>.H]MIPY6W]ET8#[PTSKDU14<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[=j7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PNDS1*PYSKVOZYLZFOO-J[VTK\VDNS< F_OOV[4YSGV>%YRZLL.K\JHSXMX;%YRgKOTV,MZ_B[E__:#[PSSN@53C1<2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX\ZOZSCK^2/W\PFYBY\K_EB@ I^QQHQYIMV;%ER@BU^3\PJY3&\U_OA!F_NWWV@A)]VNDYY;n;T2,MZHHWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*oCG\^>:6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CTX^K^_OGR6+SX\JUN]XO[INL,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[RHER7`=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[QUBYVDN]? Z_UA\ATSF\@EE#dZ]IFEFV72<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,mFGW\\XN>55Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%bOKIPD@VB8584k2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-J[HEXFF$^SCAPMB]QAB513\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)CG\^Tx`~nc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[1_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T112^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[022Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z336X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y246[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X552Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_462U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^77>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\992V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8:2Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;:<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:=<S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=<<R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<?<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?>4\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>14_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T104^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[034Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z32<X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y25<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X54[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X576Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_446U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^75:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6::W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9;>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S88>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q98P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q99P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q94P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q95P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R99Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R98Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R93Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R92Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=:V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=8V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=?V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=<V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S==V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=2V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=3V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fk2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\==W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=<W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=1W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]18T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]19T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1<T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]10T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]11T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^17U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^16U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^15U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^14U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^13U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^12U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^11U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^10U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=7Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=4Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=5Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=3Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=0Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3bg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=5[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=4[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=7[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=6[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=1[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=0[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=3[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=2[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X==[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV18:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y3Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??0\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<<S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\998V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_464U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z330X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>04_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P==8R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8:<Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^770T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y24<[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T11_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<>R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8;:Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^76:T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y256[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T106^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<?:]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;::P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]69>W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X54>Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[03:Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;9<P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6:8W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X574Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[000Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?=4\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:>8S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9;<V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_440U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z31Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?<]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^72U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z35Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?8]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;3Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^7>U'CTECQ]AOEF*PY@IGNTHAW=999V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z3^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?>R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;;V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_74Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[31^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?:R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;?V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_70Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[35^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?6R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;3V"DQFN^PBJBC)]VMJBIQKLX0:<>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_7[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T31_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?<S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;;W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X76[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T35_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?8S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;?W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X72[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T39_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?4S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y74X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;1\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?>P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y70X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;5\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?:P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3?T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y7<X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;9\,J[LHXZHDLI#[PG@LG[AJ^:020Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^37U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z72Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;=]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?8Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^33U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z76Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;9]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?<Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^3?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z7:Y+OXAGUYMCIJ.T]DEKBXLES9555Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?:V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_37Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[70^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;=R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?>V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_33Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[74^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;9R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?2V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_3?Z&@UBBR\NNFG-QZAFFMUO@T<68:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[7_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;=S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?8W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X37[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T72_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;9S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?<W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X33[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T76_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;5S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?0W%ERGA_SCMC@(RWNKEHRJCY3;;?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U70\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q3=P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?:T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;7X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U74\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q39P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?>T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U78\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q35P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;3Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R39Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?4U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;7Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?0U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V76]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3V"DQFN^PBJBC)]VMJBIQKLX0gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_46Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX54[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPO@`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_45Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5:5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT12_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh949m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^74U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo86868m1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.K\MVYSM[GBBLZFOO]PHLEBWGO;"DQZSD]LQQVR\VXNKR@J0/W\KWC@n11^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.K\MVYSM[GBBLZFOO]PHLEBWGO;"XQBUYDg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9<W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:46l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee783k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9<W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?:]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?7;bd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXEf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7<3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<0<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme682im4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Lmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIDck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_7[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHFbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX6X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPO@`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=0=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5;5i<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<f5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_6[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\<T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_1[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY7Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ6^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\<T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR?V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S<W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_3[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[7_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR<V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR=V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]0U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_2[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_=[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX<X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY;Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU7]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV6R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX<X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^?Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_<[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:d`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?558fn2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}9766hl0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;9;4jj6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5;82lh4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s7=90nf:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-dekbXkhxyu1?:>`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+bgilVij~w31?cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<3<ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=1=e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>7:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?1;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz838fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}919il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:?6ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;17kj7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"}}l^s?558fi2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%x~aQ~<03=ed=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1?=>`c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6:?3on;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.qqhZw;9=4jm6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!|rm]r8439i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=3=e<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1<1a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy595m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u929i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=7=e<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}181a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5=5m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9>9i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?4;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=337;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=333;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><033:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<?<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><037:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<?8>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><03;:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847>61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?>1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3133=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5749081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;954<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?:1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3137=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5709081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;=54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=37:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28439091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2=3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=07:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28739091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><3;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7??070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2<3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=17:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28639091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;;?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><2;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?7;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;78?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2;3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=67:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28139091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;<?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86?;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1:7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><5;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?0;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;79?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2:3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=77:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28039091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;=?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86>;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><4;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?1;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7:?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<293?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=47:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28339091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;>?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=187>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><7;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?2;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<283?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=57:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28239091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;??43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=197>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><6;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?3;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i641;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;74?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<273?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=:7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28=39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;0?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=167>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><9;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i640;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;75?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<263?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=;7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28<39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;1?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=177>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><8;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6969081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3110=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5559081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3114=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5519081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<311<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2558?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69843=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>12<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2518?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69<43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>16<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>25=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69043<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=315;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=4?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=311;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<01=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?518?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<319<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6979091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;69=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<31=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?618?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:5=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:58=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<329<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6949091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;68=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1==>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<21=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?718?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:4=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:59=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<339<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>0=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6959091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6?=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1:=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<51=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?018?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:3=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5>=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90991819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<349<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>7=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6929091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6>=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<41=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?118?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:2=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5?=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90891819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<359<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>6=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6939091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6==36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>18=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<71=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?218?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:1=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5<=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<369<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>5=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6909091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6<=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>19=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<61=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?318?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:0=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5==25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<379<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>4=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6919091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;63=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>16=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<91=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:?=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:52=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90591819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<389<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;1943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;62=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>17=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<81=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?=18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:>=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:53=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90491819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<399<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?9<?1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UD^HI!I^[FWZIUMN$^SB\JG238Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#iazt^vnt77<]9%BSCAPD/W\MIG(]V^YEJ!{rhe@kkbNf;80Y=!F_OM\@+SXAEK$YRZ]IF-wvlaDggnDyy?;;T2,MZHHWM$^SDBN/hCQI4><]9%BSCAPD/W\MIG(aJK[XX\J189V4*OXFFUO"XQFL@-jGDVXLFDN>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]30?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW979::1^<"GPNN]G*PYNDH%bOL^PNTO\WDKWDLY7>3<<;T2,MZHHWM$^SDBN/hABTZHREVYJA]BJS=1=66=R8&CTBBQK.T]JHD)nKHZTBXCPS@OSH@U;<7887X> I^LL[A(RW@FJ#dMNP^LVIZUFEYFN_1;1229V4*OXFFUO"XQFL@-jGDVXF\GT_LC_LDQ?2;443\:$ER@@_E,V[LJF'`IJ\R@ZM^QBIUJB[5=5>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]38?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW9?99m1^<"GPNN]G*PYNDH%bOL^PSUCWA9699m1^<"GPNN]G*PYNDH%bOL^PSUCWA979:91^<"GPNN]G*PYNDH%bOL^PTSKDC@TXG\^:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[6;2<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY0<0>f:W3+LYIGVN%YRGCA.k@EUYPZVKOH_2=>0d8Q5)NWGETH#[PIMC,mFGWW^XTMIJ]<2<2b>S7'@UECRJ!U^KOE*oDIYU\^ROKDS>7:4`<]9%BSCAPD/W\MIG(aJK[SZ\PAEFQ8086n2_;#DQAO^F-QZOKI&cHM]QXR^CG@W:168l0Y=!F_OM\@+SXAEK$eNO__VP\EABU4>4:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[632<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY040>a:W3+LYIGVN%YRGCA.k@EUYPZVOE=55Z0.K\JJYC&\UB@L!fD@VB8586i2_;#DQAO^F-QZOKI&cOMYO3112=5d=R8&CTBBQK.T]JHD)nLH^J0<>>>0c8Q5)NWGETH#[PIMC,mAGSI5;;>3?n;T2,MZHHWM$^SDBN/hFBPD:68:4:m6[?/H]MKZB)]VCGM"gKAUC?55299h1^<"GPNN]G*PYNDH%bHLZN<026:4g<]9%BSCAPD/W\MIG(aMK_M1??6?3b?P6(AVDDSI Z_HNB+lBF\H6:<:0>a:W3+LYIGVN%YRGCA.kGEQG;9925=l5Z0.K\JJYC&\UB@L!fD@VB846>6830Y=!F_OM\@+SXAEK$eIO[A=33:4g<]9%BSCAPD/W\MIG(aMK_M1?>0?3b?P6(AVDDSI Z_HNB+lBF\H6:=<0>a:W3+LYIGVN%YRGCA.kGEQG;9885=l5Z0.K\JJYC&\UB@L!fD@VB847468k0Y=!F_OM\@+SXAEK$eIO[A=320;7f3\:$ER@@_E,V[LJF'`NJXL2>14<2e>S7'@UECRJ!U^KOE*oCI]K7=<811`9V4*OXFFUO"XQFL@-j@DRF48;<2<o4U1-J[KIXL'_TEAO iECWE97607;j7X> I^LL[A(RW@FJ#dJNT@>25<8612_;#DQAO^F-QZOKI&cOMYO310<2e>S7'@UECRJ!U^KOE*oCI]K7=?>11`9V4*OXFFUO"XQFL@-j@DRF488:2<o4U1-J[KIXL'_TEAO iECWE975:7;j7X> I^LL[A(RW@FJ#dJNT@>26686i2_;#DQAO^F-QZOKI&cOMYO3136=5d=R8&CTBBQK.T]JHD)nLH^J0<<:>0c8Q5)NWGETH#[PIMC,mAGSI5;9:3?n;T2,MZHHWM$^SDBN/hFBPD:6:>4:56[?/H]MKZB)]VCGM"gKAUC?578612_;#DQAO^F-QZOKI&cOMYO312<2=>S7'@UECRJ!U^KOE*oCI]K7=90>9:W3+LYIGVN%YRGCA.kGEQG;9<4:56[?/H]MKZB)]VCGM"gKAUC?538612_;#DQAO^F-QZOKI&cOMYO316<2=>S7'@UECRJ!U^KOE*oCI]K7=50>9:W3+LYIGVN%YRGCA.kGEQG;904:46[?/H]MKZB)]VCGM"gKAUC?5;7>3\:$ER@@_E,V[LJF'`NJXL2=0?3:?P6(AVDDSI Z_HNB+lBF\H69=3?6;T2,MZHHWM$^SDBN/hFBPD:5:7;27X> I^LL[A(RW@FJ#dJNT@>17;7>3\:$ER@@_E,V[LJF'`NJXL2=4?3:?P6(AVDDSI Z_HNB+lBF\H6993?6;T2,MZHHWM$^SDBN/hFBPD:5>7;27X> I^LL[A(RW@FJ#dJNT@>13;7>3\:$ER@@_E,V[LJF'`NJXL2=8?3:?P6(AVDDSI Z_HNB+lBF\H6953?7;T2,MZHHWM$^SDBN/hFBPD:56830Y=!F_OM\@+SXAEK$eIO[A=13:4?<]9%BSCAPD/W\MIG(aMK_M1=>>0;8Q5)NWGETH#[PIMC,mAGSI5992<74U1-J[KIXL'_TEAO iECWE9546830Y=!F_OM\@+SXAEK$eIO[A=17:4?<]9%BSCAPD/W\MIG(aMK_M1=:>0;8Q5)NWGETH#[PIMC,mAGSI59=2<74U1-J[KIXL'_TEAO iECWE9506830Y=!F_OM\@+SXAEK$eIO[A=1;:4?<]9%BSCAPD/W\MIG(aMK_M1=6>0:8Q5)NWGETH#[PIMC,mAGSI595=45Z0.K\JJYC&\UB@L!fD@VB8169901^<"GPNN]G*PYNDH%bHLZN<53=5<=R8&CTBBQK.T]JHD)nLH^J09<1189V4*OXFFUO"XQFL@-j@DRF4=95=45Z0.K\JJYC&\UB@L!fD@VB8129901^<"GPNN]G*PYNDH%bHLZN<57=5<=R8&CTBBQK.T]JHD)nLH^J0981189V4*OXFFUO"XQFL@-j@DRF4==5=45Z0.K\JJYC&\UB@L!fD@VB81>9901^<"GPNN]G*PYNDH%bHLZN<5;=5==R8&CTBBQK.T]JHD)nLH^J090>9:W3+LYIGVN%YRGCA.kGEQG;=94:56[?/H]MKZB)]VCGM"gKAUC?148612_;#DQAO^F-QZOKI&cOMYO353<2=>S7'@UECRJ!U^KOE*oCI]K79>0>9:W3+LYIGVN%YRGCA.kGEQG;==4:56[?/H]MKZB)]VCGM"gKAUC?108612_;#DQAO^F-QZOKI&cOMYO357<2=>S7'@UECRJ!U^KOE*oCI]K79:0>9:W3+LYIGVN%YRGCA.kGEQG;=14:56[?/H]MKZB)]VCGM"gKAUC?1<8602_;#DQAO^F-QZOKI&cOMYO35?3:?P6(AVDDSI Z_HNB+lBF\H6=<3?6;T2,MZHHWM$^SDBN/hFBPD:197;27X> I^LL[A(RW@FJ#dJNT@>56;7>3\:$ER@@_E,V[LJF'`NJXL293?3:?P6(AVDDSI Z_HNB+lBF\H6=83?6;T2,MZHHWM$^SDBN/hFBPD:1=7;27X> I^LL[A(RW@FJ#dJNT@>52;7>3\:$ER@@_E,V[LJF'`NJXL297?3:?P6(AVDDSI Z_HNB+lBF\H6=43?6;T2,MZHHWM$^SDBN/hFBPD:117;37X> I^LL[A(RW@FJ#dJNT@>5:4?<]9%BSCAPD/W\MIG(aMK_M19?>0;8Q5)NWGETH#[PIMC,mAGSI5=:2<74U1-J[KIXL'_TEAO iECWE9156830Y=!F_OM\@+SXAEK$eIO[A=50:4?<]9%BSCAPD/W\MIG(aMK_M19;>0;8Q5)NWGETH#[PIMC,mAGSI5=>2<74U1-J[KIXL'_TEAO iECWE9116830Y=!F_OM\@+SXAEK$eIO[A=54:4?<]9%BSCAPD/W\MIG(aMK_M197>0;8Q5)NWGETH#[PIMC,mAGSI5=22<64U1-J[KIXL'_TEAO iECWE919901^<"GPNN]G*PYNDH%bHLZN<92=5<=R8&CTBBQK.T]JHD)nLH^J05?1189V4*OXFFUO"XQFL@-j@DRF4185=45Z0.K\JJYC&\UB@L!fD@VB8=59901^<"GPNN]G*PYNDH%bHLZN<96=5<=R8&CTBBQK.T]JHD)nLH^J05;1189V4*OXFFUO"XQFL@-j@DRF41<5=45Z0.K\JJYC&\UB@L!fD@VB8=19901^<"GPNN]G*PYNDH%bHLZN<9:=5<=R8&CTBBQK.T]JHD)nLH^J0571199V4*OXFFUO"XQFL@-j@DRF414:56[?/H]MKZB)]VCGM"gKAUC?=58612_;#DQAO^F-QZOKI&cOMYO390<2=>S7'@UECRJ!U^KOE*oCI]K75?0>9:W3+LYIGVN%YRGCA.kGEQG;1:4:56[?/H]MKZB)]VCGM"gKAUC?=18612_;#DQAO^F-QZOKI&cOMYO394<2=>S7'@UECRJ!U^KOE*oCI]K75;0>9:W3+LYIGVN%YRGCA.kGEQG;1>4:56[?/H]MKZB)]VCGM"gKAUC?==8612_;#DQAO^F-QZOKI&cOMYO398<2<>S7'@UECRJ!U^KOE*oCI]K753?n;T2,MZHHWM$^SDBN/hFBPDYCG\^:56[?/H]MKZB)]VCGM"g]ERGW858612_;#DQAO^F-QZOKI&cYI^K[<0<2=>S7'@UECRJ!U^KOE*oUMZO_0?0>9:W3+LYIGVN%YRGCA.kQAVCS4:4:56[?/H]MKZB)]VCGM"g]ERGW818612_;#DQAO^F-QZOKI&cYI^K[<4<2=>S7'@UECRJ!U^KOE*oUMZO_0;0>9:W3+LYIGVN%YRGCA.kQAVCS4>4:m6[?/H]MKZB)]VCGM"g\T@V\@JSS911^<"GPNN]G*PYNDH%b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`=R8&c_^DIPIO>3:46<]9%bX_GH_HL?5569991^<"g[RHE\MK:6884:<6[?/hVQMBYNF5;;>3??;T2,mQTNOVCE0<><>028Q5)n\[CLSD@3116=55=R8&c_^DIPIO>2408682_;#dZ]IF]JJ977>7;;7X> iUPJCZOI48:<2<>4U1-jPWO@W@D7==61119V4*oSZ@MTEC2>08<e?P6(a]XBKRGA<02=55=R8&c_^DIPIO>2558682_;#dZ]IF]JJ97697;;7X> iUPJCZOI48;92<>4U1-jPWO@W@D7=<=1119V4*oSZ@MTEC2>15<24>S7'`^YEJQFN=321;773\:$eY\FG^KM847168:0Y=!fTSKD[LH;98=5==5Z0.kWVLAXAG6:=50>0:W3+lRUANUBB1?>9?d8Q5)n\[CLSD@310<24>S7'`^YEJQFN=314;773\:$eY\FG^KM844668:0Y=!fTSKD[LH;9;85==5Z0.kWVLAXAG6:>>0>0:W3+lRUANUBB1?=4?33?P6(a]XBKRGA<006:46<]9%bX_GH_HL?5709991^<"g[RHE\MK:6:>4m7X> iUPJCZOI4885j6[?/hVQMBYNF5;82k5Z0.kWVLAXAG6:83h4U1-jPWO@W@D7=80i;T2,mQTNOVCE0<81f:W3+lRUANUBB1?8>g9V4*oSZ@MTEC2>8?d8Q5)n\[CLSD@318<f?P6(a]XBKRGA<0<e?P6(a]XBKRGA<32=b>S7'`^YEJQFN=02:c=R8&c_^DIPIO>16;`<]9%bX_GH_HL?668a3\:$eY\FG^KM8729n2_;#dZ]IF]JJ9426o1^<"g[RHE\MK:5>7l0Y=!fTSKD[LH;:>4m7X> iUPJCZOI4;25j6[?/hVQMBYNF5822h5Z0.kWVLAXAG692k5Z0.kWVLAXAG68<3h4U1-jPWO@W@D7?<0i;T2,mQTNOVCE0><1f:W3+lRUANUBB1=<>g9V4*oSZ@MTEC2<4?d8Q5)n\[CLSD@334<e?P6(a]XBKRGA<24=b>S7'`^YEJQFN=14:c=R8&c_^DIPIO>0<;`<]9%bX_GH_HL?7<8b3\:$eY\FG^KM868a3\:$eY\FG^KM8169n2_;#dZ]IF]JJ9266o1^<"g[RHE\MK:3:7l0Y=!fTSKD[LH;<:4m7X> iUPJCZOI4=>5j6[?/hVQMBYNF5>>2k5Z0.kWVLAXAG6?:3h4U1-jPWO@W@D78:0i;T2,mQTNOVCE0961f:W3+lRUANUBB1:6>d9V4*oSZ@MTEC2;>g9V4*oSZ@MTEC2:0?d8Q5)n\[CLSD@350<e?P6(a]XBKRGA<40=b>S7'`^YEJQFN=70:c=R8&c_^DIPIO>60;`<]9%bX_GH_HL?108a3\:$eY\FG^KM8009n2_;#dZ]IF]JJ9306o1^<"g[RHE\MK:207l0Y=!fTSKD[LH;=04n7X> iUPJCZOI4<4m7X> iUPJCZOI4?:5j6[?/hVQMBYNF5<:2k5Z0.kWVLAXAG6=>3h4U1-jPWO@W@D7:>0i;T2,mQTNOVCE0;:1f:W3+lRUANUBB18:>g9V4*oSZ@MTEC296?d8Q5)n\[CLSD@366<e?P6(a]XBKRGA<7:=b>S7'`^YEJQFN=4::`=R8&c_^DIPIO>5:c=R8&c_^DIPIO>44;`<]9%bX_GH_HL?348a3\:$eY\FG^KM8249n2_;#dZ]IF]JJ9146o1^<"g[RHE\MK:0<7l0Y=!fTSKD[LH;?<4m7X> iUPJCZOI4><5j6[?/hVQMBYNF5=<2k5Z0.kWVLAXAG6<43h4U1-jPWO@W@D7;40j;T2,mQTNOVCE0:0i;T2,mQTNOVCE05>1f:W3+lRUANUBB16>>g9V4*oSZ@MTEC272?d8Q5)n\[CLSD@382<e?P6(a]XBKRGA<96=b>S7'`^YEJQFN=:6:c=R8&c_^DIPIO>;2;`<]9%bX_GH_HL?<28a3\:$eY\FG^KM8=>9n2_;#dZ]IF]JJ9>>6l1^<"g[RHE\MK:?6o1^<"g[RHE\MK:>87l0Y=!fTSKD[LH;184m7X> iUPJCZOI4085j6[?/hVQMBYNF5382k5Z0.kWVLAXAG6283h4U1-jPWO@W@D7580i;T2,mQTNOVCE0481f:W3+lRUANUBB178>g9V4*oSZ@MTEC268?d8Q5)n\[CLSD@398<f?P6(a]XBKRGA<8<`?PUBZV\B_DLCE29UGF?<^@O\SYW_Eb9TEWHNFNUDBAW9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:4601\ILYJL=2=f>QBI^OG0<4?>89TADQBD5;5:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;?<_LH\IX2?>c9TAGQB]5;1<374WD@TAP:66k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct:h1TSRVCNL]\[5YXW\:$ER@@_E,V[LJF'\UXXLZ U^LPH12<WVUS@CCP_^3\[ZS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A;>h5P_^ZOJHYXW;UTSX> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFH?l4_^][HKKXWV9TSR[?/H]MKZB)]VCGM"[PRRV,QZVU[]:986QP_YNMIZYX<VUTY=!F_OM\@+SXAEK$YRJ@UU`8evikVg~tR?m;`qzjfYj}qU9n6o|yoa\ip~X;8:0m~wac^vqekuam{U:==5nsxl`[qtffzln~R<>0:cp}keX|{kekk}_2f8gmow{je{iRgca038gmow{je{iRgca^31<67<kac{nae^koeZ750%ice}}loqg\migX~8U;9Ro#NNLF(KIIM883o6mgiqq`kucXaekT=?6#cikswfiwmVcgmRx>_17\e)}dWqidSag|t<Aliuiimg~TBlcj=bjjtvehxlUb`lQ>299MqhdbzVEmSY|fgfgq[Uiu|z7:7@ow_Rgrq`hdmVFnzhb|=09Vw`YUXDy6jlb|e:GmegjbW]xbkjk}_NwwtprXXfx1kocsd9PehvkmVEe1_g|ioe8WdkwdlUOmyoPDdrwl877:=1Nbllce^Qwkwg`mV[~magiibcwmjh:|{n7Io{a^Qbi`YF{V^yejijr<vqq`=Ci}kT\b|{_Vkgpm;73]xbkjk}_QmqpZQnl}bT=0?=8:ObpfnX]gcR?21:AlqkrbzV]bhyfP1<Fjwddkmm1Fmymg_U{saZ7:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]29cgk{l1_~dihes]SkwrX_`ndR<28:ObpfnX]gcR<21:AlqkrbzV]bhyfP2<Fjwddkmm1Fmymg_U{saZ4:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]19cgk{l1_~dihes]SkwrX_`ndR=28:ObpfnX]gcR=21:AlqkrbzV]bhyfP3<Fjwddkmm1Fmymg_U{saZ5:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]09cgk{l1_~dihes]SkwrX_`ndR:28:ObpfnX]gcR:21:AlqkrbzV]bhyfP4<Fjwddkmm1Fmymg_U{saZ2:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]79cgk{l1_~dihes]SkwrX_`ndR;28:ObpfnX]gcR;21:AlqkrbzV]bhyfP5<Fjwddkmm1Fmymg_U{saZ3:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]69cgk{l1_~dihes]SkwrX_`ndR828:ObpfnX]gcR821:AlqkrbzV]bhyfP6<Fjwddkmm1Fmymg_U{saZ0:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]59cgk{l1_~dihes]SkwrX_`ndR928:ObpfnX]gcR921:AlqkrbzV]bhyfP7<Fjwddkmm1Fmymg_U{saZ1:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]49cgk{l1_~dihes]SkwrX_`ndR628:ObpfnX]gcR621:AlqkrbzV]bhyfP8<Fjwddkmm1Fmymg_U{saZ>:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd];9cgk{l1_~dihes]SkwrX_`ndR728:ObpfnX]gcR721:AlqkrbzV]bhyfP9<Fjwddkmm1Fmymg_U{saZ?:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]:9cgk{l1_~dihes]SkwrX_`ndR??=99NeqeoW\dbx~Q>0<38Gjsi|lxT[dj{h^339Aotikfnh6Cntbj\P|vbW8:6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y684lj`~k4Tskdc`tXXfxSZgkti]258><Eh~hdR[aiuq\54;63Je~byk}_VkgpmY694Nbllcee9NeqeoW]s{iR?>=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT=<3iamqf?QtnonoyS]a}t^Uj`qnX9;737@o{ci]VjlrtW886=6M`uovfvZQnl}bT=?3Kircah`b<Eh~hdRZvpd]268df{`iT{dzg_dfdav=Bpjf~hhQIrno\@drfWZ~d~lij_00>bdjtm2^yejijr^RlvqYPam~cS<=28:ObpfnX]gcR?<=09@kphsm{U\eizg_01>@lufjeoo7@o{ci]W}ucX9:7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ745okgh5[rhedawYWg{~T[dj{h^379==Ji}icSX`ftr]2087<Kfexh|PWhfwlZ735Mcxmobjd:ObpfnX\pznS<:2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU:80hnlrg8Pwo`olxT\b|{_VkgpmY6=420Alzlh^WmmquX9<7:7Naznugq[Roc|aU:90Jfs``oaa=Ji}icSYwe^369ggtajU|eyfPeeefw>CkeoiRH}ol]GeqgX[}eymjkP14?eeiub3]xbkjk}_QmqpZQnl}bT=;37;LcwgmYRf`~xS<821:AlqkrbzV]bhyfP17?Gmvgedln0Alzlh^Vzt`Y6>4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[40:nhfxi!mPxegumfcaidcgu0}asvbj3*dWjeyiRzvpd?1(fYjnnUbh0?#c^obnjtX~lxxeb`215.`[hoig{U}i}foo?7(fYe}`foSkyirklj86+kVid~hQbakmq[scu58&hSna}e^ojjjtX~lx68!mPcnpf[hoig{Uj`}fn_wgq9<1+kVxjaRzvpd?2(fYtze;=Sywe<0/gZstmVcezRmck<2/gZstmVx{a0>#c^wpaZruanUdyy3?,b]vw`Yf|jUh`fga=1.`[pubWmkm0>#c^wpaZruan:6=!mPurg\pwo`94:'oR{|e^vqmb4:8%iTy~kPtskd786+kVxiRz}if6>4)eX}zoTxgh5<2/gZstmV~yej820-a\qvcX|{cl;0>#c^wpaZruan26<!mPurg\pwo`14:'oR{|e^vqmb7759&hSx}j_upjc47:8%iTy~kPtskd57;7$jU~hQ{rhe2786+kVxiRz}if3795*dW|ynSy|fg07>4)eX}zoTxghd`vb487+kVxiRz}iffbpd7:8%iTy~kPtskd`drf:4:'oR{|e^vqmbbf|h96<!mPurg\pwo`lh~j80>#c^wpaZruannjxl;20-a\qvcX|{clhlzn6<2/gZstmV~yejjnt`5>4)eX}zoTxghd`vb<86+kVxiRz}iffbpd?:8%iTy~kPtskd`drf997; nQzsd]wvlaci}k:=0>#c^wpaZruannjxl?==1.`[pubW}xbkio{a01>4)eX}zoTxghd`vb51;7$jU~hQ{rhegeqg6=4:'oRjnt`]tmaro58&hSio{a^fftqn:998? nQzsd]deu;7$jUe`k|t`os[qwm4:'oRzfmdqwehvX`nd0==,b]wmhct|hg{Sikti?657*dW|ynS~z`r`ef[tsfd4;'oRz|ep]w}uc:8%iTbxcPtrgr[fhs59&hSy}jq^amp4Ypam~c1<"l_uqfuZei|9U|eizg=0.`[ksjW}yn}R}{augp94*dW|ynSymPmblw95*dW}iTan`{_vkgpm;6$jUntyQlaq]qeqcXefnn1="l_dzw[fgwWyceS`ake<2/gZhreVosxRmnp^rjjv;?$jUntyQlaq]vw`Yumn7: nQaul]neqeoW|dbx~3>,b]wvla7W~coxe3>29.`[qtno8U|eizg=0.`[qtno;U|eizg=0.`[qtno:U|eizg=0.`[qtno=U|eizg=0.`[qtno<U|eizg=0.`[qtno?U|eizg=0.`[qtno>U|eizg=0.`[qtno1U|eizg=0.`[qtno0U|eizg=0.`[qtno8:T{dj{h<3/gZruan;:Szgkti?2(fYsz`m:>Ryfduj>5)eX|{cl=>Qxievk94*dW}xbk<:Pwhfwl87+kV~yej?:_vkgpm;6$jUf<Rzie?3(fYj9V~{ei3>,b]n6Zrwam79 nQb3^vsma;4$jUf8Rzie?7(fYj=V~{ei3:,b]n2Zrwam7= nQb7^vsma;0$jUf4Rzie?;(fYj1V~{ei36,b]n55Ysx`n6=="l_l32[qvnl4;: nQb13]wtlb:9;&hS`?<_urj`874$jUf=9Q{phf>51*dWd;>Sy~fd<36(fYj8V~r|h3>,b]n5Zr~xl7; nQb2^vzt`;7$jUf?Rzvpd?3(fYj<V~r|h3?,b]n1Zr~xl7; nQb6^vzt`;7$jUf;Rzvpd?3(fYj0V~r|h3?,b]n=Zr~xl7; nQb11]w}uc:8%iTa<?Ptxrf95*dWd;9Sywe<2/gZk6;V~r|h3?,b]n51Ysqyo6<!mPm07\p|vb59&hSx}j_lamp5;7$jU~hQbcov295*dW|ynS`mat3?3(fYr{lUfocz<=1.`[pubWdiex93?,b]vw`Yjkg~>1="l_tqf[hei|?7; nQzsd]ngkr059&hSx}j_lamp=;7$jU~hQbcov:95*dW|ynS`mat02>4)eX}zoTan`{10?3(fYr{lUfocz>2<2/gZstmVghby?<=1.`[pubWdiex<:20-a\qvcXejd=83?,b]ngkr7W~coxe3>,b]ngkr6W~coxe3>,b]ngkr5W~coxe3>,b]ngkr4W~coxe3>,b]ngkr3W~coxe3>,b]ngkr2W~coxe3>,b]ngkr1W~coxe3>,b]ngkr0W~coxe3>,b]ngkr?W~coxe3>,b]ngkr>W~coxe3>,b]ngkr68V}bhyf21-a\ifhs98U|eizg=0.`[hei|88T{dj{h<3/gZkdf};8Szgkti?2(fYjkg~:8Ryfduj>5)eXejd=8Qxievk94*dWjeey|niov\p|vb5lri`nl-a\a}gjxeoThh}fgo?eeiub$t9:7nffpralt`YndhU}=R>:_`.#\ljnfq*HC_K/Gdlfvdrhz);?"974cnwmp`tX901hcx`{es]1b>etWkxjaRmnsbcga44<kzUi~lcPc`q`eacX{?;:7n}Pbscn[vojxeoT;?7;bq\fwgjWzcf|akPs7]qehd608=0o~Qmr`o\wlkwdlUx:R|nmc;b?fuXkomgyy:n;bq\gcak}}?h7n}Pcgeoqq0X9j1hRmigmww2Z4f3jyTokicuu52f>etWjeexacbtq\|vrpg{aj~b{adg9`wZeh}g~n~Rc`dj`8gvY`ignTb>0:ap[bgilVyy`R}9_033?fuXohdoS~|c_r4\642<kzUlmcjPssn\w3Ytm}U:=95ls^ebjaYtzeUx:R}jt^02`>etWnkehR}}l^q5[vjnklUecR|m^32a>etWnkehR}}l^q5[vjnklUecR|m^335`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]254c<kzUlmcjPssn\w3Ytd`inScaPrqo\577b3jyTkl`k_rpo[v0X{echiR``_srn[456m2ixSjoad^qqhZu1WzfbohQao^psiZ739l1hRinne]pviYt>VygenkPnn]qthY6=8o0o~Qhaof\wwjX{?Ux`dmj_om\vukX9?;n7n}Pg`lg[vtkWz<Tagle^ll[wvjW8=:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV8:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV9:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV>:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV?:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV<:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV=:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV2:h6m|_fcm`ZuudVy=S~bfcd]mkZtweV3h7n}Pg`lg|Zuudo1hRinnez\wwjX{?=0o~Qcuu44?fuXe|r956m|_lw{600?3jyTaxv9469`wZkrp120o~Qbuy]2<>etWdsS?64cr]nq}Y402ixS`{w_5`8gvYumncxxh|<;efn`>ccolUoiykltnpe?ljfWjk{Sljkrfgm57=ndhUhm}Qltsn\c7Ytyo1b`lQlaq]ppjtfol20eaoPcnpf=>okiVgjxnf>1:koeZkf|jbTobcmioge?ljfWdkoeQlol`la>okiVgjxnfPg`lgb>okiVgjxnfPg`lg|`=ndhUyi~k{_bvqhd=ndhUxxlzzs028migX|{khiRlzfggqa>okiV~yejQbauakg>okiV~yejm`ne33?ljfW}xbknaad^nvpg=ndhU~dihes08jj753yxdkobm``oaZbbdhs:=6vl6rn{30+aon>38 =r@Ar1add<HIrom44I:382Vdb2m3i6?=k:0106d632;li<:uad8;95>hc1h0=7)j68;f:6>{T1>0o5o4=3e82764f8=09jo>n;efb=?6=93;p_ok5d8`966b=9:99m=:52g`3<>pS:<31<7?51;46a~Uem3n2n7<<d;3077g7<38mn=64$e5g>74>3-88i7ji1:`ge<<72h?18k9564gxLa1e3-8n97jn9:X4e?4|9:0947s+d859`de<,>o1hlo4$cf9`dd<,;?=6=5+2479`<e<a;286=4+25296=4<f;9m6=54i3:2>5<#:=:1>5<4n31e>4=<a;2;6=4+25296=4<f;9m6?54i35e>5<#:=:1>5<4n31e>6=<a;=n6=4+25296=4<f;9m6954i35g>5<#:=:1>5<4n31e>0=<a;=h6=4+25296=4<f;9m6;54i35a>5<#:=:1>5<4n31e>2=<a;=26=4+25296=4<f;9m6554i35;>5<#:=:1>5<4n31e><=<a;=<6=4+25296=4<f;9m6l54i355>5<#:=:1>5<4n31e>g=<a;=>6=4+25296=4<f;9m6n54i357>5<#:=:1>5<4n31e>a=<a;=86=4+25296=4<f;9m6h54i351>5<#:=:1>5<4n31e>c=<a;=:6=4+25296=4<f;9m6<>4;h044?6=,;>;6?6=;o00b?7632c9:h4?:%074?4?:2d9?k4>2:9j63b=83.98=4=839m66`=9:10e?8l:18'616=:180b?=i:068?l41j3:1(?:?:3:1?k44n3;>76g=6`83>!438383>6`=3g822>=n:?31<7*=4181<7=i::l1=:54i34;>5<#:=:1>5<4n31e>4><3`8=;7>5$363>7>53g88j7?6;:k123<72-8?<7<72:l17c<6i21b>;;50;&105<50;1e>>h51c98m7c4290/>9>52908j75a28i07d<j2;29 7272;297c<<f;3g?>o5m80;6)<;0;0;6>h5;o0:i65f2d294?"5<9094?5a22d95c=<a;nm6=4+25296=4<f;9m6?>4;h0ga?6=,;>;6?6=;o00b?4632c9hi4?:%074?4?:2d9?k4=2:9j6ae=83.98=4=839m66`=::10e?jm:18'616=:180b?=i:368?l4ci3:1(?:?:3:1?k44n38>76g=d983>!438383>6`=3g812>=n:m=1<7*=4181<7=i::l1>:54i3f5>5<#:=:1>5<4n31e>7><3`8o97>5$363>7>53g88j7<6;:k1`1<72-8?<7<72:l17c<5i21b>i=50;&105<50;1e>>h52c98m7b5290/>9>52908j75a2;i07d<k1;29 7272;297c<<f;0g?>o5l90;6)<;0;0;6>h5;o09i65f2bd94?"5<9094?5a22d96c=<a;io6=4+25296=4<f;9m6>>4;h0`g?6=,;>;6?6=;o00b?5632c9oo4?:%074?4?:2d9?k4<2:9j6fg=83.98=4=839m66`=;:10e?m6:18'616=:180b?=i:268?l4d03:1(?:?:3:1?k44n39>76g=c683>!438383>6`=3g802>=n:j<1<7*=4181<7=i::l1?:54i3a6>5<#:=:1>5<4n31e>6><3`8h87>5$363>7>53g88j7=6;:k1g7<72-8?<7<72:l17c<4i21b>n?50;&105<50;1e>>h53c98m7e7290/>9>52908j75a2:i07d<mf;29 7272;297c<<f;1g?>o5jl0;6)<;0;0;6>h5;o08i65f2cf94?"5<9094?5a22d97c=<a;hh6=4+25296=4<f;9m69>4;h0af?6=,;>;6?6=;o00b?2632c9nl4?:%074?4?:2d9?k4;2:9j6g?=83.98=4=839m66`=<:10e?l8:18'616=:180b?=i:568?l4e>3:1(?:?:3:1?k44n3>>76g=b483>!438383>6`=3g872>=n:k>1<7*=4181<7=i::l18:54i3`0>5<#:=:1>5<4n31e>1><3`8i>7>5$363>7>53g88j7:6;:k1f4<72-8?<7<72:l17c<3i21b>o>50;&105<50;1e>>h54c98m7ga290/>9>52908j75a2=i07d<ne;29 7272;297c<<f;6g?>o5ij0;6)<;0;0;6>h5;o0?i65f2``94?"5<9094?5a22d90c=<a;kj6=4+25296=4<f;9m68>4;h0b=?6=,;>;6?6=;o00b?3632c9m54?:%074?4?:2d9?k4:2:9j6d1=83.98=4=839m66`==:10e?o9:18'616=:180b?=i:468?l4f=3:1(?:?:3:1?k44n3?>76g=a583>!438383>6`=3g862>=n:h91<7*=4181<7=i::l19:54i3c2>5<#:=:1>5<4n31e>0><3`8j<7>5$363>7>53g88j7;6;:k1=c<72-8?<7<72:l17c<2i21b>4k50;&105<50;1e>>h55c98m7?c290/>9>52908j75a2<i07d<6c;29 7272;297c<<f;7g?>o51k0;6)<;0;0;6>h5;o0>i65f28c94?"5<9094?5a22d91c=<a;326=4+25296=4<f;9m6;>4;h0:<?6=,;>;6?6=;o00b?0632c95;4?:%074?4?:2d9?k492:9j6<3=83.98=4=839m66`=>:10e?7;:18'616=:180b?=i:768?l4>;3:1(?:?:3:1?k44n3<>76g=9383>!438383>6`=3g852>=n:0;1<7*=4181<7=i::l1::54i3;3>5<#:=:1>5<4n31e>3><3`83j7>5$363>7>53g88j786;:k1<`<72-8?<7<72:l17c<1i21b>5j50;&105<50;1e>>h56c98m7>e290/>9>52908j75a2?i07d<7a;29 7272;297c<<f;4g?>o5000;6)<;0;0;6>h5;o0=i65f29:94?"5<9094?5a22d92c=<a;2<6=4+25296=4<f;9m6:>4;h0;2?6=,;>;6?6=;o00b?1632c9484?:%074?4?:2d9?k482:9j6=2=83.98=4=839m66`=?:10e?9n:18'616=:180b?=i:668?l41n3:1(?:?:3:1?k44n3=>76g=e583>!438383>6`=3g842>=n:m31<7*=4181<7=i::l1;:54i3af>5<#:=:1>5<4n31e>2><3`8h?7>5$363>7>53g88j796;:k1f=<72-8?<7<72:l17c<0i21b>lj50;&105<50;1e>>h57c98m7g5290/>9>52908j75a2>i07d<67;29 7272;297c<<f;5g?>o50j0;6)<;0;0;6>h5;o0<i65f27694?"5<9094?5a22d93c=<#k?1<7*=418a0>h5;o0;76%m3;29 7272k>0b?=i:098/g4=83.98=4m4:l17c<532!i=7>5$363>g2<f;9m6>54+c294?"5<90i86`=3g87?>-fn3:1(?:?:c68j75a2<10'lj50;&105<e<2d9?k49;:)bg?6=,;>;6o:4n31e>2=<#hh1<7*=418a0>h5;o0376%na;29 7272k>0b?=i:898/d?=83.98=4m4:l17c<f32!j47>5$363>g2<f;9m6o54+`594?"5<90i86`=3g8`?>-f>3:1(?:?:c68j75a2m10'l;50;&105<e<2d9?k4j;:)b0?6=,;>;6o:4n31e>c=<#h81<7*=418a0>h5;o0:<65$a083>!4383h?7c<<f;32?>-f83:1(?:?:c68j75a28807&7i:18'616=j=1e>>h51298/<c=83.98=4m4:l17c<6<21 5i4?:%074?d33g88j7?:;:):g?6=,;>;6o:4n31e>40<3"3i6=4+2529f1=i::l1=:54+8c94?"5<90i86`=3g82<>=,100;6)<;0;`7?k44n3;276%mc;29 7272k>0b?=i:0c8?.de290/>9>5b59m66`=9k10'oo50;&105<e<2d9?k4>c:9(f<<72-8?<7l;;o00b?7c32!i47>5$363>g2<f;9m6<k4;*`4>5<#:=:1n95a22d95c=<#ho1<7*=418a0>h5;o09=65$a283>!4383h?7c<<f;01?>->03:1(?:?:c68j75a2;907d6?:188/g0=83.98=4m4:l17c<5821ih4850;394?6|,;o>6i9l;If:0>Nc?k1d>>m50;9~fa?2290:6=4?{%0f1?7c82Bo595Gd6`8k4ea2900qo:97;293?6=8r.9i84=2g9K`<2<@m=i7)<<b;ffb>"6m90<7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd3i;0;6>4?:1y'6`3=:;h0Di7;;If4f>"5;k0oik5+1d295dc<,;>:6io>;h3g6?6=3`;o?7>5;n00e?6=3th?:54?:283>5}#:l?1>?l4He;7?Mb0j2.9?o4keg9'5`6=:01b=i<50;9j5a5=831d>>o50;9~f10129086=4?{%0f1?45j2Bo595Gd6`8 75e2mom7)?j0;10?l7c:3:17d?k3;29?j44i3:17pl78083><<729q/>h;524f8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c<h7>5;h:3>5<<akl1<75`25694?=h:=?1<75rb9:5>5<>290;w)<j5;06`>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`;3c<72;0;6=u+2d7967g<@m3?7Ej8b:&17g<cmo1/=h>5109j5a4=831d>>o50;9~f0`0290?6=4?{%0f1?45k2Bo595Gd6`8 75e2mom7)?j0;5`?!4393nj=6g>d383>>o6l:0;66g>d583>>i5;h0;66sm4bc94?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm4e594?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm4b694?2=83:p(?k::312?Mb><2Bo;o5f1e094?=n9m91<75f24694?=h::k1<75rb5f;>5<0290;w)<j5;01b>Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5g:>5<0290;w)<j5;01b>Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5aa>5<3290;w)<j5;005>Nc1=1Ch:l4i0f1>5<<a8n86=44i377>5<<g;9j6=44}c6`7?6=<3:1<v*=e48177=Ol0>0Di9m;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`7`<<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<b3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`7ad<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<b3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`7gc<72=0;6=u+2d79667<@m3?7Ej8b:k2`7<722c:h>4?::k111<722e9?l4?::a0`e=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0cd=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0ab=83>1<7>t$3g6>7563An286Fk7c9j5a4=831b=i=50;9j602=831d>>o50;9~f1e2290?6=4?{%0f1?44:2Bo595Gd6`8m4b52900e<j<:188m7332900c?=n:188yg2ci3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg2bl3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg2c83:187>50z&1a0<5;81Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<<a;29?xd3ml0;6:4?:1y'6`3=:;l0Di7;;If4f>"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd3nj0;6:4?:1y'6`3=:;l0Di7;;If4f>"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd3m:0;694?:1y'6`3=::;0Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e<j21<7:50;2x 7c22;997Ej64:Jg3g=n9m81<75f1e194?=n:<>1<75`22c94?=zj=om6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=lo6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=o?6=4;:183!4b=388=6Fk959K`2d<a8n96=44i0f0>5<<a;??6=44o31b>5<<uk>mi7>57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk?;:7>57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk>m87>54;294~"5m<09?<5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th?h?4?:583>5}#:l?1>><4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8il50;594?6|,;o>6?<i;If:0>Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8k>50;594?6|,;o>6?<i;If:0>Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8i?50;694?6|,;o>6?=>;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9h>:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:d9j5a4=831b=i=50;9j5a2=831b=i;50;9j5a0=831b=i950;9l66g=831vn9hi:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:d9j5a4=831b=i=50;9j5a2=831b=i;50;9j5a0=831b=i950;9l66g=831vn9k::187>5<7s-8n97<<1:Jg=1=Ol>h0e<j=:188m4b42900e?;;:188k75f2900qo:l9;290?6=8r.9i84=339K`<2<@m=i7d?k2;29?l7c;3:17d<:4;29?j44i3:17pl;f383>2<729q/>h;523d8La?33An<n6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl:0183>2<729q/>h;523d8La?33An<n6*>e18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;e783>1<729q/>h;52238La?33An<n6g>d383>>o6l:0;66g=5583>>i5;h0;66sm51394?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm51594?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm4g:94?2=83:p(?k::312?Mb><2Bo;o5f1e094?=n9m91<75f24694?=h::k1<75rb5f6>5<3290;w)<j5;006>Nc1=1Ch:l4i0f1>5<<a8n86=44i377>5<<g;9j6=44}c6e7?6=?3:1<v*=e4816c=Ol0>0Di9m;%3f4?c<a8n96=44i0f0>5<<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<g;9j6=44}c736?6=?3:1<v*=e4816c=Ol0>0Di9m;%3f4?c<a8n96=44i0f0>5<<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<g;9j6=44}c6f3?6=<3:1<v*=e48174=Ol0>0Di9m;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`646<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<b3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`64=<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<b3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`7b<<72=0;6=u+2d79667<@m3?7Ej8b:k2`7<722c:h>4?::k111<722e9?l4?::a0a0=83>1<7>t$3g6>7553An286Fk7c9j5a4=831b=i=50;9j602=831d>>o50;9~f063290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f06>290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1`f290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e<j<:188m7332900c?=n:188yg37i3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg37j3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83o0e<j=:188m4b42900e<j;:188m4b22900e<j9:188m4b02900c?=n:188yg37=3:187>50z&1a0<5;81Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<<a;29?xd3m10;694?:1y'6`3=::80Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e<mi1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e<lh1<7850;2x 7c22;8n7Ej64:Jg3g=#9l:1?:5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=h::k1<75rb9:4>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm89094?2=83:p(?k::0a0?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl78583>1<729q/>h;51b18La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo679;290?6=8r.9i84>c29K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831b>9950;9l612=831vn56?:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi45j50;694?6|,;o>6<m:;If:0>Nc?k1/>>l5ddd8 4c728;0e:l50;9jfc<722c9994?::m101<722wi4:k50;694?6|,;o>6<m<;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th8>?4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo==0;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb23f>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a74e=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd49h0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9:47>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>?9:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm30694?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`055<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg57m3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj::h6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?=o50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<0983>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c132?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f663290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;981<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8<=4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo<ie;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb3db>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a6c>=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd5n?0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk8m87>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn?h=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm2g294?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`1a`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg4bk3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj;oj6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi>h650;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<e383>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1f4?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6bb290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;mi1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8hl4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=k8;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb2f5>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7a2=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4l;0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9o<7>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>ml:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm3bc94?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0g=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5d>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:i?6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?n<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<c183>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1aa?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6dd290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;kk1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8n;4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=m4;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb2`1>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7g6=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4il0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9jo7>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>on:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm3`:94?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0e3<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5f<3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:k;6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?4k50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<9b83>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c1:e?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f6??290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;0<1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8594?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=62;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb2;3>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7=c=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd40h0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9347>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>69:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm39694?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0<7<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5?83:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:=n6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?:m50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<7`83>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c14<?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f613290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;>81<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8;=4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=9e;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb24`>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a73g=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4>10;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9=:7>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>8;:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm37094?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`01`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg52k3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:?j6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?8650;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<5783>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c160?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f635290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;<:1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th88h4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo=;c;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb26;>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a710=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd4<=0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk9?>7>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>:?:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm32g94?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`07f<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg54i3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:936=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?>850;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<3383>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c104?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f64b290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;;i1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8>l4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4keg9'5`6=:2c887>5;h16>5<<a:<1<75f3983>>o?83:17dli:188k7232900qo==8;293?6=8r.9i84=5c9K`<2<@m=i7)<<b;ffb>"6m9097d=;:188m63=831b?;4?::k0<?6=3`2;6=44icd94?=h:=>1<75rb205>5<0290;w)<j5;06f>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a772=83=1<7>t$3g6>73e3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f8183>>oen3:17b<;4;29?xd49;0;6:4?:1y'6`3=:<h0Di7;;If4f>"5;k0oik5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<<uk8mo7>57;294~"5m<099o5Gd868La1e3-88n7jjf:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>k;:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a1:1<75fbg83>>i5<=0;66sm3bg94?1=83:p(?k::37a?Mb><2Bo;o5+22`9```<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0f=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g<cmo1/=h>52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5f:3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nnj6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<<akl1<75`25694?=zj:2h6=48:183!4b=38>n6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?:850;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<6183>2<729q/>h;524`8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<<g;>?6=44}c17e?6=?3:1<v*=e4811g=Ol0>0Di9m;%00f?bbn2.:i=4=;h17>5<<a:?1<75f3783>>o403:17d6?:188mg`=831d>9:50;9~f653290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e:l<1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8>>4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c115?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:;m6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm30f94?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd49k0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=>9;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f67029086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?<;50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`054<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk9;j7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb22g>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;9h1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<0883>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg57?3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>>::180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a755=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8<<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c0eb?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj;li6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm2g;94?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd5n>0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo<i5;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f7`429086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi>k?50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`1ac<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk8nh7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb3ga>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e:l31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<e283>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg5b93:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>ji:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a7ab=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8ho4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1g=?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:n<6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm3e794?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd4l:0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=k1;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f6ec29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?nl50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`0g<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk9h;7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb2a6>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;j91<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<c083>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg5en3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>lk:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a7gd=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8n:4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1a1?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:h86=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm3c394?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd4io0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=nd;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f6ge29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?l750;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`0e2<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk9j97>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb2c2>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;0l1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<9e83>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg5>j3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>76:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a7<1=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8584?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c1:7?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:3:6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm39d94?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd40k0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=79;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f6>029086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?5;50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`0<6<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk93=7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb25e>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;>n1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<7c83>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg5013:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>9::180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a725=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8;<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c15b?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:<o6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm37`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd4>00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=97;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f60229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?;=50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`01c<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk9>h7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb27a>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;<31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<5683>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg52=3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>;<:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a707=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th88k4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c17`?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:>26=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm35594?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd4<<0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo=;3;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f62629086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?>h50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`07a<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk98n7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb21:>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;:=1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<3283>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg5493:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn><i:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a77b=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th8>o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<g;>?6=44}c11=?6=;3:1<v*=e482ff=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75`25694?=zj:8<6=4<:183!4b=3;io6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>i5<=0;66sm33794?5=83:p(?k::0``?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17b<;4;29?xd49:0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188k7232900qo<id;297?6=8r.9i84>bb9K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831d>9:50;9~f6c229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::m101<722wi?nh50;194?6|,;o>6<ll;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3f8?87>5;|`0f<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44o367>5<<uk9j?7>53;294~"5m<0:nn5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=h:=>1<75rb2:g>5<4290;w)<j5;3ag>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66a=4583>>{e;>=1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?j43<3:17pl<6083>6<729q/>h;51ca8La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900c?:;:188yg53j3:1?7>50z&1a0<6jj1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9l612=831vn>=::180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722e9894?::a6`1=8391<7>t$3g6>4dd3An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;n070?6=3th24k4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:=5<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th25<4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:=7<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th25>4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj03?6=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xd>1<0;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f<?129026=4?{%0f1?42l2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xdf9:0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln1583>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf9;0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln1483>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnl?9:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thj=:4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh;36=46:183!4b=38>h6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3thj=<4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b60<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj>;4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b61<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj>:4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh836=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xdf:00;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd4f29026=4?{%0f1?42l2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xdf::0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln3683>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf;10;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln3783>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf;00;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd5f290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`b7g<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`1`>5<>290;w)<j5;06`>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`b70<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj844?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b0d<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj854?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b0g<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`6`>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pln4e83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnl:j:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a>n1<75f8183>>oen3:17b<;4;29?j43=3:17pln4683>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf=k0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln5b83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf=h0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln5e83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnl;j:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thj9k4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh<;6=46:183!4b=38>h6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3thj944?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b2a<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj:h4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b2f<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj:k4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh=;6=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xdf?80;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd1529026=4?{%0f1?42l2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xdf>k0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln7g83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf090;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln7d83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdf080;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~fd>5290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`b<6<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`:7>5<>290;w)<j5;06`>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`b3a<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj5<4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b=7<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3thj5=4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`b=6<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb`;7>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pln9483>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnl79:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a>n1<75f8183>>oen3:17b<;4;29?j43=3:17pln8g83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdfi:0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17plna583>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xdfi;0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17plna483>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnlo9:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thjm:4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjhk36=46:183!4b=38>h6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3thjm<4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:e6<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2m94?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:e7<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2m84?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj0k=6=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xd>i>0;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f<g?29026=4?{%0f1?42l2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xd>i80;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl6b483>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>j?0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl6b583>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>j>0;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f<d?290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`:f<<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8`b>5<>290;w)<j5;06`>Nc1=1Ch:l4$31a>aca3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`:f6<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2o:4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:g=<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2o;4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:g<<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb8ab>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl6cc83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn4ml:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a>n1<75f8183>>oen3:17b<;4;29?j43=3:17pl6c483>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>l00;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl6d`83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>l10;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl6dc83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn4jl:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th2hi4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj0nn6=46:183!4b=38>h6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th2h:4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:ag<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2in4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:ad<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th2ii4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj0on6=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xd>mo0;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f<`729026=4?{%0f1?42l2Bo595Gd6`8 75e2mom7)?j0;08m62=831b?84?::k02?6=3`936=44i6f94?=n090;66gmf;29?j43<3:17b<;5;29?xd>m00;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl6fb83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>no0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln0183>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd>nl0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pln0083>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vnl>=:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3thj<>4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zjh:?6=46:183!4b=38>h6Fk959K`2d<,;9i6iki;%3f4?4<a:>1<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th2ji4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`:bg<72=0;6=u+2d795f3<@m3?7Ej8b:&17g<cmo1/=h>5109j3g<722cij7>5;h060?6=3f8?87>5;|`4a`<72:0;6=u+2d7967d<@m3?7Ej8b:&17g<cmo1/=h>51b9'617=l0l0e<j=:188m4b42900c?=n:188yg1d83:197>50z&1a0<5:m1Ch4:4He5a?!44j3nnj6*>e18a?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c383>0<729q/>h;523f8La?33An<n6*=3c8gac=#9l:1=o>4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44o31b>5<<uk=h?7>55;294~"5m<09>i5Gd868La1e3-88n7jjf:&2a5<5i2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f2=83?1<7>t$3g6>74c3An286Fk7c9'66d=lll0(<k?:0`b?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c483>0<729q/>h;523f8La?33An<n6*=3c8gac=#9l:1=o64i0f1>5<<a8n86=44i0f7>5<<a8n>6=44o31b>5<<uk=h:7>55;294~"5m<09>i5Gd868La1e3-88n7jjf:&2a5<f3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`4g2<72<0;6=u+2d7967b<@m3?7Ej8b:&17g<cmo1/=h>52:k2`7<722c:h>4?::k2`1<722c:h84?::m17d<722wi;n650;794?6|,;o>6?<k;If:0>Nc?k1/>>l5ddd8 4c7201b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f2e>290>6=4?{%0f1?45l2Bo595Gd6`8 75e2mom7)?j0;3`<>o6l;0;66g>d283>>o6l=0;66g>d483>>i5;h0;66sm7bc94?3=83:p(?k::30g?Mb><2Bo;o5+22`9```<,8o;6<<4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44o31b>5<<uk=h=7>55;294~"5m<09>i5Gd868La1e3-88n7jjf:&2a5<a3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`;5a<72;0;6=u+2d79672<@m3?7Ej8b:&17g<cmo1b=i?50;9l66g=831vn:hk:180>5<7s-8n97<=b:Jg=1=Ol>h0(?=m:ege?!7b83;h7d?k2;29?l7c;3:17b<<a;29?xd0mm0;6>4?:1y'6`3=:;h0Di7;;If4f>"5;k0oik5+1d296<=#:=;1h4j4i0f1>5<<a8n86=44o31b>5<<uk2:o7>53;294~"5m<09>o5Gd868La1e3-88n7jjf:&2a5<6il1/>9?5d8d8m4b52900e<j<:188k75f2900qo6>5;291?6=8r.9i84=2e9K`<2<@m=i7)<<b;ffb>"6m90:<6*=408g=a=n9m81<75f1e194?=n9m>1<75f1e794?=h::k1<75rb6ga>5<0290;w)<j5;01b>Nc1=1Ch:l4$0g3>4dc3`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88m7>5;|`4af<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<6jm1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f=70290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mom7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`;5=<72=0;6=u+2d795f6<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`=o6=44icd94?=h:=>1<75rb935>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm7g694?3=83:p(?k::0`e?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17d79:188mg`=831d>9:50;9~f2ca290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::k102<722e9894?::a3c5=83?1<7>t$3g6>4da3An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h;5>5<<akl1<75`25694?=zj>l96=4;:183!4b=3;h<6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75f7e83>>oen3:17b<;4;29?xd?>=0;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oik5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f2cf290?6=4?{%0f1?45=2Bo595Gd6`8 75e2mom7)?j0;38m<0=831bnk4?::k2gc<722e9894?::a<4c=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk2:j7>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm7b`94?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg1dk3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi;nj50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c5`a?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e?jl1<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo9k0;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a3a7=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk=o>7>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm7e194?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg1c<3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi;h950;694?6|,;o>6<m?;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<<uk=mo7>54;294~"5m<0:o=5Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e?oh1<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg>4>3:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nnj6g8f;29?l45>3:17b<<a;29?xd?;:0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl73`83>6<729q/>h;5d818La?33An<n6*=3c8gac=n?o0;66g=2783>>i5;h0;66sm82594?2=83:p(?k::313?Mb><2Bo;o5+22`9```<a>l1<75fc283>>o5==0;66a=2683>>{e0:o1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhh4i6d94?=n:;<1<75`22c94?=zj19i6=4;:183!4b=388<6Fk959K`2d<,;9i6iki;h5e>5<<aj91<75f24694?=h:;=1<75rb961>5<4290;w)<j5;f:7>Nc1=1Ch:l4$31a>aca3`=m6=44i305>5<<g;9j6=44}c:0b?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk2?:7>53;294~"5m<0o5>5Gd868La1e3-88n7jjf:k4b?6=3`89:7>5;n00e?6=3th38>4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`;0d<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cmo1b;k4?::k163<722e9?l4?::a<11=83>1<7>t$3g6>7573An286Fk7c9'66d=lll0e:h50;9jg6<722c9994?::m162<722wi49k50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddd8m2`=831b>?850;9l66g=831vn5:m:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:ege?l1a2900en=50;9j602=831d>?950;9~f=3529086=4?{%0f1?b>;2Bo595Gd6`8 75e2mom7d9i:188m7412900c?=n:188yg>3n3:187>50z&1a0<5;91Ch4:4He5a?!44j3nnj6g8f;29?le42900e?;;:188k7402900qo6:6;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffb>o0n3:17d<=6;29?j44i3:17pl75283>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd?=00;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oik5f7g83>>o5:?0;66a=3`83>>{e0:?1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk2857>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi4>j50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo6;1;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm85794?4=83:p(?k::30b?Mb><2Bo;o5+22`9```<,8o;6<m4i0f1>5<<g;9j6=44}c:7=?6=:3:1<v*=e4816d=Ol0>0Di9m;%00f?bbn2.:i=4>c:k2`7<722e9?l4?::a<1b=8381<7>t$3g6>74f3An286Fk7c9'66d=lll0(<k?:0a8m4b52900c?=n:188yg>293:1>7>50z&1a0<5:h1Ch4:4He5a?!44j3nnj6*>e182g>o6l;0;66a=3`83>>{e0<?1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk2>47>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi4>:50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c:0<?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e0:i1<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo6;0;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a<12=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk2?47>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm85a94?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg>283:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi48:50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c:63?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e=;81<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhh4i6d94?=n:;<1<75`22c94?=zj<;m6=4;:183!4b=388<6Fk959K`2d<,;9i6iki;h5e>5<<aj91<75f24694?=h:;=1<75rb405>5<4290;w)<j5;f:7>Nc1=1Ch:l4$31a>aca3`=m6=44i305>5<<g;9j6=44}c717?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk?9m7>53;294~"5m<0o5>5Gd868La1e3-88n7jjf:k4b?6=3`89:7>5;n00e?6=3th>>:4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4keg9j3c<722ch?7>5;h060?6=3f89;7>5;|`66`<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g<cmo1b;k4?::k163<722e9?l4?::a17d=83>1<7>t$3g6>7573An286Fk7c9'66d=lll0e:h50;9jg6<722c9994?::m162<722wi9><50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddd8m2`=831b>?850;9l66g=831vn8<i:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:ege?l1a2900en=50;9j602=831d>?950;9~f05129086=4?{%0f1?b>;2Bo595Gd6`8 75e2mom7d9i:188m7412900c?=n:188yg34;3:187>50z&1a0<5;91Ch4:4He5a?!44j3nnj6g8f;29?le42900e?;;:188k7402900qo;<a;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffb>o0n3:17d<=6;29?j44i3:17pl:3683>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd2;l0;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oik5f7g83>>o5:?0;66a=3`83>>{e=:h1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhh4i6d94?=nk:0;66g=5583>>i5:>0;66sm55094?5=83:p(?k::e;0?Mb><2Bo;o5+22`9```<a>l1<75f23494?=h::k1<75rb41e>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>aca3`=m6=44ib194?=n:<>1<75`23594?=zj<>>6=4<:183!4b=3n2?6Fk959K`2d<,;9i6iki;h5e>5<<a;8=6=44o31b>5<<uk?9=7>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi9?;50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo;=9;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm53f94?4=83:p(?k::30b?Mb><2Bo;o5+22`9```<,8o;6<m4i0f1>5<<g;9j6=44}c705?6=:3:1<v*=e4816d=Ol0>0Di9m;%00f?bbn2.:i=4>c:k2`7<722e9?l4?::a163=8381<7>t$3g6>74f3An286Fk7c9'66d=lll0(<k?:0a8m4b52900c?=n:188yg3413:1>7>50z&1a0<5:h1Ch4:4He5a?!44j3nnj6*>e182g>o6l;0;66a=3`83>>{e=:n1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk??=7>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi99:50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo;=0;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a172=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk?947>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm53a94?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg3483:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi9>:50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c70<?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e=:i1<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo;;0;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a115=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk=i<7>53;294~"5m<09>o5Gd868La1e3-88n7jjf:&2a5<512c:h?4?::k2`6<722e9?l4?::a3d`=83>1<7>t$3g6>74d3An286Fk7c9'66d=lll0(<k?:0`2?l7c:3:17d?k3;29?l7c<3:17b<<a;29?xd0il0;684?:1y'6`3=:;n0Di7;;If4f>"5;k0oik5+1d295f?<,;>:6io?;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;n00e?6=3th<4;4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<484?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<494?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<4>4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<4?4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<4<4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<4=4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<;k4?:583>5}#:l?1=n;4He;7?Mb0j2.9?o4keg9'5`6=981b;o4?::kab?6=3`8>87>5;n070?6=3th<5h4?:283>5}#:l?1>?l4He;7?Mb0j2.9?o4keg9'5`6=9j1b=i<50;9j5a5=831d>>o50;9~f2g4290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mom7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`4e7<72=0;6=u+2d795f6<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`=o6=44icd94?=h:=>1<75rb6c7>5<2290;w)<j5;3ab>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=n?m0;66g66;29?lda2900c?:;:188yg1>n3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2g2290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mom7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<<uk=3n7>53;294~"5m<09>o5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::k2`6<722e9?l4?::a3<4=83>1<7>t$3g6>4e73An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<g;>?6=44}c5:5?6=<3:1<v*=e482g5=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>i5<=0;66sm78294?2=83:p(?k::0a3?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo97f;290?6=8r.9i84>c19K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::m101<722wi;4=50;794?6|,;o>6<li;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`3=6=44icd94?=h:=>1<75rb6:`>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm78694?3=83:p(?k::0`e?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17d79:188mg`=831d>9:50;9~f34b290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`572<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb715>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl:fd83>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn8hk:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th>jn4?:583>5}#:l?1>>?4He;7?Mb0j2.9?o4keg9j5a4=831b=i=50;9j602=831d>>o50;9~f356290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`575<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb70e>5<3290;w)<j5;005>Nc1=1Ch:l4$31a>aca3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th=<84?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj?:?6=4n:183!4b=38>i6Fk959K`2d<R>k1=v==:|&17g<cmo1/=h>54:k;4?6=3`hm6=44i6f94?=h:=>1<75`25494?=n;l0;6)<;0;1g?k44n3:07d=l:18'616=;m1e>>h51:9j7g<72-8?<7=k;o00b?4<3`9j6=4+25297a=i::l1?65f3883>!43839o7c<<f;68?xd18:0;694?:1y'6`3=::;0Di7;;If4f>"5;k0oik5f1e094?=n9m91<75f24694?=h::k1<75rb701>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl92083>d<729q/>h;524g8La?33An<n6T8a;3x77<z,;9i6iki;%3f4?2<a1:1<75fbg83>>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<<f;18?l5>290/>9>53e9m66`=<21vn;<?:18b>5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oik5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th==k4?:`83>5}#:l?1>8k4He;7?Mb0j2P<m7?t338~ 75e2mom7)?j0;68m=6=831bnk4?::k4`?6=3f8?87>5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<<f;38?l5e290/>9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj?;h6=4;:183!4b=388=6Fk959K`2d<,;9i6iki;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`55a<72=0;6=u+2d79667<@m3?7Ej8b:&17g<cmo1b=i<50;9j5a5=831b>8:50;9l66g=831vn;?j:187>5<7s-8n97<<2:Jg=1=Ol>h0(?=m:ege?l7c:3:17d?k3;29?l42<3:17b<<a;29?xd1990;6l4?:1y'6`3=:<o0Di7;;If4f>\0i3;p??4r$31a>aca3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f36a290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8gac=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<<f;08?l5f290/>9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`54`<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:ege?!7b83>0e5>50;9jfc<722c<h7>5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb72g>5<f290;w)<j5;06a>Nc1=1Ch:l4Z6c95~552t.9?o4keg9'5`6=<2c3<7>5;h`e>5<<a>n1<75`25694?=h:=<1<75f3d83>!43839o7c<<f;28?l5d290/>9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl90`83>1<729q/>h;52238La?33An<n6*=3c8gac=n9m81<75f1e194?=n:<>1<75`22c94?=zj?:i6=4;:183!4b=388=6Fk959K`2d<,;9i6iki;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`54f<72=0;6=u+2d79664<@m3?7Ej8b:&17g<cmo1b=i<50;9j5a5=831b>8:50;9l66g=831vn;=::18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:ege?!7b8380e>:50;9j70<722c8:7>5;h1;>5<<a>n1<75f8183>>oen3:17b<;4;29?j43=3:17pl92e83><<729q/>h;524f8La?33An<n6*=3c8gac=#9l:1>6g<4;29?l522900e>850;9j7=<722c<h7>5;h:3>5<<akl1<75`25694?=h:=?1<75rb645>5<3290;w)<j5;01g>Nc1=1Ch:l4$31a>aca3-;n<7?k;h3g6?6=3`;o?7>5;h3g0?6=3f88m7>5;|`6bg<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c42f?6=<3:1<v*=e482g6=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75f25594?=h:=>1<75rb72:>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm77:94?2=83:p(?k::0a0?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl86683>1<729q/>h;51b18La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo;ia;290?6=8r.9i84>c29K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831b>9950;9l612=831vn8h6:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi;;;50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c55e?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e??31<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo8nd;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2dg=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk<j:7>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm6`094?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0>m3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:4o50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c4:2?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e>081<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo87e;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2=g=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk<ji7>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi:ll50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo8n7;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm6`194?4=83:p(?k::30b?Mb><2Bo;o5+22`9```<,8o;6<m4i0f1>5<<g;9j6=44}c4:b?6=:3:1<v*=e4816d=Ol0>0Di9m;%00f?bbn2.:i=4>c:k2`7<722e9?l4?::a2<d=8381<7>t$3g6>74f3An286Fk7c9'66d=lll0(<k?:0a8m4b52900c?=n:188yg0>?3:1>7>50z&1a0<5:h1Ch4:4He5a?!44j3nnj6*>e182g>o6l;0;66a=3`83>>{e>091<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk<3j7>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi:5l50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo8nf;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffb>o0n3:17d<=6;29?j44i3:17pl9a883>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd1ij0;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oik5f7g83>>o5:?0;66a=3`83>>{e>h?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhh4i6d94?=nk:0;66g=5583>>i5:>0;66sm6`:94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9```<a>l1<75f23494?=h::k1<75rb7c2>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>aca3`=m6=44ib194?=n:<>1<75`23594?=zj?k?6=4<:183!4b=3n2?6Fk959K`2d<,;9i6iki;h5e>5<<a;8=6=44o31b>5<<uk<2h7>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c4b4?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbn2c<j7>5;h012?6=3f88m7>5;|`5=<<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th=5n4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4keg9j3c<722c9>;4?::m17d<722wi:4;50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddd8m2`=831bo>4?::k111<722e9>:4?::a2<>=8391<7>t$3g6>a?43An286Fk7c9'66d=lll0e:h50;9j670=831d>>o50;9~f3?6290?6=4?{%0f1?4482Bo595Gd6`8 75e2mom7d9i:188mf5=831b>8:50;9l671=831vn;7;:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:ege?l1a2900e?<9:188k75f2900qo87d;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffb>o0n3:17dm<:188m7332900c?<8:188yg0>83:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nnj6g8f;29?l45>3:17b<<a;29?xd1000;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl98b83>6<729q/>h;5d818La?33An<n6*=3c8gac=n?o0;66g=2783>>i5;h0;66sm6g394?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0bm3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:ho50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c4f2?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e>l81<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo8ke;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a2ag=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk<o:7>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm6e094?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg0dm3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi:k<50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo8jf;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm6d`94?4=83:p(?k::30b?Mb><2Bo;o5+22`9```<,8o;6<m4i0f1>5<<g;9j6=44}c4f3?6=:3:1<v*=e4816d=Ol0>0Di9m;%00f?bbn2.:i=4>c:k2`7<722e9?l4?::a2`5=8381<7>t$3g6>74f3An286Fk7c9'66d=lll0(<k?:0a8m4b52900c?=n:188yg0cn3:1>7>50z&1a0<5:h1Ch4:4He5a?!44j3nnj6*>e182g>o6l;0;66a=3`83>>{e>mh1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk<o;7>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi:i=50;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo8lf;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm6g194?5=83:p(?k::e;0?Mb><2Bo;o5+22`9```<a>l1<75f23494?=h::k1<75rb7gg>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>aca3`=m6=44ib194?=n:<>1<75`23594?=zj?l;6=4<:183!4b=3n2?6Fk959K`2d<,;9i6iki;h5e>5<<a;8=6=44o31b>5<<uk<n57>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c4fg?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbn2c<j7>5;h012?6=3f88m7>5;|`5a0<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th=i54?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4keg9j3c<722c9>;4?::m17d<722wi:h?50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddd8m2`=831bo>4?::k111<722e9>:4?::a2`2=8391<7>t$3g6>a?43An286Fk7c9'66d=lll0e:h50;9j670=831d>>o50;9~f3bc290?6=4?{%0f1?4482Bo595Gd6`8 75e2mom7d9i:188mf5=831b>8:50;9l671=831vn;k?:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:ege?l1a2900e?<9:188k75f2900qo8k9;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffb>o0n3:17dm<:188m7332900c?<8:188yg0ck3:1?7>50z&1a0<c1:1Ch4:4He5a?!44j3nnj6g8f;29?l45>3:17b<<a;29?xd1l<0;694?:1y'6`3=:::0Di7;;If4f>"5;k0oik5f7g83>>od;3:17d<:4;29?j45?3:17pl9d983>6<729q/>h;5d818La?33An<n6*=3c8gac=n?o0;66g=2783>>i5;h0;66sm6e394?2=83:p(?k::313?Mb><2Bo;o5+22`9```<a>l1<75fc283>>o5==0;66a=2683>>{e>m>1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhh4i6d94?=n:;<1<75`22c94?=zj?io6=4;:183!4b=388<6Fk959K`2d<,;9i6iki;h5e>5<<aj91<75f24694?=h:;=1<75rb7f3>5<4290;w)<j5;f:7>Nc1=1Ch:l4$31a>aca3`=m6=44i305>5<<g;9j6=44}c440?6=<3:1<v*=e4816f=Ol0>0Di9m;%00f?bbn2.:i=48c:k2`7<722c:h>4?::k2`1<722e9?l4?::a227=83>1<7>t$3g6>74d3An286Fk7c9'66d=lll0(<k?:6a8m4b52900e<j<:188m4b32900c?=n:188yg01m3:187>50z&1a0<5:j1Ch4:4He5a?!44j3nnj6*>e184g>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e>?h1<7:50;2x 7c22;8h7Ej64:Jg3g=#::h1hhh4$0g3>2e<a8n96=44i0f0>5<<a8n?6=44o31b>5<<uk<=47>54;294~"5m<09>n5Gd868La1e3-88n7jjf:&2a5<0k2c:h?4?::k2`6<722c:h94?::m17d<722wi:;;50;694?6|,;o>6?<l;If:0>Nc?k1/>>l5ddd8 4c72>i0e<j=:188m4b42900e<j;:188k75f2900qo892;290?6=8r.9i84=2b9K`<2<@m=i7)<<b;ffb>"6m90<o6g>d383>>o6l:0;66g>d583>>i5;h0;66sm64d94?2=83:p(?k::30`?Mb><2Bo;o5+22`9```<,8o;6:m4i0f1>5<<a8n86=44i0f7>5<<g;9j6=44}c46g?6=<3:1<v*=e4816f=Ol0>0Di9m;%00f?bbn2.:i=48c:k2`7<722c:h>4?::k2`1<722e9?l4?::a20?=83>1<7>t$3g6>74d3An286Fk7c9'66d=lll0(<k?:6a8m4b52900e<j<:188m4b32900c?=n:188yg0an3:1?7>50z&1a0<5:k1Ch4:4He5a?!44j3nnj6*>e1807>"5<80o5h5f1e094?=n9m91<75`22c94?=zj?ln6=4<:183!4b=389n6Fk959K`2d<,;9i6iki;%3f4?543-8?=7j6e:k2`7<722c:h>4?::m17d<722wi:8950;:94?6|,;o>6?;l;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n?m0;66g70;29?lda2900c?:;:188yg03l3:187>50z&1a0<6k91Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722e9894?::a21e=83>1<7>t$3g6>4e73An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<g;>?6=44}c47f?6=<3:1<v*=e482g5=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>i5<=0;66sm65c94?2=83:p(?k::0a3?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo8;9;290?6=8r.9i84>c19K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::m101<722wi:9650;694?6|,;o>6<m?;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<<uk<>:7>54;294~"5m<0:o=5Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e><?1<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg02<3:187>50z&1a0<6k91Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722e9894?::a205=83>1<7>t$3g6>4e73An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<g;>?6=44}c466?6=<3:1<v*=e482g5=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>i5<=0;66sm64394?2=83:p(?k::0a3?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188k7232900qo8:0;290?6=8r.9i84>c19K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::m101<722wi:9h50;694?6|,;o>6<m?;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<<uk<?i7>54;294~"5m<0:o=5Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e>==1<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg00;3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f312290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::k102<722e9894?::a226=83>1<7>t$3g6>4e43An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;h073?6=3f8?87>5;|`537<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c45`?6=<3:1<v*=e482g6=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<akl1<75f25594?=h:=>1<75rb74e>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm67c94?2=83:p(?k::0a0?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl96b83>1<729q/>h;51b18La?33An<n6*=3c8gac=#9l:1=6g8b;29?lda2900e?:8:188k7232900qo897;290?6=8r.9i84>c29K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188mg`=831b>9950;9l612=831vn;86:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:ege?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi:;:50;694?6|,;o>6<m<;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=:;4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4keg9'5`6=92c<n7>5;h`e>5<<a;><6=44o367>5<<uk<==7>54;294~"5m<0:o>5Gd868La1e3-88n7jjf:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?<86=4;:183!4b=3;h?6Fk959K`2d<,;9i6iki;%3f4?7<a>h1<75fbg83>>o5<>0;66a=4583>>{e><o1<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd1>90;694?:1y'6`3=9j90Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188m7202900c?:;:188yg02j3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f33c290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mom7)?j0;38m2d=831bnk4?::k102<722e9894?::a20>=83>1<7>t$3g6>4e43An286Fk7c9'66d=lll0(<k?:09j3g<722cij7>5;h073?6=3f8?87>5;|`51d<72=0;6=u+2d795f5<@m3?7Ej8b:&17g<cmo1/=h>51:k4f?6=3`hm6=44i364>5<<g;>?6=44}c51<?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk=957>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c51e?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk=9n7>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c51g?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk=9h7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=lll0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e?;o1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbn2.:i=4;;h:3>5<<akl1<75f7e83>>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=<a:k1<7*=4180`>h5;o0876g<9;29 7272:n0b?=i:598yg15n3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9```<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=4<d:l17c<732c8o7>5$363>6b<f;9m6<54i2`94?"5<908h6`=3g81?>o4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a366=8331<7>t$3g6>73c3An286Fk7c9'66d=lll0(<k?:39j71<722c897>5;h15>5<<a:21<75f7e83>>o?83:17dli:188k7232900c?:::188yg16<3:187>50z&1a0<5;91Ch4:4He5a?!44j3nnj6g8f;29?le42900e?;;:188k7402900qo9>5;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffb>o0n3:17dm<:188m7332900c?<8:188yg16>3:187>50z&1a0<5;91Ch4:4He5a?!44j3nnj6g8f;29?le42900e?;;:188k7402900qo9>7;290?6=8r.9i84=319K`<2<@m=i7)<<b;ffb>o0n3:17dm<:188m7332900c?<8:188yg1603:187>50z&1a0<5;91Ch4:4He5a?!44j3nnj6g8f;29?le42900e?;;:188k7402900qo9>9;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhh4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi;<o50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nnj6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6b<f;9m6=54i2a94?"5<908h6`=3g82?>o4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=<uk=:n7>5a;294~"5m<099h5Gd868La1e3S=j6<u<2;'66d=lll0(<k?:59j<5<722cij7>5;h5g>5<<g;>?6=44o365>5<<a:o1<7*=4180`>h5;o0;76g<c;29 7272:n0b?=i:098m6d=83.98=4<d:l17c<532c8m7>5$363>6b<f;9m6>54i2;94?"5<908h6`=3g87?>{e?8i1<7750;2x 7c22;?o7Ej64:Jg3g=#::h1hhh4$0g3>7=n;=0;66g<5;29?l512900e>650;9j3a<722c3<7>5;h`e>5<<g;>?6=44o366>5<<uk=;<7>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c535?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk=;>7>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c537?6=<3:1<v*=e48175=Ol0>0Di9m;%00f?bbn2c<j7>5;ha0>5<<a;??6=44o304>5<<uk=;87>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c531?6=i3:1<v*=e4811`=Ol0>0Di9m;[5b>4}4:3w/>>l5ddd8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<<g;>=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=<a:31<7*=4180`>h5;o0?76sm71494?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jjf:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i5<?0;66g<e;29 7272:n0b?=i:198m6e=83.98=4<d:l17c<632c8n7>5$363>6b<f;9m6?54i2c94?"5<908h6`=3g80?>o413:1(?:?:2f8j75a2=10qo9?7;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhh4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=<a:h1<7*=4180`>h5;o0976g<a;29 7272:n0b?=i:298m6?=83.98=4<d:l17c<332wi;=650;;94?6|,;o>6?;k;If:0>Nc?k1/>>l5ddd8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n?m0;66g70;29?lda2900c?:;:188k7222900qo:8e;297?6=8r.9i84k929K`<2<@m=i7)<<b;ffb>o0n3:17d<=6;29?j44i3:17pl;7c83>1<729q/>h;52228La?33An<n6*=3c8gac=n?o0;66gl3;29?l42<3:17b<=7;29?xd30;0;6>4?:1y'6`3=l090Di7;;If4f>"5;k0oik5f7g83>>o5:?0;66a=3`83>>{e<>l1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhh4i6d94?=nk:0;66g=5583>>i5:>0;66sm49494?5=83:p(?k::e;0?Mb><2Bo;o5+22`9```<a>l1<75f23494?=h::k1<75rb5:0>5<3290;w)<j5;004>Nc1=1Ch:l4$31a>aca3`=m6=44ib194?=n:<>1<75`23594?=zj=2j6=4<:183!4b=3n2?6Fk959K`2d<,;9i6iki;h5e>5<<a;8=6=44o31b>5<<uk>3;7>54;294~"5m<09?=5Gd868La1e3-88n7jjf:k4b?6=3`i86=44i377>5<<g;8<6=44}c6;a?6=;3:1<v*=e48g=6=Ol0>0Di9m;%00f?bbn2c<j7>5;h012?6=3f88m7>5;|`7<g<72=0;6=u+2d79666<@m3?7Ej8b:&17g<cmo1b;k4?::k`7?6=3`8>87>5;n013?6=3th?5?4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4keg9j3c<722c9>;4?::m17d<722wi85h50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddd8m2`=831bo>4?::k111<722e9>:4?::a0<0=8391<7>t$3g6>a?43An286Fk7c9'66d=lll0e:h50;9j670=831d>>o50;9~f1?4290?6=4?{%0f1?4482Bo595Gd6`8 75e2mom7d9i:188mf5=831b>8:50;9l671=831vn976:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:ege?l1a2900e?<9:188k75f2900qo:8d;296?6=8r.9i84=2`9K`<2<@m=i7)<<b;ffb>"6m90:o6g>d383>>i5;h0;66sm49394?4=83:p(?k::30b?Mb><2Bo;o5+22`9```<,8o;6<m4i0f1>5<<g;9j6=44}c6;1?6=:3:1<v*=e4816d=Ol0>0Di9m;%00f?bbn2.:i=4>c:k2`7<722e9?l4?::a0=?=8381<7>t$3g6>74f3An286Fk7c9'66d=lll0(<k?:0a8m4b52900c?=n:188yg2?l3:1>7>50z&1a0<5:h1Ch4:4He5a?!44j3nnj6*>e182g>o6l;0;66a=3`83>>{e<0;1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhh4$0g3>4e<a8n96=44o31b>5<<uk>297>52;294~"5m<09>l5Gd868La1e3-88n7jjf:&2a5<6k2c:h?4?::m17d<722wi84650;094?6|,;o>6?<n;If:0>Nc?k1/>>l5ddd8 4c728i0e<j=:188k75f2900qo:8c;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a0=6=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk>387>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm49:94?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg2?k3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi84>50;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c6:0?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e<0=1<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo:n3;291?6=8r.9i84>c59K`<2<@m=i7)<<b;ffb>"6m90:7d9m:188m2b=831bnk4?::k102<722e9894?::a0d2=83?1<7>t$3g6>4e33An286Fk7c9'66d=lll0(<k?:09j3g<722c<h7>5;h`e>5<<a;><6=44o367>5<<uk>j97>55;294~"5m<0:o95Gd868La1e3-88n7jjf:&2a5<63`=i6=44i6f94?=njo0;66g=4683>>i5<=0;66sm4`494?3=83:p(?k::0a7?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>o0l3:17dli:188m7202900c?:;:188yg2f?3:197>50z&1a0<6k=1Ch4:4He5a?!44j3nnj6*>e182?l1e2900e:j50;9jfc<722c98:4?::m101<722wi8l650;794?6|,;o>6<m;;If:0>Nc?k1/>>l5ddd8 4c7281b;o4?::k4`?6=3`hm6=44i364>5<<g;>?6=44}c6b=?6==3:1<v*=e482g1=Ol0>0Di9m;%00f?bbn2.:i=4>;h5a>5<<a>n1<75fbg83>>o5<>0;66a=4583>>{e<hk1<7;50;2x 7c228i?7Ej64:Jg3g=#::h1hhh4$0g3>4=n?k0;66g8d;29?lda2900e?:8:188k7232900qo9k5;290?6=8r.9i84=2b9K`<2<@m=i7)?j0;3bb>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e=?:1<7850;2x 7c22;8n7Ej64:Jg3g=#9l:146g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>i5;h0;66sm57394?3=83:p(?k::30g?Mb><2Bo;o5+1d29`>o6l;0;66g>d283>>o6l=0;66g>d483>>i5;h0;66sm57094?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm57194?1=83:p(?k::30e?Mb><2Bo;o5+1d29a>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66sm57694?0=83:p(?k::30f?Mb><2Bo;o5+1d295fg<a8n96=44i0f0>5<<a8n?6=44i0f6>5<<a8n=6=44o31b>5<<uk2;?7>57;294~"5m<09>k5Gd868La1e3-;n<7?l7:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi4=m50;194?6|,;o>6?<m;If:0>Nc?k1/=h>5109j5a4=831b=i=50;9l66g=831vn5>k:184>5<7s-8n97<=f:Jg=1=Ol>h0(<k?:0`:?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl71383>3<729q/>h;523g8La?33An<n6*>e18`4>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66a=3`83>>{e0891<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1=nk4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk2:87>57;294~"5m<09>k5Gd868La1e3-;n<7m4i0f1>5<<a8n86=44i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44o31b>5<<uk2;47>57;294~"5m<09>k5Gd868La1e3-;n<7?ld:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi4=750;694?6|,;o>6?<l;If:0>Nc?k1/=h>51`d8m4b52900e<j<:188m4b32900c?=n:188yg>7j3:1;7>50z&1a0<5:o1Ch4:4He5a?!7b83=27d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<<a;29?xd0nl0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oik5+1d295>o0j3:17dli:188m7202900c?:;:188yg>783:187>50z&1a0<6k:1Ch4:4He5a?!44j3nnj6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f=6629086=4?{%0f1?45j2Bo595Gd6`8 4c728kn7)<;1;fb4>o6l;0;66g>d283>>i5;h0;66sm87794?2=83:p(?k::0a0?Mb><2Bo;o5+22`9```<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl76783>6<729q/>h;523`8La?33An<n6*>e182e`=n9m81<75f1e194?=h::k1<75rb6g6>5<3290;w)<j5;3`7>Nc1=1Ch:l4$31a>aca3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm7d494?5=83:p(?k::30a?Mb><2Bo;o5+1d295dc<a8n96=44i0f0>5<<g;9j6=44}c:24?6=>3:1<v*=e4816`=Ol0>0Di9m;%3f4?7d92c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::m17d<722wi4<?50;794?6|,;o>6?<k;If:0>Nc?k1/=h>51b48m4b52900e<j<:188m4b32900e<j::188k75f2900qo6?f;293?6=8r.9i84=2g9K`<2<@m=i7)?j0;3`g>o6l;0;66g>d283>>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;h0;66smbe394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66smc8;94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek021<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8594?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek0<1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8794?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek0>1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8194?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek081<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8294?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1l1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9g94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1n1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9a94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1h1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9c94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek131<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9:94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1=1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9794?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1>1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9194?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek181<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc9394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1:1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc6d94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek>o1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc6f94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek>i1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcg;94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eko21<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcg594?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eko<1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcg794?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eko>1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcg194?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eko81<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcg394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eko:1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcdg94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekln1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcda94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{eklh1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcdc94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekl31<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcd:94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekl=1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcd494?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekl?1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcd194?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekl81<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcd394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekl:1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smced94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekmo1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcef94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekmi1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce`94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekmk1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce:94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekm=1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce494?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekm?1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce694?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekm91<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce094?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekm;1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smce294?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekjl1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcbf94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekji1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb`94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekjk1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb;94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekj21<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb594?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekj<1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb794?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekj>1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb094?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekj;1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcb294?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekkl1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smccg94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekkn1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcca94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekkh1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smccc94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekk31<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcc594?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekk<1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcc794?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekk>1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcc194?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekk81<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcc394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekk:1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`d94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekho1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`a94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekhh1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`c94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekh31<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`:94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekh=1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`494?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekh?1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`694?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekh91<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekh:1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8d94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek0o1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8f94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek0i1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8`94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek0k1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc8394?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek1<1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcgc94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekll1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcd694?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekm31<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcbg94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekj91<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smcc:94?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ekhn1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66smc`094?5=83:p(?k::0``?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66a=4583>>{ek>h1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66sm91d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm92d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm95d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm94d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm97d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm96d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm99f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm90d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm93f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm89d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ba94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8df94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8cc94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8c`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ca94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8cf94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8cg94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8cd94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8bc94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8b`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8bf94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8bg94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8bd94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ec94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8e`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ea94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ef94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8eg94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ed94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8dc94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8d`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8da94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8dg94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8dd94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8gc94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8g`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8ga94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8gf94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8gg94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8gd94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm91f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88794?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88`94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88g94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm88d94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`294?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`394?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`094?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`194?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`694?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`494?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`594?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`:94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`;94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`c94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8``94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`a94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm8`f94?2=83:p(?k::0a1?Mb><2Bo;o5+1d2954=#::h1hhh4i6`94?=njo0;66g=4383>>i5<=0;66sm7g294?2=83:p(?k::0`f?Mb><2Bo;o5+1d295>"5;k0oik5f7c83>>o>>3:17dli:188k7232900qo9i1;290?6=8r.9i84>bd9K`<2<@m=i7)?j0;38 75e2mom7d9m:188m<0=831bnk4?::m101<722wi;h750;694?6|,;o>6<m=;If:0>Nc?k1/=h>5109'66d=lll0e:l50;9jfc<722c98?4?::m101<722wi;h650;694?6|,;o>6<lj;If:0>Nc?k1/=h>51:&17g<cmo1b;o4?::k:2?6=3`hm6=44o367>5<<uk2:n7>54;294~"5m<0:nh5Gd868La1e3-;n<7?4$31a>aca3`=i6=44i8494?=njo0;66a=4583>>{e?hn1<7=50;2x 7c228hh7Ej64:Jg3g=#9l:1=<5+22`9```<a>h1<75fbg83>>i5<=0;66sm7`394?2=83:p(?k::0`f?Mb><2Bo;o5+1d295>"5;k0oik5f7c83>>o>>3:17dli:188k7232900qo9n0;290?6=8r.9i84>bd9K`<2<@m=i7)?j0;38 75e2mom7d9m:188m<0=831bnk4?::m101<722wi;5k50;694?6|,;o>6<lj;If:0>Nc?k1/=h>51:&17g<cmo1b;o4?::k:2?6=3`hm6=44o367>5<<uk=3h7>54;294~"5m<0:nh5Gd868La1e3-;n<7?4$31a>aca3`=i6=44i8494?=njo0;66a=4583>>{e09k1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1?<5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj1:>6=4;:183!4b=388=6Fk959K`2d<a8n96=44i0f0>5<<a;??6=44o31b>5<<uk2;:7>57;294~"5m<09>k5Gd868La1e3-;n<7?lb:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi4=950;494?6|,;o>6?<j;If:0>Nc?k1/=h>51b38m4b52900e<j<:188m4b32900e<j::188m4b12900c?=n:188yg2d83:1:h4?:1y'6`3=:=30Di7;;If4f>\0i3ip=94>5;01>75=9o0:i7<?:3395d<613;36<l5}oa2><=ik;027c?9a;28j4?c291/=o851c`8 4d028hi7)?k8;48 4b>2?1/=io56:&2`g<13-;n=784$0g1>3=#9l91:6*>e585?!7b=3<0(<k9:79'5`1=>2.:i549;%3f=?0<,8oj6;5+1d`92>"6mj0=7)?jd;48 4cb2?1/=hh56:&2b5<13-;m=784$0d1>3=#9o91:6*>f585?!7a=3<0(<h9:79'5c1=>2.:j549;%3e=?0<,8lj6;5+1g`92>"6nj0=7)?id;48 4`b2?1/=kh56:&145<13-89=7=4$301>6=#:;91>>74$36b>7043-8>=7<92:&117<0l2.99>48d:&112<c?l1/>8h53:&125<43-n2<7j7f:&g=4<c0o1b>8>50;9j5g3=831b>9l50;9j5g5=831b4n4?::k;b?6=3`8?h7>5;h07a?6=3`n3:7>5$363>a>23g88j7>4;hf;0?6=,;>;6i6:;o00b?7<3f;=<7>5$363>43a3g88j7>4;n36a?6=,;>;6<;i;o00b?7<3f;>h7>5$363>43a3g88j7<4;n36g?6=,;>;6<;i;o00b?5<3f;>n7>5$363>43a3g88j7:4;n36e?6=,;>;6<;i;o00b?3<3f;=57>5$363>43a3g88j784;n35<?6=,;>;6<;i;o00b?1<3f;=;7>5$363>43a3g88j764;n352?6=,;>;6<;i;o00b??<3f;=97>5$363>43a3g88j7o4;n350?6=,;>;6<;i;o00b?d<3f;=?7>5$363>43a3g88j7m4;n356?6=,;>;6<;i;o00b?b<3f;==7>5$363>43a3g88j7k4;n36=?6=,;>;6<;i;o00b?`<3f;j97>5$363>4g33g88j7>4;n3b7?6=,;>;6<o;;o00b?7<3f;jh7>5$363>4gd3g88j7>4;n3bf?6=,;>;6<ol;o00b?7<3`n3i7>5$363>a>c3g88j7>4;hf;g?6=,;>;6i6k;o00b?7<3`?96=4+252914=i::l1<65f5183>!4383?:7c<<f;38?l2a290/>9>5509m66`=:21b9o4?:%074?363g88j7=4;h7b>5<#:=:19<5a22d90>=n=00;6)<;0;72?k44n3?07d;7:18'616==81e>>h56:9j12<72-8?<7;>;o00b?1<3`?=6=4+252914=i::l1465f5483>!4383?:7c<<f;;8?l33290/>9>5509m66`=i21b9>4?:%074?363g88j7l4;h6f>5<#:=:19<5a22d9g>=n9:=1<7*=418273=i::l1<65f12794?"5<90:?;5a22d95>=n98o1<7*=41825a=i::l1<65f10a94?"5<90:=i5a22d95>=n98h1<7*=41825a=i::l1>65f10c94?"5<90:=i5a22d97>=n9831<7*=41825a=i::l1865f10:94?"5<90:=i5a22d91>=n9;=1<7*=41825a=i::l1:65f13494?"5<90:=i5a22d93>=n9;?1<7*=41825a=i::l1465f13694?"5<90:=i5a22d9=>=n9;91<7*=41825a=i::l1m65f13094?"5<90:=i5a22d9f>=n9;;1<7*=41825a=i::l1o65f13294?"5<90:=i5a22d9`>=n98l1<7*=41825a=i::l1i65f10594?"5<90:=i5a22d9b>=nmj0;6)<;0;ga?k44n3:07dkn:18'616=mk1e>>h51:9ja<<72-8?<7km;o00b?4<3`o36=4+2529ag=i::l1?65fe683>!4383oi7c<<f;68?lc1290/>9>5ec9m66`==21bj84?:%074?ce3g88j784;hd7>5<#:=:1io5a22d93>=nn:0;6)<;0;ga?k44n3207dh=:18'616=mk1e>>h59:9jb4<72-8?<7km;o00b?g<3`l;6=4+2529ag=i::l1n65feg83>!4383oi7c<<f;a8?lcb290/>9>5ec9m66`=l21bii4?:%074?ce3g88j7k4;hg6>5<#:=:1io5a22d9b>=n>o0;6)<;0;4f?k44n3:07d8k:18'616=>l1e>>h51:9j2f<72-8?<78j;o00b?4<3`=36=4+25292`=i::l1?65f7683>!4383<n7c<<f;68?l11290/>9>56d9m66`==21b;84?:%074?0b3g88j784;h57>5<#:=:1:h5a22d93>=n?:0;6)<;0;4f?k44n3207d9=:18'616=>l1e>>h59:9j34<72-8?<78j;o00b?g<3`=;6=4+25292`=i::l1n65f6c83>!4383<n7c<<f;a8?j7>;3:1(?:?:0;1?k44n3:07b?61;29 72728397c<<f;38?j7>83:1(?:?:0;1?k44n3807b?7f;29 72728397c<<f;18?j7?m3:1(?:?:0;1?k44n3>07b?7d;29 72728397c<<f;78?j7>k3:1(?:?:0;1?k44n3<07b?6b;29 72728397c<<f;58?j7>i3:1(?:?:0;1?k44n3207b?69;29 72728397c<<f;;8?j7>03:1(?:?:0;1?k44n3k07b?67;29 72728397c<<f;`8?j7>>3:1(?:?:0;1?k44n3i07b?65;29 72728397c<<f;f8?j7><3:1(?:?:0;1?k44n3o07b?7c;29 72728397c<<f;d8?l75n3:1(?:?:00f?k44n3:07d?=d;29 727288n7c<<f;38?xd3ik0;6om50;2x 7c22;>37Ej64:Jg3g=]?h0hw<851681f?4a2;i1?=4=d;05>73=:>09i7<;:|&17g<cmo1eo<4>2:l`6?753g;=m7>4n0;g>5=#9k<1=ol4$0`4>4de3-;o4784$0f:>3=#9mk1:6*>dc85?!7ck3<0(<jk:79'5ac=>2.:hk49;%3f5?0<,8o96;5+1d192>"6m=0=7)?j5;48 4c12?1/=h956:&2a=<13-;n5784$0gb>3=#9lh1:6*>eb85?!7bl3<0(<kj:79'5``=>2.:j=49;%3e5?0<,8l96;5+1g192>"6n=0=7)?i5;48 4`12?1/=k956:&2b=<13-;m5784$0db>3=#9oh1:6*>fb85?!7al3<0(<hj:79'5c`=>2.9<=49;%035?0<,;:96;5+21192>"58=0=7)<?5;48 7612?1/>=956:&14=<13-8;5784$32b>3=#:9h1:6*=0b85?!47l3<0(?>j:79'65`=>2.9==49;%025?0<,;;96;5+20192>"59=0=7)<>5;48 7712?1/><956:&15=<13-8:5784$33b>3=#:8h1:6*=1b85?!46l3<0(??j:79'64`=>2.9>=49;%015?3<,;89685+231966?<,;?:6?8=;%066?1c3-8>?79k;%063?b0m2.9954=5`9'60`==2.9:=4:;%f:4?b?n2.o5<4k8g9j61d=831b4:4?::k2f1<722c98k4?::k;`?6=3`8?o7>5;h07a?6=3`;i>7>5;h31g?6=,;>;6<<m;o00b?6<3`;9m7>5$363>44e3g88j7?4;h31=?6=,;>;6<<m;o00b?4<3`;947>5$363>44e3g88j7=4;hf;7?6=,;>;6i6=;o00b?6<3`n3=7>5$363>a>53g88j7?4;hf;4?6=,;>;6i6=;o00b?4<3`n<j7>5$363>a>53g88j7=4;n365?6=,;>;6<;?;o00b?6<3f;?j7>5$363>4373g88j7?4;n37`?6=,;>;6<;?;o00b?4<3f;?o7>5$363>4373g88j7=4;n37f?6=,;>;6<;?;o00b?2<3f;?m7>5$363>4373g88j7;4;n37=?6=,;>;6<;?;o00b?0<3f;?47>5$363>4373g88j794;n373?6=,;>;6<;?;o00b?><3f;?:7>5$363>4373g88j774;n371?6=,;>;6<;?;o00b?g<3f;?87>5$363>4373g88j7l4;n376?6=,;>;6<;?;o00b?e<3f;?=7>5$363>4373g88j7j4;n374?6=,;>;6<;?;o00b?c<3f;8j7>5$363>4373g88j7h4;n30a?6=,;>;6<;?;o00b?7732e:?i4?:%074?7282d9?k4>1:9l56e=83.98=4>519m66`=9;10c<=m:18'616=9<:0b?=i:018?j74i3:1(?:?:073?k44n3;?76a>3883>!4383;><6`=3g821>=h9<21<7*=418215=i::l1=;54o074>5<#:=:1=8>4n31e>41<3f;>:7>5$363>4373g88j7?7;:m210<72-8?<7?:0:l17c<6121d=8:50;&105<6=91e>>h51`98k434290/>9>51428j75a28h07b?:2;29 72728?;7c<<f;3`?>i6<l0;6)<;0;364>h5;o0:h65`15194?"5<90:9=5a22d95`=<g8936=4+2529506<f;9m6<h4;h67>5<#:=:18>5a22d94>=n<;0;6)<;0;60?k44n3;07d:>:18'616=<:1e>>h52:9j05<72-8?<7:<;o00b?5<3`>o6=4+252906=i::l1865f4b83>!4383>87c<<f;78?l2e290/>9>5429m66`=>21b8l4?:%074?243g88j794;h6:>5<#:=:18>5a22d9<>=n<10;6)<;0;60?k44n3307d:8:18'616=<:1e>>h5a:9j03<72-8?<7:<;o00b?d<3`>>6=4+252906=i::l1o65f3g83>!4383>87c<<f;f8?l06290/>9>5619m66`=821b9k4?:%074?073g88j7?4;h7f>5<#:=:1:=5a22d96>=n=m0;6)<;0;43?k44n3907d8n:18'616=>91e>>h54:9j2<<72-8?<78?;o00b?3<3`<36=4+252925=i::l1:65f6683>!4383<;7c<<f;58?l01290/>9>5619m66`=021b:84?:%074?073g88j774;h47>5<#:=:1:=5a22d9e>=n>:0;6)<;0;43?k44n3h07d8=:18'616=>91e>>h5c:9j1f<72-8?<78?;o00b?b<3`;;j7>5$363>46b3g88j7>4;h33`?6=,;>;6<>j;o00b?7<3`;;n7>5$363>46b3g88j7<4;h33e?6=,;>;6<>j;o00b?5<3`;;57>5$363>46b3g88j7:4;h33<?6=,;>;6<>j;o00b?3<3`;;;7>5$363>46b3g88j784;h332?6=,;>;6<>j;o00b?1<3`;;97>5$363>46b3g88j764;h330?6=,;>;6<>j;o00b??<3`;;?7>5$363>46b3g88j7o4;h336?6=,;>;6<>j;o00b?d<3`;;<7>5$363>46b3g88j7m4;hde>5<#:=:1==k4n31e>a=<aoo1<7*=41824`=i::l1i65ffe83>!4383;;i6`=3g8e?>oak3:1(?:?:02f?k44n3;;76gib;29 72728:n7c<<f;32?>oai3:1(?:?:02f?k44n3;976gi9;29 72728:n7c<<f;30?>oa03:1(?:?:02f?k44n3;?76gi7;29 72728:n7c<<f;36?>o69?0;6)<;0;33a>h5;o0::65f10794?"5<90:<h5a22d952=<a8;?6=4+252955c<f;9m6<64;h327?6=,;>;6<>j;o00b?7>32c:=?4?:%074?77m2d9?k4>a:9j547=83.98=4>0d9m66`=9k10e<??:18'616=99o0b?=i:0a8?l77k3:1(?:?:02f?k44n3;o76g>0083>!4383;;i6`=3g82a>=nn?0;6)<;0;33a>h5;o0:j65`1`094?"5<90:m<5a22d94>=h9h:1<7*=4182e4=i::l1=65`18d94?"5<90:m<5a22d96>=h90o1<7*=4182e4=i::l1?65f12694?"5<90:?>5a22d94>=n9:81<7*=418276=i::l1=65f12394?"5<90:?>5a22d96>=n9::1<7*=418276=i::l1?65`1`c94?"5<90:m45a22d94>=h9h21<7*=4182e<=i::l1=65`1`594?"5<90:m45a22d96>=h9h<1<7*=4182e<=i::l1?65`19694?"5<90:4>5a22d94>=h9181<7*=4182<6=i::l1=65`19294?"5<90:4>5a22d96>=h9>l1<7*=4182<6=i::l1?65`16g94?"5<90:4>5a22d90>=h9>n1<7*=4182<6=i::l1965`16a94?"5<90:4>5a22d92>=h9>h1<7*=4182<6=i::l1;65`16c94?"5<90:4>5a22d9<>=h9>31<7*=4182<6=i::l1565`16:94?"5<90:4>5a22d9e>=h9>=1<7*=4182<6=i::l1n65`16794?"5<90:4>5a22d9g>=h9>>1<7*=4182<6=i::l1h65`16194?"5<90:4>5a22d9a>=h9>81<7*=4182<6=i::l1j65`16394?"5<90:4>5a22d955=<g8=;6=4+25295=5<f;9m6<?4;n35b?6=,;>;6<6<;o00b?7532e::h4?:%074?7?;2d9?k4>3:9l53b=83.98=4>829m66`=9=10c<8l:18'616=9190b?=i:078?j7?j3:1(?:?:0:0?k44n3;=76a>8`83>!4383;3?6`=3g823>=h9131<7*=4182<6=i::l1=554o0:;>5<#:=:1=5=4n31e>4?<3f;3;7>5$363>4>43g88j7?n;:m2<3<72-8?<7?73:l17c<6j21d=5;50;&105<60:1e>>h51b98k4>6290/>9>51918j75a28n07b?86;29 72728287c<<f;3f?>i6>k0;6)<;0;3;7>h5;o0:j65fd9`94?"5<90o4l5a22d94>=nl131<7*=418g<d=i::l1=65fd9:94?"5<90o4l5a22d96>=nl1=1<7*=418g<d=i::l1?65fde83>!4383nh7c<<f;28?lbe290/>9>5db9m66`=921bh44?:%074?bd3g88j7<4;hf;>5<#:=:1hn5a22d97>=nl>0;6)<;0;f`?k44n3>07dj9:18'616=lj1e>>h55:9j`0<72-8?<7jl;o00b?0<3`n?6=4+2529`f=i::l1;65fd283>!4383nh7c<<f;:8?lb5290/>9>5db9m66`=121bh<4?:%074?bd3g88j7o4;hf3>5<#:=:1hn5a22d9f>=nkl0;6)<;0;f`?k44n3i07dmk:18'616=lj1e>>h5d:9jgf<72-8?<7jl;o00b?c<3`ii6=4+2529`f=i::l1j65fc`83>!4383nh7c<<f;33?>od13:1(?:?:ea8j75a28;07dm7:18'616=lj1e>>h51398mf1=83.98=4kc:l17c<6;21bo;4?:%074?bd3g88j7?;;:k`1?6=,;>;6im4n31e>43<3`o?6=4+2529`f=i::l1=;54id194?"5<90oo6`=3g823>=nm;0;6)<;0;f`?k44n3;376gj1;29 7272mi0b?=i:0;8?lc7290/>9>5db9m66`=9h10eih50;&105<ck2d9?k4>b:9j``<72-8?<7jl;o00b?7d32com7>5$363>ae<f;9m6<j4;hae>5<#:=:1hn5a22d95`=<aj>1<7*=418gg>h5;o0:j65rb5cf>5<ek3:1<v*=e4810==Ol0>0Di9m;[5b>f}6>3;<6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=m:ege?ke62880bn<5139m53g=82d:5i4?;%3a2?7ej2.:n:4>bc9'5a>=>2.:h449;%3ge?0<,8ni6;5+1ea92>"6lm0=7)?ke;48 4ba2?1/=h?56:&2a7<13-;n?784$0g7>3=#9l?1:6*>e785?!7b?3<0(<k7:79'5`?=>2.:il49;%3ff?0<,8oh6;5+1df92>"6ml0=7)?jf;48 4`72?1/=k?56:&2b7<13-;m?784$0d7>3=#9o?1:6*>f785?!7a?3<0(<h7:79'5c?=>2.:jl49;%3ef?0<,8lh6;5+1gf92>"6nl0=7)?if;48 7672?1/>=?56:&147<13-8;?784$327>3=#:9?1:6*=0785?!47?3<0(?>7:79'65?=>2.9<l49;%03f?0<,;:h6;5+21f92>"58l0=7)<?f;48 7772?1/><?56:&157<13-8:?784$337>3=#:8?1:6*=1785?!46?3<0(??7:79'64?=>2.9=l49;%02f?0<,;;h6;5+20f92>"59l0=7)<>f;48 7472?1/>??55:&167<23-89?7<<9:&114<5>;1/>8<57e9'605=?m1/>895d6g8 73?2;?j7)<:f;78 7072<1/h4>5d9d8 a?62m2m7d<;b;29?l>02900e<l;:188m72a2900e5j50;9j61e=831b>9k50;9j5g4=831b=?m50;&105<6:k1e>>h50:9j57g=83.98=4>2c9m66`=921b=?750;&105<6:k1e>>h52:9j57>=83.98=4>2c9m66`=;21bh5=50;&105<c0;1e>>h50:9j`=7=83.98=4k839m66`=921bh5>50;&105<c0;1e>>h52:9j`2`=83.98=4k839m66`=;21d=8?50;&105<6=91e>>h50:9l51`=83.98=4>519m66`=921d=9j50;&105<6=91e>>h52:9l51e=83.98=4>519m66`=;21d=9l50;&105<6=91e>>h54:9l51g=83.98=4>519m66`==21d=9750;&105<6=91e>>h56:9l51>=83.98=4>519m66`=?21d=9950;&105<6=91e>>h58:9l510=83.98=4>519m66`=121d=9;50;&105<6=91e>>h5a:9l512=83.98=4>519m66`=j21d=9<50;&105<6=91e>>h5c:9l517=83.98=4>519m66`=l21d=9>50;&105<6=91e>>h5e:9l56`=83.98=4>519m66`=n21d=>k50;&105<6=91e>>h51198k45c290/>9>51428j75a28;07b?<c;29 72728?;7c<<f;31?>i6;k0;6)<;0;364>h5;o0:?65`12c94?"5<90:9=5a22d951=<g8926=4+2529506<f;9m6<;4;n36<?6=,;>;6<;?;o00b?7132e:9:4?:%074?7282d9?k4>7:9l500=83.98=4>519m66`=9110c<;::18'616=9<:0b?=i:0;8?j72<3:1(?:?:073?k44n3;j76a>5283>!4383;><6`=3g82f>=h9<81<7*=418215=i::l1=n54o06f>5<#:=:1=8>4n31e>4b<3f;??7>5$363>4373g88j7?j;:m27=<72-8?<7?:0:l17c<6n21b894?:%074?243g88j7>4;h61>5<#:=:18>5a22d95>=n<80;6)<;0;60?k44n3807d:?:18'616=<:1e>>h53:9j0a<72-8?<7:<;o00b?2<3`>h6=4+252906=i::l1965f4c83>!4383>87c<<f;48?l2f290/>9>5429m66`=?21b844?:%074?243g88j764;h6;>5<#:=:18>5a22d9=>=n<>0;6)<;0;60?k44n3k07d:9:18'616=<:1e>>h5b:9j00<72-8?<7:<;o00b?e<3`9m6=4+252906=i::l1h65f6083>!4383<;7c<<f;28?l3a290/>9>5619m66`=921b9h4?:%074?073g88j7<4;h7g>5<#:=:1:=5a22d97>=n>h0;6)<;0;43?k44n3>07d86:18'616=>91e>>h55:9j2=<72-8?<78?;o00b?0<3`<<6=4+252925=i::l1;65f6783>!4383<;7c<<f;:8?l02290/>9>5619m66`=121b:94?:%074?073g88j7o4;h40>5<#:=:1:=5a22d9f>=n>;0;6)<;0;43?k44n3i07d;l:18'616=>91e>>h5d:9j55`=83.98=4>0d9m66`=821b==j50;&105<68l1e>>h51:9j55d=83.98=4>0d9m66`=:21b==o50;&105<68l1e>>h53:9j55?=83.98=4>0d9m66`=<21b==650;&105<68l1e>>h55:9j551=83.98=4>0d9m66`=>21b==850;&105<68l1e>>h57:9j553=83.98=4>0d9m66`=021b==:50;&105<68l1e>>h59:9j555=83.98=4>0d9m66`=i21b==<50;&105<68l1e>>h5b:9j556=83.98=4>0d9m66`=k21bjk4?:%074?77m2d9?k4k;:kea?6=,;>;6<>j;o00b?c<3`lo6=4+252955c<f;9m6k54iga94?"5<90:<h5a22d955=<aoh1<7*=41824`=i::l1=<54igc94?"5<90:<h5a22d957=<ao31<7*=41824`=i::l1=>54ig:94?"5<90:<h5a22d951=<ao=1<7*=41824`=i::l1=854i035>5<#:=:1==k4n31e>40<3`;:97>5$363>46b3g88j7?8;:k251<72-8?<7??e:l17c<6021b=<=50;&105<68l1e>>h51898m475290/>9>511g8j75a28k07d?>1;29 72728:n7c<<f;3a?>o6990;6)<;0;33a>h5;o0:o65f11a94?"5<90:<h5a22d95a=<a8::6=4+252955c<f;9m6<k4;hd5>5<#:=:1==k4n31e>4`<3f;j>7>5$363>4g63g88j7>4;n3b4?6=,;>;6<o>;o00b?7<3f;2j7>5$363>4g63g88j7<4;n3:a?6=,;>;6<o>;o00b?5<3`;887>5$363>4543g88j7>4;h306?6=,;>;6<=<;o00b?7<3`;8=7>5$363>4543g88j7<4;h304?6=,;>;6<=<;o00b?5<3f;jm7>5$363>4g>3g88j7>4;n3b<?6=,;>;6<o6;o00b?7<3f;j;7>5$363>4g>3g88j7<4;n3b2?6=,;>;6<o6;o00b?5<3f;387>5$363>4>43g88j7>4;n3;6?6=,;>;6<6<;o00b?7<3f;3<7>5$363>4>43g88j7<4;n34b?6=,;>;6<6<;o00b?5<3f;<i7>5$363>4>43g88j7:4;n34`?6=,;>;6<6<;o00b?3<3f;<o7>5$363>4>43g88j784;n34f?6=,;>;6<6<;o00b?1<3f;<m7>5$363>4>43g88j764;n34=?6=,;>;6<6<;o00b??<3f;<47>5$363>4>43g88j7o4;n343?6=,;>;6<6<;o00b?d<3f;<97>5$363>4>43g88j7m4;n340?6=,;>;6<6<;o00b?b<3f;<?7>5$363>4>43g88j7k4;n346?6=,;>;6<6<;o00b?`<3f;<=7>5$363>4>43g88j7??;:m235<72-8?<7?73:l17c<6921d=;h50;&105<60:1e>>h51398k40b290/>9>51918j75a28907b?9d;29 72728287c<<f;37?>i6>j0;6)<;0;3;7>h5;o0:965`19`94?"5<90:4>5a22d953=<g82j6=4+25295=5<f;9m6<94;n3;=?6=,;>;6<6<;o00b?7?32e:454?:%074?7?;2d9?k4>9:9l5=1=83.98=4>829m66`=9h10c<69:18'616=9190b?=i:0`8?j7?=3:1(?:?:0:0?k44n3;h76a>8083>!4383;3?6`=3g82`>=h9><1<7*=4182<6=i::l1=h54o04a>5<#:=:1=5=4n31e>4`<3`n3n7>5$363>a>f3g88j7>4;hf;=?6=,;>;6i6n;o00b?7<3`n347>5$363>a>f3g88j7<4;hf;3?6=,;>;6i6n;o00b?5<3`no6=4+2529`f=i::l1<65fdc83>!4383nh7c<<f;38?lb>290/>9>5db9m66`=:21bh54?:%074?bd3g88j7=4;hf4>5<#:=:1hn5a22d90>=nl?0;6)<;0;f`?k44n3?07dj::18'616=lj1e>>h56:9j`1<72-8?<7jl;o00b?1<3`n86=4+2529`f=i::l1465fd383>!4383nh7c<<f;;8?lb6290/>9>5db9m66`=i21bh=4?:%074?bd3g88j7l4;haf>5<#:=:1hn5a22d9g>=nkm0;6)<;0;f`?k44n3n07dml:18'616=lj1e>>h5e:9jgg<72-8?<7jl;o00b?`<3`ij6=4+2529`f=i::l1==54ib;94?"5<90oo6`=3g825>=nk10;6)<;0;f`?k44n3;976gl7;29 7272mi0b?=i:018?le1290/>9>5db9m66`=9=10en;50;&105<ck2d9?k4>5:9ja1<72-8?<7jl;o00b?7132cn?7>5$363>ae<f;9m6<94;hg1>5<#:=:1hn5a22d95==<al;1<7*=418gg>h5;o0:565fe183>!4383nh7c<<f;3b?>ocn3:1(?:?:ea8j75a28h07djj:18'616=lj1e>>h51b98mag=83.98=4kc:l17c<6l21bok4?:%074?bd3g88j7?j;:k`0?6=,;>;6im4n31e>4`<3th?n<4?:ca94?6|,;o>6?:7;If:0>Nc?k1Q;l4l{04952<5j38m6?m53181`?412;?1>:4=e;07>x"5;k0oik5ac0826>hd:3;97c?9a;28j4?c291/=o851c`8 4d028hi7)?k8;48 4b>2?1/=io56:&2`g<13-;oo784$0fg>3=#9mo1:6*>dg85?!7b93<0(<k=:79'5`5=>2.:i949;%3f1?0<,8o=6;5+1d592>"6m10=7)?j9;48 4cf2?1/=hl56:&2af<13-;nh784$0gf>3=#9ll1:6*>f185?!7a93<0(<h=:79'5c5=>2.:j949;%3e1?0<,8l=6;5+1g592>"6n10=7)?i9;48 4`f2?1/=kl56:&2bf<13-;mh784$0df>3=#9ol1:6*=0185?!4793<0(?>=:79'655=>2.9<949;%031?0<,;:=6;5+21592>"5810=7)<?9;48 76f2?1/>=l56:&14f<13-8;h784$32f>3=#:9l1:6*=1185?!4693<0(??=:79'645=>2.9=949;%021?0<,;;=6;5+20592>"5910=7)<>9;48 77f2?1/><l56:&15f<13-8:h784$33f>3=#:8l1:6*=2185?!4593?0(?<=:49'675=::30(?;>:341?!42:3=o7)<:3;5g?!42?3n<i6*=59811d=#:<l196*=6186?!b>83n3j6*k908g<c=n:=h1<75f8683>>o6j=0;66g=4g83>>o?l3:17d<;c;29?l43m3:17d?m2;29?l75k3:1(?:?:00a?k44n3:07d?=a;29 727288i7c<<f;38?l7513:1(?:?:00a?k44n3807d?=8;29 727288i7c<<f;18?lb?;3:1(?:?:e:1?k44n3:07dj71;29 7272m297c<<f;38?lb?83:1(?:?:e:1?k44n3807dj8f;29 7272m297c<<f;18?j7293:1(?:?:073?k44n3:07b?;f;29 72728?;7c<<f;38?j73l3:1(?:?:073?k44n3807b?;c;29 72728?;7c<<f;18?j73j3:1(?:?:073?k44n3>07b?;a;29 72728?;7c<<f;78?j7313:1(?:?:073?k44n3<07b?;8;29 72728?;7c<<f;58?j73?3:1(?:?:073?k44n3207b?;6;29 72728?;7c<<f;;8?j73=3:1(?:?:073?k44n3k07b?;4;29 72728?;7c<<f;`8?j73:3:1(?:?:073?k44n3i07b?;1;29 72728?;7c<<f;f8?j7383:1(?:?:073?k44n3o07b?<f;29 72728?;7c<<f;d8?j74m3:1(?:?:073?k44n3;;76a>3e83>!4383;><6`=3g825>=h9:i1<7*=418215=i::l1=?54o01a>5<#:=:1=8>4n31e>45<3f;8m7>5$363>4373g88j7?;;:m27<<72-8?<7?:0:l17c<6=21d=8650;&105<6=91e>>h51798k430290/>9>51428j75a28=07b?:6;29 72728?;7c<<f;3;?>i6=<0;6)<;0;364>h5;o0:565`14694?"5<90:9=5a22d95d=<g8?86=4+2529506<f;9m6<l4;n366?6=,;>;6<;?;o00b?7d32e:8h4?:%074?7282d9?k4>d:9l515=83.98=4>519m66`=9l10c<=7:18'616=9<:0b?=i:0d8?l23290/>9>5429m66`=821b8?4?:%074?243g88j7?4;h62>5<#:=:18>5a22d96>=n<90;6)<;0;60?k44n3907d:k:18'616=<:1e>>h54:9j0f<72-8?<7:<;o00b?3<3`>i6=4+252906=i::l1:65f4`83>!4383>87c<<f;58?l2>290/>9>5429m66`=021b854?:%074?243g88j774;h64>5<#:=:18>5a22d9e>=n<?0;6)<;0;60?k44n3h07d:::18'616=<:1e>>h5c:9j7c<72-8?<7:<;o00b?b<3`<:6=4+252925=i::l1<65f5g83>!4383<;7c<<f;38?l3b290/>9>5619m66`=:21b9i4?:%074?073g88j7=4;h4b>5<#:=:1:=5a22d90>=n>00;6)<;0;43?k44n3?07d87:18'616=>91e>>h56:9j22<72-8?<78?;o00b?1<3`<=6=4+252925=i::l1465f6483>!4383<;7c<<f;;8?l03290/>9>5619m66`=i21b:>4?:%074?073g88j7l4;h41>5<#:=:1:=5a22d9g>=n=j0;6)<;0;43?k44n3n07d??f;29 72728:n7c<<f;28?l77l3:1(?:?:02f?k44n3;07d??b;29 72728:n7c<<f;08?l77i3:1(?:?:02f?k44n3907d??9;29 72728:n7c<<f;68?l7703:1(?:?:02f?k44n3?07d??7;29 72728:n7c<<f;48?l77>3:1(?:?:02f?k44n3=07d??5;29 72728:n7c<<f;:8?l77<3:1(?:?:02f?k44n3307d??3;29 72728:n7c<<f;c8?l77:3:1(?:?:02f?k44n3h07d??0;29 72728:n7c<<f;a8?l`a290/>9>511g8j75a2m10ekk50;&105<68l1e>>h5e:9jba<72-8?<7??e:l17c<a32cmo7>5$363>46b3g88j7??;:kef?6=,;>;6<>j;o00b?7632cmm7>5$363>46b3g88j7?=;:ke=?6=,;>;6<>j;o00b?7432cm47>5$363>46b3g88j7?;;:ke3?6=,;>;6<>j;o00b?7232c:=;4?:%074?77m2d9?k4>6:9j543=83.98=4>0d9m66`=9>10e<?;:18'616=99o0b?=i:0:8?l76;3:1(?:?:02f?k44n3;276g>1383>!4383;;i6`=3g82e>=n98;1<7*=41824`=i::l1=o54i033>5<#:=:1==k4n31e>4e<3`;;o7>5$363>46b3g88j7?k;:k244<72-8?<7??e:l17c<6m21bj;4?:%074?77m2d9?k4>f:9l5d4=83.98=4>a09m66`=821d=l>50;&105<6i81e>>h51:9l5<`=83.98=4>a09m66`=:21d=4k50;&105<6i81e>>h53:9j562=83.98=4>329m66`=821b=><50;&105<6;:1e>>h51:9j567=83.98=4>329m66`=:21b=>>50;&105<6;:1e>>h53:9l5dg=83.98=4>a89m66`=821d=l650;&105<6i01e>>h51:9l5d1=83.98=4>a89m66`=:21d=l850;&105<6i01e>>h53:9l5=2=83.98=4>829m66`=821d=5<50;&105<60:1e>>h51:9l5=6=83.98=4>829m66`=:21d=:h50;&105<60:1e>>h53:9l52c=83.98=4>829m66`=<21d=:j50;&105<60:1e>>h55:9l52e=83.98=4>829m66`=>21d=:l50;&105<60:1e>>h57:9l52g=83.98=4>829m66`=021d=:750;&105<60:1e>>h59:9l52>=83.98=4>829m66`=i21d=:950;&105<60:1e>>h5b:9l523=83.98=4>829m66`=k21d=::50;&105<60:1e>>h5d:9l525=83.98=4>829m66`=m21d=:<50;&105<60:1e>>h5f:9l527=83.98=4>829m66`=9910c<9?:18'616=9190b?=i:038?j71n3:1(?:?:0:0?k44n3;976a>6d83>!4383;3?6`=3g827>=h9?n1<7*=4182<6=i::l1=954o04`>5<#:=:1=5=4n31e>43<3f;3n7>5$363>4>43g88j7?9;:m2<d<72-8?<7?73:l17c<6?21d=5750;&105<60:1e>>h51998k4>?290/>9>51918j75a28307b?77;29 72728287c<<f;3b?>i60?0;6)<;0;3;7>h5;o0:n65`19794?"5<90:4>5a22d95f=<g82:6=4+25295=5<f;9m6<j4;n342?6=,;>;6<6<;o00b?7b32e::o4?:%074?7?;2d9?k4>f:9j`=d=83.98=4k8`9m66`=821bh5750;&105<c0h1e>>h51:9j`=>=83.98=4k8`9m66`=:21bh5950;&105<c0h1e>>h53:9j`a<72-8?<7jl;o00b?6<3`ni6=4+2529`f=i::l1=65fd883>!4383nh7c<<f;08?lb?290/>9>5db9m66`=;21bh:4?:%074?bd3g88j7:4;hf5>5<#:=:1hn5a22d91>=nl<0;6)<;0;f`?k44n3<07dj;:18'616=lj1e>>h57:9j`6<72-8?<7jl;o00b?><3`n96=4+2529`f=i::l1565fd083>!4383nh7c<<f;c8?lb7290/>9>5db9m66`=j21boh4?:%074?bd3g88j7m4;hag>5<#:=:1hn5a22d9`>=nkj0;6)<;0;f`?k44n3o07dmm:18'616=lj1e>>h5f:9jgd<72-8?<7jl;o00b?7732ch57>5$363>ae<f;9m6<?4;ha;>5<#:=:1hn5a22d957=<aj=1<7*=418gg>h5;o0:?65fc783>!4383nh7c<<f;37?>od=3:1(?:?:ea8j75a28?07dk;:18'616=lj1e>>h51798m`5=83.98=4kc:l17c<6?21bi?4?:%074?bd3g88j7?7;:kf5?6=,;>;6im4n31e>4?<3`o;6=4+2529`f=i::l1=l54ied94?"5<90oo6`=3g82f>=nll0;6)<;0;f`?k44n3;h76gka;29 7272mi0b?=i:0f8?lea290/>9>5db9m66`=9l10en:50;&105<ck2d9?k4>f:9~f1d3290io7>50z&1a0<5<11Ch4:4He5a?_1f2jq::7?8:3`96c<5k39;6?j527811?402;o1>94r$31a>aca3gi:6<<4nb0957=i9?k1<6`>9e83?!7e>3;in6*>b682fg=#9m21:6*>d885?!7ci3<0(<jm:79'5ae=>2.:hi49;%3ga?0<,8nm6;5+1d392>"6m;0=7)?j3;48 4c32?1/=h;56:&2a3<13-;n;784$0g;>3=#9l31:6*>e`85?!7bj3<0(<kl:79'5`b=>2.:ih49;%3fb?0<,8l;6;5+1g392>"6n;0=7)?i3;48 4`32?1/=k;56:&2b3<13-;m;784$0d;>3=#9o31:6*>f`85?!7aj3<0(<hl:79'5cb=>2.:jh49;%3eb?0<,;:;6;5+21392>"58;0=7)<?3;48 7632?1/>=;56:&143<13-8;;784$32;>3=#:931:6*=0`85?!47j3<0(?>l:79'65b=>2.9<h49;%03b?0<,;;;6;5+20392>"59;0=7)<>3;48 7732?1/><;56:&153<13-8:;784$33;>3=#:831:6*=1`85?!46j3<0(??l:79'64b=>2.9=h49;%02b?0<,;8;6;5+23391>"5:;0>7)<=3;00=>"5=809:?5+24093a=#:<91;i5+2459`2c<,;?36?;n;%06b?3<,;<;685+d829`=`<,m3:6i6i;h07f?6=3`2<6=44i0`7>5<<a;>m6=44i9f94?=n:=i1<75f25g94?=n9k81<75f13a94?"5<90:>o5a22d94>=n9;k1<7*=41826g=i::l1=65f13;94?"5<90:>o5a22d96>=n9;21<7*=41826g=i::l1?65fd9194?"5<90o4?5a22d94>=nl1;1<7*=418g<7=i::l1=65fd9294?"5<90o4?5a22d96>=nl>l1<7*=418g<7=i::l1?65`14394?"5<90:9=5a22d94>=h9=l1<7*=418215=i::l1=65`15f94?"5<90:9=5a22d96>=h9=i1<7*=418215=i::l1?65`15`94?"5<90:9=5a22d90>=h9=k1<7*=418215=i::l1965`15;94?"5<90:9=5a22d92>=h9=21<7*=418215=i::l1;65`15594?"5<90:9=5a22d9<>=h9=<1<7*=418215=i::l1565`15794?"5<90:9=5a22d9e>=h9=>1<7*=418215=i::l1n65`15094?"5<90:9=5a22d9g>=h9=;1<7*=418215=i::l1h65`15294?"5<90:9=5a22d9a>=h9:l1<7*=418215=i::l1j65`12g94?"5<90:9=5a22d955=<g89o6=4+2529506<f;9m6<?4;n30g?6=,;>;6<;?;o00b?7532e:?o4?:%074?7282d9?k4>3:9l56g=83.98=4>519m66`=9=10c<=6:18'616=9<:0b?=i:078?j7203:1(?:?:073?k44n3;=76a>5683>!4383;><6`=3g823>=h9<<1<7*=418215=i::l1=554o076>5<#:=:1=8>4n31e>4?<3f;>87>5$363>4373g88j7?n;:m216<72-8?<7?:0:l17c<6j21d=8<50;&105<6=91e>>h51b98k42b290/>9>51428j75a28n07b?;3;29 72728?;7c<<f;3f?>i6;10;6)<;0;364>h5;o0:j65f4583>!4383>87c<<f;28?l25290/>9>5429m66`=921b8<4?:%074?243g88j7<4;h63>5<#:=:18>5a22d97>=n<m0;6)<;0;60?k44n3>07d:l:18'616=<:1e>>h55:9j0g<72-8?<7:<;o00b?0<3`>j6=4+252906=i::l1;65f4883>!4383>87c<<f;:8?l2?290/>9>5429m66`=121b8:4?:%074?243g88j7o4;h65>5<#:=:18>5a22d9f>=n<<0;6)<;0;60?k44n3i07d=i:18'616=<:1e>>h5d:9j24<72-8?<78?;o00b?6<3`?m6=4+252925=i::l1=65f5d83>!4383<;7c<<f;08?l3c290/>9>5619m66`=;21b:l4?:%074?073g88j7:4;h4:>5<#:=:1:=5a22d91>=n>10;6)<;0;43?k44n3<07d88:18'616=>91e>>h57:9j23<72-8?<78?;o00b?><3`<>6=4+252925=i::l1565f6583>!4383<;7c<<f;c8?l04290/>9>5619m66`=j21b:?4?:%074?073g88j7m4;h7`>5<#:=:1:=5a22d9`>=n99l1<7*=41824`=i::l1<65f11f94?"5<90:<h5a22d95>=n99h1<7*=41824`=i::l1>65f11c94?"5<90:<h5a22d97>=n9931<7*=41824`=i::l1865f11:94?"5<90:<h5a22d91>=n99=1<7*=41824`=i::l1:65f11494?"5<90:<h5a22d93>=n99?1<7*=41824`=i::l1465f11694?"5<90:<h5a22d9=>=n9991<7*=41824`=i::l1m65f11094?"5<90:<h5a22d9f>=n99:1<7*=41824`=i::l1o65ffg83>!4383;;i6`=3g8g?>oam3:1(?:?:02f?k44n3o07dhk:18'616=99o0b?=i:g98mce=83.98=4>0d9m66`=9910ekl50;&105<68l1e>>h51098mcg=83.98=4>0d9m66`=9;10ek750;&105<68l1e>>h51298mc>=83.98=4>0d9m66`=9=10ek950;&105<68l1e>>h51498m471290/>9>511g8j75a28<07d?>5;29 72728:n7c<<f;34?>o69=0;6)<;0;33a>h5;o0:465f10194?"5<90:<h5a22d95<=<a8;96=4+252955c<f;9m6<o4;h325?6=,;>;6<>j;o00b?7e32c:==4?:%074?77m2d9?k4>c:9j55e=83.98=4>0d9m66`=9m10e<>>:18'616=99o0b?=i:0g8?l`1290/>9>511g8j75a28l07b?n2;29 72728k:7c<<f;28?j7f83:1(?:?:0c2?k44n3;07b?6f;29 72728k:7c<<f;08?j7>m3:1(?:?:0c2?k44n3907d?<4;29 72728987c<<f;28?l74:3:1(?:?:010?k44n3;07d?<1;29 72728987c<<f;08?l7483:1(?:?:010?k44n3907b?na;29 72728k27c<<f;28?j7f03:1(?:?:0c:?k44n3;07b?n7;29 72728k27c<<f;08?j7f>3:1(?:?:0c:?k44n3907b?74;29 72728287c<<f;28?j7?:3:1(?:?:0:0?k44n3;07b?70;29 72728287c<<f;08?j70n3:1(?:?:0:0?k44n3907b?8e;29 72728287c<<f;68?j70l3:1(?:?:0:0?k44n3?07b?8c;29 72728287c<<f;48?j70j3:1(?:?:0:0?k44n3=07b?8a;29 72728287c<<f;:8?j7013:1(?:?:0:0?k44n3307b?88;29 72728287c<<f;c8?j70?3:1(?:?:0:0?k44n3h07b?85;29 72728287c<<f;a8?j70<3:1(?:?:0:0?k44n3n07b?83;29 72728287c<<f;g8?j70:3:1(?:?:0:0?k44n3l07b?81;29 72728287c<<f;33?>i6?90;6)<;0;3;7>h5;o0:=65`17d94?"5<90:4>5a22d957=<g8<n6=4+25295=5<f;9m6<=4;n35`?6=,;>;6<6<;o00b?7332e::n4?:%074?7?;2d9?k4>5:9l5=d=83.98=4>829m66`=9?10c<6n:18'616=9190b?=i:058?j7?13:1(?:?:0:0?k44n3;376a>8983>!4383;3?6`=3g82=>=h91=1<7*=4182<6=i::l1=l54o0:5>5<#:=:1=5=4n31e>4d<3f;397>5$363>4>43g88j7?l;:m2<4<72-8?<7?73:l17c<6l21d=:850;&105<60:1e>>h51d98k40e290/>9>51918j75a28l07dj7b;29 7272m2j7c<<f;28?lb?13:1(?:?:e:b?k44n3;07dj78;29 7272m2j7c<<f;08?lb??3:1(?:?:e:b?k44n3907djk:18'616=lj1e>>h50:9j`g<72-8?<7jl;o00b?7<3`n26=4+2529`f=i::l1>65fd983>!4383nh7c<<f;18?lb0290/>9>5db9m66`=<21bh;4?:%074?bd3g88j7;4;hf6>5<#:=:1hn5a22d92>=nl=0;6)<;0;f`?k44n3=07dj<:18'616=lj1e>>h58:9j`7<72-8?<7jl;o00b??<3`n:6=4+2529`f=i::l1m65fd183>!4383nh7c<<f;`8?leb290/>9>5db9m66`=k21boi4?:%074?bd3g88j7j4;ha`>5<#:=:1hn5a22d9a>=nkk0;6)<;0;f`?k44n3l07dmn:18'616=lj1e>>h51198mf?=83.98=4kc:l17c<6921bo54?:%074?bd3g88j7?=;:k`3?6=,;>;6im4n31e>45<3`i=6=4+2529`f=i::l1=954ib794?"5<90oo6`=3g821>=nm=0;6)<;0;f`?k44n3;=76gj3;29 7272mi0b?=i:058?lc5290/>9>5db9m66`=9110eh?50;&105<ck2d9?k4>9:9ja5<72-8?<7jl;o00b?7f32coj7>5$363>ae<f;9m6<l4;hff>5<#:=:1hn5a22d95f=<amk1<7*=418gg>h5;o0:h65fcg83>!4383nh7c<<f;3f?>od<3:1(?:?:ea8j75a28l07pl;b683>ge=83:p(?k::36;?Mb><2Bo;o5U7`8`40=9>09n7<i:3a975<5l38=6?;52681a?432t.9?o4keg9mg4<6:2dh>7?=;o35e?6<f83o6=5+1c495gd<,8h<6<lm;%3g<?0<,8n26;5+1ec92>"6lk0=7)?kc;48 4bc2?1/=ik56:&2`c<13-;n=784$0g1>3=#9l91:6*>e585?!7b=3<0(<k9:79'5`1=>2.:i549;%3f=?0<,8oj6;5+1d`92>"6mj0=7)?jd;48 4cb2?1/=hh56:&2b5<13-;m=784$0d1>3=#9o91:6*>f585?!7a=3<0(<h9:79'5c1=>2.:j549;%3e=?0<,8lj6;5+1g`92>"6nj0=7)?id;48 4`b2?1/=kh56:&145<13-8;=784$321>3=#:991:6*=0585?!47=3<0(?>9:79'651=>2.9<549;%03=?0<,;:j6;5+21`92>"58j0=7)<?d;48 76b2?1/>=h56:&155<13-8:=784$331>3=#:891:6*=1585?!46=3<0(??9:79'641=>2.9=549;%02=?0<,;;j6;5+20`92>"59j0=7)<>d;48 77b2?1/><h56:&165<13-89=7;4$301>0=#:;91>>74$372>7053-8>>79k;%067?1c3-8>;7j8e:&11=<5=h1/>8h55:&125<23-n2<7j7f:&g=4<c0o1b>9l50;9j<2<722c:n94?::k10c<722c3h7>5;h07g?6=3`8?i7>5;h3a6?6=3`;9o7>5$363>44e3g88j7>4;h31e?6=,;>;6<<m;o00b?7<3`;957>5$363>44e3g88j7<4;h31<?6=,;>;6<<m;o00b?5<3`n3?7>5$363>a>53g88j7>4;hf;5?6=,;>;6i6=;o00b?7<3`n3<7>5$363>a>53g88j7<4;hf4b?6=,;>;6i6=;o00b?5<3f;>=7>5$363>4373g88j7>4;n37b?6=,;>;6<;?;o00b?7<3f;?h7>5$363>4373g88j7<4;n37g?6=,;>;6<;?;o00b?5<3f;?n7>5$363>4373g88j7:4;n37e?6=,;>;6<;?;o00b?3<3f;?57>5$363>4373g88j784;n37<?6=,;>;6<;?;o00b?1<3f;?;7>5$363>4373g88j764;n372?6=,;>;6<;?;o00b??<3f;?97>5$363>4373g88j7o4;n370?6=,;>;6<;?;o00b?d<3f;?>7>5$363>4373g88j7m4;n375?6=,;>;6<;?;o00b?b<3f;?<7>5$363>4373g88j7k4;n30b?6=,;>;6<;?;o00b?`<3f;8i7>5$363>4373g88j7??;:m27a<72-8?<7?:0:l17c<6921d=>m50;&105<6=91e>>h51398k45e290/>9>51428j75a28907b?<a;29 72728?;7c<<f;37?>i6;00;6)<;0;364>h5;o0:965`14:94?"5<90:9=5a22d953=<g8?<6=4+2529506<f;9m6<94;n362?6=,;>;6<;?;o00b?7?32e:984?:%074?7282d9?k4>9:9l502=83.98=4>519m66`=9h10c<;<:18'616=9<:0b?=i:0`8?j72:3:1(?:?:073?k44n3;h76a>4d83>!4383;><6`=3g82`>=h9=91<7*=418215=i::l1=h54o01;>5<#:=:1=8>4n31e>4`<3`>?6=4+252906=i::l1<65f4383>!4383>87c<<f;38?l26290/>9>5429m66`=:21b8=4?:%074?243g88j7=4;h6g>5<#:=:18>5a22d90>=n<j0;6)<;0;60?k44n3?07d:m:18'616=<:1e>>h56:9j0d<72-8?<7:<;o00b?1<3`>26=4+252906=i::l1465f4983>!4383>87c<<f;;8?l20290/>9>5429m66`=i21b8;4?:%074?243g88j7l4;h66>5<#:=:18>5a22d9g>=n;o0;6)<;0;60?k44n3n07d8>:18'616=>91e>>h50:9j1c<72-8?<78?;o00b?7<3`?n6=4+252925=i::l1>65f5e83>!4383<;7c<<f;18?l0f290/>9>5619m66`=<21b:44?:%074?073g88j7;4;h4;>5<#:=:1:=5a22d92>=n>>0;6)<;0;43?k44n3=07d89:18'616=>91e>>h58:9j20<72-8?<78?;o00b??<3`<?6=4+252925=i::l1m65f6283>!4383<;7c<<f;`8?l05290/>9>5619m66`=k21b9n4?:%074?073g88j7j4;h33b?6=,;>;6<>j;o00b?6<3`;;h7>5$363>46b3g88j7?4;h33f?6=,;>;6<>j;o00b?4<3`;;m7>5$363>46b3g88j7=4;h33=?6=,;>;6<>j;o00b?2<3`;;47>5$363>46b3g88j7;4;h333?6=,;>;6<>j;o00b?0<3`;;:7>5$363>46b3g88j794;h331?6=,;>;6<>j;o00b?><3`;;87>5$363>46b3g88j774;h337?6=,;>;6<>j;o00b?g<3`;;>7>5$363>46b3g88j7l4;h334?6=,;>;6<>j;o00b?e<3`lm6=4+252955c<f;9m6i54igg94?"5<90:<h5a22d9a>=nnm0;6)<;0;33a>h5;o0m76gic;29 72728:n7c<<f;33?>oaj3:1(?:?:02f?k44n3;:76gia;29 72728:n7c<<f;31?>oa13:1(?:?:02f?k44n3;876gi8;29 72728:n7c<<f;37?>oa?3:1(?:?:02f?k44n3;>76g>1783>!4383;;i6`=3g822>=n98?1<7*=41824`=i::l1=:54i037>5<#:=:1==k4n31e>4><3`;:?7>5$363>46b3g88j7?6;:k257<72-8?<7??e:l17c<6i21b=<?50;&105<68l1e>>h51c98m477290/>9>511g8j75a28i07d??c;29 72728:n7c<<f;3g?>o6880;6)<;0;33a>h5;o0:i65ff783>!4383;;i6`=3g82b>=h9h81<7*=4182e4=i::l1<65`1`294?"5<90:m<5a22d95>=h90l1<7*=4182e4=i::l1>65`18g94?"5<90:m<5a22d97>=n9:>1<7*=418276=i::l1<65f12094?"5<90:?>5a22d95>=n9:;1<7*=418276=i::l1>65f12294?"5<90:?>5a22d97>=h9hk1<7*=4182e<=i::l1<65`1`:94?"5<90:m45a22d95>=h9h=1<7*=4182e<=i::l1>65`1`494?"5<90:m45a22d97>=h91>1<7*=4182<6=i::l1<65`19094?"5<90:4>5a22d95>=h91:1<7*=4182<6=i::l1>65`16d94?"5<90:4>5a22d97>=h9>o1<7*=4182<6=i::l1865`16f94?"5<90:4>5a22d91>=h9>i1<7*=4182<6=i::l1:65`16`94?"5<90:4>5a22d93>=h9>k1<7*=4182<6=i::l1465`16;94?"5<90:4>5a22d9=>=h9>21<7*=4182<6=i::l1m65`16594?"5<90:4>5a22d9f>=h9>?1<7*=4182<6=i::l1o65`16694?"5<90:4>5a22d9`>=h9>91<7*=4182<6=i::l1i65`16094?"5<90:4>5a22d9b>=h9>;1<7*=4182<6=i::l1==54o053>5<#:=:1=5=4n31e>47<3f;=j7>5$363>4>43g88j7?=;:m22`<72-8?<7?73:l17c<6;21d=;j50;&105<60:1e>>h51598k40d290/>9>51918j75a28?07b?7b;29 72728287c<<f;35?>i60h0;6)<;0;3;7>h5;o0:;65`19;94?"5<90:4>5a22d95==<g8236=4+25295=5<f;9m6<74;n3;3?6=,;>;6<6<;o00b?7f32e:4;4?:%074?7?;2d9?k4>b:9l5=3=83.98=4>829m66`=9j10c<6>:18'616=9190b?=i:0f8?j70>3:1(?:?:0:0?k44n3;n76a>6c83>!4383;3?6`=3g82b>=nl1h1<7*=418g<d=i::l1<65fd9;94?"5<90o4l5a22d95>=nl121<7*=418g<d=i::l1>65fd9594?"5<90o4l5a22d97>=nlm0;6)<;0;f`?k44n3:07djm:18'616=lj1e>>h51:9j`<<72-8?<7jl;o00b?4<3`n36=4+2529`f=i::l1?65fd683>!4383nh7c<<f;68?lb1290/>9>5db9m66`==21bh84?:%074?bd3g88j784;hf7>5<#:=:1hn5a22d93>=nl:0;6)<;0;f`?k44n3207dj=:18'616=lj1e>>h59:9j`4<72-8?<7jl;o00b?g<3`n;6=4+2529`f=i::l1n65fcd83>!4383nh7c<<f;a8?lec290/>9>5db9m66`=l21bon4?:%074?bd3g88j7k4;haa>5<#:=:1hn5a22d9b>=nkh0;6)<;0;f`?k44n3;;76gl9;29 7272mi0b?=i:038?le?290/>9>5db9m66`=9;10en950;&105<ck2d9?k4>3:9jg3<72-8?<7jl;o00b?7332ch97>5$363>ae<f;9m6<;4;hg7>5<#:=:1hn5a22d953=<al91<7*=418gg>h5;o0:;65fe383>!4383nh7c<<f;3;?>ob93:1(?:?:ea8j75a28307dk?:18'616=lj1e>>h51`98ma`=83.98=4kc:l17c<6j21bhh4?:%074?bd3g88j7?l;:kge?6=,;>;6im4n31e>4b<3`im6=4+2529`f=i::l1=h54ib694?"5<90oo6`=3g82b>=zj=hj6=4mc;294~"5m<09855Gd868La1e3S=j6nu>6;34>7d=:o09o7=?:3f963<5=38<6?k5258~ 75e2mom7cm>:008jf4=9;1e=;o50:l2=a<73-;i:7?mb:&2f2<6jk1/=i656:&2`<<13-;om784$0fa>3=#9mi1:6*>de85?!7cm3<0(<ji:79'5`7=>2.:i?49;%3f7?0<,8o?6;5+1d792>"6m?0=7)?j7;48 4c?2?1/=h756:&2ad<13-;nn784$0g`>3=#9ln1:6*>ed85?!7bn3<0(<h?:79'5c7=>2.:j?49;%3e7?0<,8l?6;5+1g792>"6n?0=7)?i7;48 4`?2?1/=k756:&2bd<13-;mn784$0d`>3=#9on1:6*>fd85?!7an3<0(?>?:79'657=>2.9<?49;%037?0<,;:?6;5+21792>"58?0=7)<?7;48 76?2?1/>=756:&14d<13-8;n784$32`>3=#:9n1:6*=0d85?!47n3<0(???:79'647=>2.9=?49;%027?0<,;;?6;5+20792>"59?0=7)<>7;48 77?2?1/><756:&15d<13-8:n784$33`>3=#:8n1:6*=1d85?!46n3<0(?<?:79'677==2.9>?4:;%017?4412.99<4=639'604=?m1/>8=57e9'601=l>o0(?;7:37b?!42n3?0(?8?:49'`<6=l1l0(i7>:e:e?l43j3:17d68:188m4d32900e?:i:188m=b=831b>9m50;9j61c=831b=o<50;9j57e=83.98=4>2c9m66`=821b=?o50;&105<6:k1e>>h51:9j57?=83.98=4>2c9m66`=:21b=?650;&105<6:k1e>>h53:9j`=5=83.98=4k839m66`=821bh5?50;&105<c0;1e>>h51:9j`=6=83.98=4k839m66`=:21bh:h50;&105<c0;1e>>h53:9l507=83.98=4>519m66`=821d=9h50;&105<6=91e>>h51:9l51b=83.98=4>519m66`=:21d=9m50;&105<6=91e>>h53:9l51d=83.98=4>519m66`=<21d=9o50;&105<6=91e>>h55:9l51?=83.98=4>519m66`=>21d=9650;&105<6=91e>>h57:9l511=83.98=4>519m66`=021d=9850;&105<6=91e>>h59:9l513=83.98=4>519m66`=i21d=9:50;&105<6=91e>>h5b:9l514=83.98=4>519m66`=k21d=9?50;&105<6=91e>>h5d:9l516=83.98=4>519m66`=m21d=>h50;&105<6=91e>>h5f:9l56c=83.98=4>519m66`=9910c<=k:18'616=9<:0b?=i:038?j74k3:1(?:?:073?k44n3;976a>3c83>!4383;><6`=3g827>=h9:k1<7*=418215=i::l1=954o01:>5<#:=:1=8>4n31e>43<3f;>47>5$363>4373g88j7?9;:m212<72-8?<7?:0:l17c<6?21d=8850;&105<6=91e>>h51998k432290/>9>51428j75a28307b?:4;29 72728?;7c<<f;3b?>i6=:0;6)<;0;364>h5;o0:n65`14094?"5<90:9=5a22d95f=<g8>n6=4+2529506<f;9m6<j4;n377?6=,;>;6<;?;o00b?7b32e:?54?:%074?7282d9?k4>f:9j01<72-8?<7:<;o00b?6<3`>96=4+252906=i::l1=65f4083>!4383>87c<<f;08?l27290/>9>5429m66`=;21b8i4?:%074?243g88j7:4;h6`>5<#:=:18>5a22d91>=n<k0;6)<;0;60?k44n3<07d:n:18'616=<:1e>>h57:9j0<<72-8?<7:<;o00b?><3`>36=4+252906=i::l1565f4683>!4383>87c<<f;c8?l21290/>9>5429m66`=j21b884?:%074?243g88j7m4;h1e>5<#:=:18>5a22d9`>=n>80;6)<;0;43?k44n3:07d;i:18'616=>91e>>h51:9j1`<72-8?<78?;o00b?4<3`?o6=4+252925=i::l1?65f6`83>!4383<;7c<<f;68?l0>290/>9>5619m66`==21b:54?:%074?073g88j784;h44>5<#:=:1:=5a22d93>=n>?0;6)<;0;43?k44n3207d8::18'616=>91e>>h59:9j21<72-8?<78?;o00b?g<3`<86=4+252925=i::l1n65f6383>!4383<;7c<<f;a8?l3d290/>9>5619m66`=l21b==h50;&105<68l1e>>h50:9j55b=83.98=4>0d9m66`=921b==l50;&105<68l1e>>h52:9j55g=83.98=4>0d9m66`=;21b==750;&105<68l1e>>h54:9j55>=83.98=4>0d9m66`==21b==950;&105<68l1e>>h56:9j550=83.98=4>0d9m66`=?21b==;50;&105<68l1e>>h58:9j552=83.98=4>0d9m66`=121b===50;&105<68l1e>>h5a:9j554=83.98=4>0d9m66`=j21b==>50;&105<68l1e>>h5c:9jbc<72-8?<7??e:l17c<c32cmi7>5$363>46b3g88j7k4;hdg>5<#:=:1==k4n31e>c=<aoi1<7*=41824`=i::l1==54ig`94?"5<90:<h5a22d954=<aok1<7*=41824`=i::l1=?54ig;94?"5<90:<h5a22d956=<ao21<7*=41824`=i::l1=954ig594?"5<90:<h5a22d950=<a8;=6=4+252955c<f;9m6<84;h321?6=,;>;6<>j;o00b?7032c:=94?:%074?77m2d9?k4>8:9j545=83.98=4>0d9m66`=9010e<?=:18'616=99o0b?=i:0c8?l7693:1(?:?:02f?k44n3;i76g>1183>!4383;;i6`=3g82g>=n99i1<7*=41824`=i::l1=i54i022>5<#:=:1==k4n31e>4c<3`l=6=4+252955c<f;9m6<h4;n3b6?6=,;>;6<o>;o00b?6<3f;j<7>5$363>4g63g88j7?4;n3:b?6=,;>;6<o>;o00b?4<3f;2i7>5$363>4g63g88j7=4;h300?6=,;>;6<=<;o00b?6<3`;8>7>5$363>4543g88j7?4;h305?6=,;>;6<=<;o00b?4<3`;8<7>5$363>4543g88j7=4;n3be?6=,;>;6<o6;o00b?6<3f;j47>5$363>4g>3g88j7?4;n3b3?6=,;>;6<o6;o00b?4<3f;j:7>5$363>4g>3g88j7=4;n3;0?6=,;>;6<6<;o00b?6<3f;3>7>5$363>4>43g88j7?4;n3;4?6=,;>;6<6<;o00b?4<3f;<j7>5$363>4>43g88j7=4;n34a?6=,;>;6<6<;o00b?2<3f;<h7>5$363>4>43g88j7;4;n34g?6=,;>;6<6<;o00b?0<3f;<n7>5$363>4>43g88j794;n34e?6=,;>;6<6<;o00b?><3f;<57>5$363>4>43g88j774;n34<?6=,;>;6<6<;o00b?g<3f;<;7>5$363>4>43g88j7l4;n341?6=,;>;6<6<;o00b?e<3f;<87>5$363>4>43g88j7j4;n347?6=,;>;6<6<;o00b?c<3f;<>7>5$363>4>43g88j7h4;n345?6=,;>;6<6<;o00b?7732e:;=4?:%074?7?;2d9?k4>1:9l53`=83.98=4>829m66`=9;10c<8j:18'616=9190b?=i:018?j71l3:1(?:?:0:0?k44n3;?76a>6b83>!4383;3?6`=3g821>=h91h1<7*=4182<6=i::l1=;54o0:b>5<#:=:1=5=4n31e>41<3f;357>5$363>4>43g88j7?7;:m2<=<72-8?<7?73:l17c<6121d=5950;&105<60:1e>>h51`98k4>1290/>9>51918j75a28h07b?75;29 72728287c<<f;3`?>i6080;6)<;0;3;7>h5;o0:h65`16494?"5<90:4>5a22d95`=<g8<i6=4+25295=5<f;9m6<h4;hf;f?6=,;>;6i6n;o00b?6<3`n357>5$363>a>f3g88j7?4;hf;<?6=,;>;6i6n;o00b?4<3`n3;7>5$363>a>f3g88j7=4;hfg>5<#:=:1hn5a22d94>=nlk0;6)<;0;f`?k44n3;07dj6:18'616=lj1e>>h52:9j`=<72-8?<7jl;o00b?5<3`n<6=4+2529`f=i::l1865fd783>!4383nh7c<<f;78?lb2290/>9>5db9m66`=>21bh94?:%074?bd3g88j794;hf0>5<#:=:1hn5a22d9<>=nl;0;6)<;0;f`?k44n3307dj>:18'616=lj1e>>h5a:9j`5<72-8?<7jl;o00b?d<3`in6=4+2529`f=i::l1o65fce83>!4383nh7c<<f;f8?led290/>9>5db9m66`=m21boo4?:%074?bd3g88j7h4;hab>5<#:=:1hn5a22d955=<aj31<7*=418gg>h5;o0:=65fc983>!4383nh7c<<f;31?>od?3:1(?:?:ea8j75a28907dm9:18'616=lj1e>>h51598mf3=83.98=4kc:l17c<6=21bi94?:%074?bd3g88j7?9;:kf7?6=,;>;6im4n31e>41<3`o96=4+2529`f=i::l1=554id394?"5<90oo6`=3g82=>=nm90;6)<;0;f`?k44n3;j76gkf;29 7272mi0b?=i:0`8?lbb290/>9>5db9m66`=9j10eio50;&105<ck2d9?k4>d:9jgc<72-8?<7jl;o00b?7b32ch87>5$363>ae<f;9m6<h4;|`7fa<72ki1<7>t$3g6>72?3An286Fk7c9Y3d<ds8<1=:4=b;0e>7e=;909h7<9:37962<5m38?6p*=3c8gac=ik80:>6`l2;31?k71i3:0b<7k:19'5g0=9kh0(<l8:0`a?!7c03<0(<j6:79'5ag=>2.:ho49;%3gg?0<,8no6;5+1eg92>"6lo0=7)?j1;48 4c52?1/=h=56:&2a1<13-;n9784$0g5>3=#9l=1:6*>e985?!7b13<0(<kn:79'5`d=>2.:in49;%3f`?0<,8on6;5+1dd92>"6n90=7)?i1;48 4`52?1/=k=56:&2b1<13-;m9784$0d5>3=#9o=1:6*>f985?!7a13<0(<hn:79'5cd=>2.:jn49;%3e`?0<,8ln6;5+1gd92>"5890=7)<?1;48 7652?1/>==56:&141<13-8;9784$325>3=#:9=1:6*=0985?!4713<0(?>n:79'65d=>2.9<n49;%03`?0<,;:n6;5+21d92>"5990=7)<>1;48 7752?1/><=56:&151<13-8:9784$335>3=#:8=1:6*=1985?!4613<0(??n:79'64d=>2.9=n49;%02`?0<,;;n6;5+20d92>"5:90=7)<=1;78 7452<1/>?=522;8 7362;<97)<:2;5g?!42;3=o7)<:7;f4a>"5=1099l5+24d91>"5>90>7)j60;f;b>"c180o4k5f25`94?=n0>0;66g>b583>>o5<o0;66g7d;29?l43k3:17d<;e;29?l7e:3:17d?=c;29 727288i7c<<f;28?l75i3:1(?:?:00a?k44n3;07d?=9;29 727288i7c<<f;08?l7503:1(?:?:00a?k44n3907dj73;29 7272m297c<<f;28?lb?93:1(?:?:e:1?k44n3;07dj70;29 7272m297c<<f;08?lb0n3:1(?:?:e:1?k44n3907b?:1;29 72728?;7c<<f;28?j73n3:1(?:?:073?k44n3;07b?;d;29 72728?;7c<<f;08?j73k3:1(?:?:073?k44n3907b?;b;29 72728?;7c<<f;68?j73i3:1(?:?:073?k44n3?07b?;9;29 72728?;7c<<f;48?j7303:1(?:?:073?k44n3=07b?;7;29 72728?;7c<<f;:8?j73>3:1(?:?:073?k44n3307b?;5;29 72728?;7c<<f;c8?j73<3:1(?:?:073?k44n3h07b?;2;29 72728?;7c<<f;a8?j7393:1(?:?:073?k44n3n07b?;0;29 72728?;7c<<f;g8?j74n3:1(?:?:073?k44n3l07b?<e;29 72728?;7c<<f;33?>i6;m0;6)<;0;364>h5;o0:=65`12a94?"5<90:9=5a22d957=<g89i6=4+2529506<f;9m6<=4;n30e?6=,;>;6<;?;o00b?7332e:?44?:%074?7282d9?k4>5:9l50>=83.98=4>519m66`=9?10c<;8:18'616=9<:0b?=i:058?j72>3:1(?:?:073?k44n3;376a>5483>!4383;><6`=3g82=>=h9<>1<7*=418215=i::l1=l54o070>5<#:=:1=8>4n31e>4d<3f;>>7>5$363>4373g88j7?l;:m20`<72-8?<7?:0:l17c<6l21d=9=50;&105<6=91e>>h51d98k45?290/>9>51428j75a28l07d:;:18'616=<:1e>>h50:9j07<72-8?<7:<;o00b?7<3`>:6=4+252906=i::l1>65f4183>!4383>87c<<f;18?l2c290/>9>5429m66`=<21b8n4?:%074?243g88j7;4;h6a>5<#:=:18>5a22d92>=n<h0;6)<;0;60?k44n3=07d:6:18'616=<:1e>>h58:9j0=<72-8?<7:<;o00b??<3`><6=4+252906=i::l1m65f4783>!4383>87c<<f;`8?l22290/>9>5429m66`=k21b?k4?:%074?243g88j7j4;h42>5<#:=:1:=5a22d94>=n=o0;6)<;0;43?k44n3;07d;j:18'616=>91e>>h52:9j1a<72-8?<78?;o00b?5<3`<j6=4+252925=i::l1865f6883>!4383<;7c<<f;78?l0?290/>9>5619m66`=>21b::4?:%074?073g88j794;h45>5<#:=:1:=5a22d9<>=n><0;6)<;0;43?k44n3307d8;:18'616=>91e>>h5a:9j26<72-8?<78?;o00b?d<3`<96=4+252925=i::l1o65f5b83>!4383<;7c<<f;f8?l77n3:1(?:?:02f?k44n3:07d??d;29 72728:n7c<<f;38?l77j3:1(?:?:02f?k44n3807d??a;29 72728:n7c<<f;18?l7713:1(?:?:02f?k44n3>07d??8;29 72728:n7c<<f;78?l77?3:1(?:?:02f?k44n3<07d??6;29 72728:n7c<<f;58?l77=3:1(?:?:02f?k44n3207d??4;29 72728:n7c<<f;;8?l77;3:1(?:?:02f?k44n3k07d??2;29 72728:n7c<<f;`8?l7783:1(?:?:02f?k44n3i07dhi:18'616=99o0b?=i:e98mcc=83.98=4>0d9m66`=m21bji4?:%074?77m2d9?k4i;:keg?6=,;>;6<>j;o00b?7732cmn7>5$363>46b3g88j7?>;:kee?6=,;>;6<>j;o00b?7532cm57>5$363>46b3g88j7?<;:ke<?6=,;>;6<>j;o00b?7332cm;7>5$363>46b3g88j7?:;:k253<72-8?<7??e:l17c<6>21b=<;50;&105<68l1e>>h51698m473290/>9>511g8j75a28207d?>3;29 72728:n7c<<f;3:?>o69;0;6)<;0;33a>h5;o0:m65f10394?"5<90:<h5a22d95g=<a8;;6=4+252955c<f;9m6<m4;h33g?6=,;>;6<>j;o00b?7c32c:<<4?:%074?77m2d9?k4>e:9jb3<72-8?<7??e:l17c<6n21d=l<50;&105<6i81e>>h50:9l5d6=83.98=4>a09m66`=921d=4h50;&105<6i81e>>h52:9l5<c=83.98=4>a09m66`=;21b=>:50;&105<6;:1e>>h50:9j564=83.98=4>329m66`=921b=>?50;&105<6;:1e>>h52:9j566=83.98=4>329m66`=;21d=lo50;&105<6i01e>>h50:9l5d>=83.98=4>a89m66`=921d=l950;&105<6i01e>>h52:9l5d0=83.98=4>a89m66`=;21d=5:50;&105<60:1e>>h50:9l5=4=83.98=4>829m66`=921d=5>50;&105<60:1e>>h52:9l52`=83.98=4>829m66`=;21d=:k50;&105<60:1e>>h54:9l52b=83.98=4>829m66`==21d=:m50;&105<60:1e>>h56:9l52d=83.98=4>829m66`=?21d=:o50;&105<60:1e>>h58:9l52?=83.98=4>829m66`=121d=:650;&105<60:1e>>h5a:9l521=83.98=4>829m66`=j21d=:;50;&105<60:1e>>h5c:9l522=83.98=4>829m66`=l21d=:=50;&105<60:1e>>h5e:9l524=83.98=4>829m66`=n21d=:?50;&105<60:1e>>h51198k417290/>9>51918j75a28;07b?9f;29 72728287c<<f;31?>i6>l0;6)<;0;3;7>h5;o0:?65`17f94?"5<90:4>5a22d951=<g8<h6=4+25295=5<f;9m6<;4;n3;f?6=,;>;6<6<;o00b?7132e:4l4?:%074?7?;2d9?k4>7:9l5=?=83.98=4>829m66`=9110c<67:18'616=9190b?=i:0;8?j7??3:1(?:?:0:0?k44n3;j76a>8783>!4383;3?6`=3g82f>=h91?1<7*=4182<6=i::l1=n54o0:2>5<#:=:1=5=4n31e>4b<3f;<:7>5$363>4>43g88j7?j;:m22g<72-8?<7?73:l17c<6n21bh5l50;&105<c0h1e>>h50:9j`=?=83.98=4k8`9m66`=921bh5650;&105<c0h1e>>h52:9j`=1=83.98=4k8`9m66`=;21bhi4?:%074?bd3g88j7>4;hfa>5<#:=:1hn5a22d95>=nl00;6)<;0;f`?k44n3807dj7:18'616=lj1e>>h53:9j`2<72-8?<7jl;o00b?2<3`n=6=4+2529`f=i::l1965fd483>!4383nh7c<<f;48?lb3290/>9>5db9m66`=?21bh>4?:%074?bd3g88j764;hf1>5<#:=:1hn5a22d9=>=nl80;6)<;0;f`?k44n3k07dj?:18'616=lj1e>>h5b:9jg`<72-8?<7jl;o00b?e<3`io6=4+2529`f=i::l1h65fcb83>!4383nh7c<<f;g8?lee290/>9>5db9m66`=n21bol4?:%074?bd3g88j7??;:k`=?6=,;>;6im4n31e>47<3`i36=4+2529`f=i::l1=?54ib594?"5<90oo6`=3g827>=nk?0;6)<;0;f`?k44n3;?76gl5;29 7272mi0b?=i:078?lc3290/>9>5db9m66`=9?10eh=50;&105<ck2d9?k4>7:9ja7<72-8?<7jl;o00b?7?32cn=7>5$363>ae<f;9m6<74;hg3>5<#:=:1hn5a22d95d=<aml1<7*=418gg>h5;o0:n65fdd83>!4383nh7c<<f;3`?>oci3:1(?:?:ea8j75a28n07dmi:18'616=lj1e>>h51d98mf2=83.98=4kc:l17c<6n21v?6<:181[4?;27h544mf:p6=7=838pR?6>;<a:<?da3ty94=4?:3y]6=6<5j3<6oh4}r04b?6=:rT9;k52c849fc=z{;=n6=4={_04a>;d1<0ij6s|26f94?4|V;=o70m64;`e?xu5?j0;6?uQ26a89f?42kl0q~<8b;296~X5?k16o4<5bg9~w71>2909wS<89:?`=5<en2wx>:650;0xZ71?34i3j7li;|q132<72;qU>:94=b:f>g`<uz8<:7>52z\133=:k1n1nk5rs356>5<5sW8<963l8b8ab>{t:>>1<7<t^357?8e?j3hm7p}=7283>7}Y:>901n6n:cd8yv40:3:1>vP=739>g=?=jo1v?9>:181[40927h454mf:p626=838pR?9?;<a;3?da3ty9:h4?:3y]63c<5j2>6oh4}r05`?6=:rT9:i52c969fc=z{;<h6=4={_05g>;d0:0ij6s|27`94?4|V;<i70m72;`e?xu5>h0;6?uQ27c89f>62kl0q~<99;296~X5>016o5>5bg9~w70?2909wS<98:?`3c<en2wx>;950;0xZ70034i<i7li;|q123<72;qU>;84=b5g>g`<uz8=97>52z\120=:k>i1nk5rs3g0>5<5sW8n?63lf88ab>{t:l81<7<t^3g1?8ea03hm7p}=e083>7}Y:l;01nh8:cd8yv4b83:1>vP=e19>gc0=jo1v?ji:181[4cn27hj84mf:p6ac=838pR?jj;<ae0?da3ty9hi4?:3y]6ab<5jl86oh4}r0gg?6=:rT9hn52cg09fc=z{;ni6=4={_0gf>;dn80ij6s|2ec94?4|V;nj70mi0;`e?xu5l10;6?uQ2e:89fcb2kl0q~<k7;296~X5l>16ohj5bg9~w7b12909wS<k6:?`af<en2wx>i;50;0xZ7b234inn7li;|q1`1<72;qU>i:4=bgb>g`<uz8o?7>52z\1`6=:kl31nk5rs3f1>5<5sW8o>63le98ab>{t:m;1<7<t^3f2?8eb?3hm7p}=d183>7}Y:m:01nk9:cd8yv4dn3:1>vP=cg9>g`3=jo1v?mk:181[4dl27hi>4mf:p6fe=838pR?ml;<af6?da3ty9oo4?:3y]6fd<5jo:6oh4}r0`e?6=:rT9ol52cd29fc=z{;i26=4={_0`=>;dlo0ij6s|2b:94?4|V;i370mke;`e?xu5k>0;6?uQ2b589fbc2kl0q~<l6;296~X5k?16oim5bg9~w7e22909wS<l5:?``g<en2wx>n:50;0xZ7e334iom7li;|q1g7<72;qU>n<4=bf;>g`<uz8h=7>52z\1g4=:km=1nk5rs3a3>5<5sW8h<63ld78ab>{t:kl1<7<t^3`e?8ec=3hm7p}=bd83>7}Y:ko01nj;:cd8yv4el3:1>vP=be9>ga5=jo1v?ll:181[4ek27hh?4mf:p6gd=838pR?lm;<ag5?da3ty9nl4?:3y]6gg<5jn;6oh4}r0a=?6=:rT9n452cbd9fc=z{;h<6=4={_0a3>;dkm0ij6s|2c494?4|V;h=70mlc;`e?xu5j<0;6?uQ2c789fee2kl0q~<m4;296~X5j=16ono5bg9~w7d42909wS<m3:?`g<<en2wx>o<50;0xZ7d534ih47li;|q1f4<72;qU>o?4=ba4>g`<uz8i<7>52z\1f5=:kj<1nk5rs3ce>5<5sW8jj63lc48ab>{t:ho1<7<t^3cf?8ed<3hm7p}=ab83>7}Y:hi01nm=:cd8yv4fj3:1>vP=ac9>gf7=jo1v?on:181[4fi27ho=4mf:p6d?=838pR?o6;<aab?da3ty9m54?:3y]6d><5jhn6oh4}r0b3?6=:rT9m:52ccf9fc=z{;k=6=4={_0b2>;djj0ij6s|2`794?4|V;k>70mmb;`e?xu5i=0;6?uQ2`689fdf2kl0q~<n3;296~X5i:16oo75bg9~w7g62909wS<n1:?`f2<en2wx>l>50;0xZ7g734ii:7li;|q1=c<72;qU>4h4=b`6>g`<uz82i7>52z\1=`=:kk>1nk5rs3;g>5<5sW82h63lb28ab>{t:0i1<7<t^3;`?8ee:3hm7p}=9c83>7}Y:0h01nl>:cd8yv4>i3:1>vP=9`9>gg6=jo1v?76:181[4>127hmk4mf:p6<>=838pR?77;<aba?da3ty95;4?:3y]6<0<5jkh6oh4}r0:1?6=:rT95852c``9fc=z{;3?6=4={_0:0>;dih0ij6s|28194?4|V;3870mn9;`e?xu51;0;6?uQ28089fg?2kl0q~<61;296~X51816ol95bg9~w7?72909wS<60:?`e3<en2wx>5h50;0xZ7>a34ij97li;|q1<`<72;qU>5k4=bc7>g`<uz83h7>52z\1<a=:kh91nk5rs3:a>5<5sW83n63la08ab>{t:1k1<7<t^3:b?8ef83hm7p}=8883>7}Y:1301n7i:cd8yv4?03:1>vP=899>g<c=jo1v?68:181[4??27h5i4mf:p6=0=838pR?69;<a:g?da3ty9484?:3y]6=3<5j3i6oh4}r0;0?6=:rT94952c8c9fc=z{;=j6=4={_04e>;d180ij6s|27d94?4|V;<m70m76;`e?xu5m=0;6?uQ2d689f`f2kl0q~<k9;296~X5l016ohh5bg9~w7eb2909wS<le:?`a1<en2wx>n=50;0xZ7e434io57li;|q1f=<72;qU>o64=baf>g`<uz8jh7>52z\1ea=:kj91nk5rs3c1>5<5sW8j>63lb98ab>{t:0=1<7<t^3;4?8efl3hm7p}=8b83>7}Y:1i01no=:cd8yv41<3:1>vP=659>g2d=jo1vl:50;375~Xf<2725;48d:?:=0<0l2725948d:?:=6<0l27j=548d:?b52<0l27j=;48d:?b50<0l27j>l48d:?b6<<0l27j>548d:?b62<0l27j?n48d:?b7g<0l27j?l48d:?b7<<0l27j8h48d:?b0a<0l27j8n48d:?b0g<0l27j:=48d:?b1c<0l27j9h48d:?b1a<0l27j;?48d:?b34<0l27j;=48d:?b2c<0l27j4948d:?b<6<0l27j4?48d:?b<4<0l27j5;48d:?b=0<0l27j5948d:?b=6<0l27jm548d:?be2<0l27jm;48d:?be0<0l272m548d:?:e2<0l272m;48d:?:e0<0l272nl48d:?:f<<0l272n548d:?:f2<0l272on48d:?:gg<0l272ol48d:?:g<<0l272hh48d:?:`a<0l272hn48d:?:`g<0l272j=48d:?:ac<0l272ih48d:?:aa<0l27j<948d:?b46<0l27j<?48d:?b44<0l272<k4=439>=60=:=8014:>:361?8?3k38?>636568107=:1?81>9<4=84g>725343<47<;2:?:<6<5<;1655k525089<7f2;>9707=5;076>;>:l098?5293d9614<509;6?:=;<;05?43:272??4=439>=65=:=8014=;:361?8?4=38?>636368107=:1:21>9<4=81:>7253438m7<;2:?:7g<5<;165>m525089<5c2;>9707<e;076>;>;o098?529529614<50>96?:=;<;77?43:272894=439>=13=:=8014:9:361?8?3?38?>636498107=:1=31>9<4=86b>725343?n7<;2:?:0a<5<;1659k525089<2a2;>9707:0;076>;>=8098?529409614<50?86?:=;<;60?43:272984=439>=00=:=8014;7:361?8?2138?>6365`8107=:1<h1>9<4=87`>725343>h7<;2:?:1`<5<;1658h525089<072;>970791;076>;>>:098?529769614<50<>6?:=;<;52?43:272::4=439>=3>=:=801486:361?8?1i38?>6366c8107=:1?i1>9<4=84f>725343=j7<;2:?:35<5<;165:?525089<152;>970783;076>;>?=098?529679614<50==6?:=;<;43?43:272;44=439>=2g=:=80149m:361?8?0k38?>6367e8107=:1>o1>9<4=85e>7253433<7<;2:?:<4<5<;1655<525089<>32;>970775;076>;>0?098?529959614<50236?:=;<;;=?43:2724l4=439>==d=:=80146l:361?8??l38?>636118107=:18;1>9<4=831>725343:?7<;2:?:51<5<;165<;525089<712;>9707>7;076>;>91098?5290;9614<50;i6?:=;<;2g?43:272=i4=439>=4c=:=8014?i:361?8?5838?>636208107=:1;81>9<4=800>725343987<;2:?:63<5<;165?9525089<4?2;>9707=9;076>;>:h098?5293`9614<508h6?:=;<;1`?43:2734k4=439><g0=:=8015m>:361?8>dk38?>637d68107=:0l81>9<4=9gg>725342m47<;2:?:46<5<;165=k525089=?f2;>9706n5;076>;?il098?528`d9614<51h;6?:=;<:a5?43:273n?4=439><g5=:=8015l;:361?8>e=38?>637b68107=:0k21>9<4=9`:>725342im7<;2:?;fg<5<;164om525089=dc2;>9706me;076>;?jo098?528b29614<51i96?:=;<:`7?43:273o94=439><f3=:=8015m9:361?8>d?38?>637c98107=:0j31>9<4=9ab>725342hn7<;2:?;ga<5<;164nk525089=ea2;>9706k0;076>;?l8098?528e09614<51n86?:=;<:g0?43:273h84=439><a0=:=8015j7:361?8>c138?>637d`8107=:0mh1>9<4=9f`>725342oh7<;2:?;``<5<;164ih525089=c72;>9706j1;076>;?m:098?528d69614<51o>6?:=;<:f2?43:273i:4=439><`>=:=8015k6:361?8>bi38?>637ec8107=:0li1>9<4=9gf>725342nj7<;2:?;b5<5<;164k?525089=`52;>9706i3;076>;?n=098?528g79614<51l=6?:=;<:e3?43:273j44=439><cg=:=8015hm:361?8>ak38?>637fe8107=:0oo1>9<4=9de>725343;<7<;2:?:44<5<;165=<525089<632;>9707?5;076>;>8?098?529159614<50:36?:=;<;3=?43:272<l4=439>=5d=:=8014>l:361?8?7l38?>637918107=:00;1>9<4=9;1>7253422?7<;2:?;=1<5<;1644;525089=?12;>970667;076>;?11098?5288;9614<513i6?:=;<::g?43:2735i4=439><<c=:=80157i:361?8>f838?>637a08107=:0h81>9<4=9c0>725342j87<;2:?;e3<5<;164l9525089=g?2;>9706n9;076>;?ih098?528``9614<51kh6?:=;<:b`?43:2wx5i4?:06xZ<b<5=k96<j<;<777?43?27>8=4=469>16e=:==018=7:364?834<38?;63:318102=:=;i1>994=40;>72034?987<;7:?665<5<>168lo5255891g>2;><70:n8;073>;3i>098:524`49611<5=k>6?:8;<6b0?43?27?m>4=469~w<e=83<pR4m4=6gb>4ea34=j979k;<5b1?da34=2i7?k3:?4a<<5<;1v4l50;6xZ<d<5>3?6:j4=6;7>g`<5>2i6<j<;|qag?6=;:qUnn5263f93a=:>:?1;i5261f93a=:>9o1;i5261d93a=:>8:1;i5260d93a=:>;:1;i5263393a=:>;81;i5261693a=:>9?1;i5262293a=:>:;1;i525gf93a=:=oo1;i5262493a=:>:=1;i5263g93a=:>==1;i5265g93a=:>=l1;i5264293a=:><;1;i5264093a=:><91;i5264693a=:><?1;i5264493a=:>=21;i5265;93a=:>=k1;i5265`93a=:>=i1;i5265f93a=:><=1;i526gg95a5<5?lm6<j<;<504?1c34=9j79k;<51a?1c34=9h79k;<52g?1c34=:n79k;<52e?1c34=:579k;<53<?1c34=;;79k;<532?1c34=;979k;|qaf?6=;rTin6378784`>;?080<h6s|b883>4g|Vk3018h8:0f7?82>?3=o70:64;5g?82>83=o70:7c;5g?82?03=o70:74;5g?82?83=o70:8c;5g?82fi3=o70:n9;5g?82f03=o70:n7;5g?82f>3=o70:n5;5g?82f<3=o70:n3;5g?82d83;i?63;ac82f7=:<ho1=o<4=5`2>4d534>i87?m2:?7f2<6j;168oo51c0891dc28h97p}m8;292~Xe027<j948d:?4b1<en273=:4mf:?;5f<6l:16;hk51e18yvd0290?wSl8;<:2g?7c:273<54>d39><5d=9m80q~o<:187[g43423:7li;<c30?da34<?;7li;|q:<?6=i1qU55528949<5=:01;14=529849<5=:10?14=529869<5=:10914=52a0:9<5=:i8=14=52a049<5=:i8?14=52a3c9<5=:i;314=52a3:9<5=:i;=14=52a2a9<5=:i:h14=52a2c9<5=:i:314=52a5g9<5=:i=n14=52a5a9<5=:i=h14=52a729<5=:i<l14=52a4g9<5=:i<n14=52a609<5=:i>;14=52a629<5=:i?l14=52a969<5=:i1914=52a909<5=:i1;14=52a849<5=:i0?14=52a869<5=:i0914=52a`:9<5=:ih=14=52a`49<5=:ih?14=529`:9<5=:1h=14=529`49<5=:1h?14=529cc9<5=:1k314=529c:9<5=:1k=14=529ba9<5=:1jh14=529bc9<5=:1j314=529eg9<5=:1mn14=529ea9<5=:1mh14=529g29<5=:1ll14=529dg9<5=:1ln14=52a169<5=:i9914=52a109<5=:i9;14=5287693g=:?o>1;o5280593g=:0821;o5280493g=:0<=1;o5284693g=:0<:1;o5285a93g=:0=21;o5285693g=:0=:1;o5282a93g=:0:21;o5282693g=:==91;o5255293g=:=:i1;o5252:93g=:=:>1;o5252293g=:=;i1;o5253:93g=:=;>1;o5253293g=:?h?1;o5278693g=:>;n14=526279<5=:>9n14=5261g9<5=:>9l14=526029<5=:>8l14=526329<5=:>;;14=526309<5=:>9>14=526179<5=:>::14=526239<5=:=on14=525gg9<5=:>:<14=526259<5=:>;o14=5265593g=:>=o1;o5265d93g=:><:1;o5264393g=:><81;o5264193g=:><>1;o5264793g=:><<1;o5265:93g=:>=31;o5265c93g=:>=h1;o5265a93g=:>=n1;o526459<5=:?::14=5273d9<5=:?;o14=5273f9<5=:?8i14=5270`9<5=:?8k14=5270;9<5=:?9214=527159<5=:?9<14=527179<5=:<0=1;o5248693g=:<0:1;o5249a93g=:<121;o5249693g=:<1:1;o5246a93g=:<hk1;o524`;93g=:<h21;o524`593g=:<h<1;o524`793g=:<h>1;o524`193g=:?l31;o527d:93g=:<j:14n524``9<2=:<ho14:524c39<2=:<k>14:524c59<2=:<kk14:524cf9<2=z{1:1<7<i4z\;4>;??l0<n6378e84f>;?090<n6378884f>;?0=0<n6378384f>;?0>0<n63=e684f>;4;<0<n63<4c84f>;4>80<n63<7684f>;40m0<n63<a284f>;4j00<n63<cg84f>;4m<0<n63=fe84f>;49:0<n63<2484f>;4:>0<n63<2884f>;4:k0<n63<2e84f>;4:o0<n63<3084f>;4;:0<n63<3684f>;4;00<n63<3c84f>;4;m0<n63<3g84f>;4<80<n63<4284f>;4<<0<n63<4684f>;4<00<n63<4e84f>;4<o0<n63<5084f>;4=:0<n63<5484f>;4=>0<n63<5884f>;4=k0<n63<5e84f>;4=o0<n63<6284f>;4><0<n63<6684f>;4>00<n63<6c84f>;4>m0<n63<6g84f>;4?80<n63<7284f>;4?<0<n63<7884f>;4?k0<n63<7e84f>;4?o0<n63<8084f>;40:0<n63<8484f>;40>0<n63<8884f>;40k0<n63<8g84f>;4180<n63<9284f>;41<0<n63<9684f>;4100<n63<9c84f>;41m0<n63<9g84f>;4i80<n63<a484f>;4i>0<n63<a884f>;4ik0<n63<ae84f>;4io0<n63<b084f>;4j:0<n63<b484f>;4j>0<n63<bc84f>;4jm0<n63<bg84f>;4k80<n63<c284f>;4k<0<n63<c684f>;4k00<n63<cc84f>;4km0<n63<d084f>;4l:0<n63<d484f>;4l>0<n63<d884f>;4lk0<n63<de84f>;4lo0<n63<e084f>;4m:0<n63=e884f>;5mk0<n63=ee84f>;5mo0<n63=f084f>;5n:0<n63=f484f>;5n>0<n63=f884f>;5nk0<n63=fg84f>;4880<n63<0284f>;48<0<n63<0684f>;4800<n63<0c84f>;48m0<n63<0g84f>;4980<n63<1484f>;49>0<n63<1884f>;49k0<n63<1e84f>;49o0<n63<2084f>;4::0<n63=e78;4>;4;=03<63<4`8;4>;4>903<63<778;4>;40j03<63<a38;4>;4j103<63<cd8;4>;4m=03<63=fb8;4>;49;03<63<258;4>;4:?03<63<298;4>;4:h03<63<2b8;4>;4:l03<63<318;4>;4;;03<63<378;4>;4;103<63<3`8;4>;4;j03<63<3d8;4>;4<903<63<438;4>;4<=03<63<478;4>;4<103<63<4b8;4>;4<l03<63<518;4>;4=;03<63<558;4>;4=?03<63<598;4>;4=h03<63<5b8;4>;4=l03<63<638;4>;4>=03<63<678;4>;4>103<63<6`8;4>;4>j03<63<6d8;4>;4?903<63<738;4>;4?=03<63<798;4>;4?h03<63<7b8;4>;4?l03<63<818;4>;40;03<63<858;4>;40?03<63<898;4>;40h03<63<8d8;4>;41903<63<938;4>;41=03<63<978;4>;41103<63<9`8;4>;41j03<63<9d8;4>;4i903<63<a58;4>;4i?03<63<a98;4>;4ih03<63<ab8;4>;4il03<63<b18;4>;4j;03<63<b58;4>;4j?03<63<b`8;4>;4jj03<63<bd8;4>;4k903<63<c38;4>;4k=03<63<c78;4>;4k103<63<c`8;4>;4kj03<63<d18;4>;4l;03<63<d58;4>;4l?03<63<d98;4>;4lh03<63<db8;4>;4ll03<63<e18;4>;4m;03<63=e98;4>;5mh03<63=eb8;4>;5ml03<63=f18;4>;5n;03<63=f58;4>;5n?03<63=f98;4>;5nh03<63=fd8;4>;48903<63<038;4>;48=03<63<078;4>;48103<63<0`8;4>;48j03<63<0d8;4>;49903<63<158;4>;49?03<63<198;4>;49h03<63<1b8;4>;49l03<63<218;4>;4:;03<636fc84f>;0nk0<n638fb84f>;0m>0<n638d584f>;0l:0<n638d384f>;0l80<n638d184f>;0ko0<n638cd84f>;0km0<n638cb84f>;0kk0<n6371g84f>;?9l0<n638eg84f>;0n:0<n638f384f>;0?o0<n6388184f>;0080<n6388384f>;00:0<n6388584f>;00<0<n6388784f>;01o0<n638a584f>;0i;0<n638a284f>;00j0<n6389284f>;00o0<n6389184f>;0180<n6389384f>;0>00<n6386`84f>;0><0<n63:f884f>;2nh0<n6386684f>;0>10<n6390884f>;19k0<n63:fc84f>;1=h0<n6395984f>;1=m0<n6395c84f>;1>90<n6395d84f>;1>:0<n6396084f>;1>?0<n6396584f>;1>00<n6396684f>;1>j0<n6396`84f>;1>o0<n6396e84f>;1?;0<n6397184f>;1?<0<n6397284f>;1n80<n639ed84f>;1mh0<n639e784f>;1m;0<n639dd84f>;1lh0<n639d784f>;1l;0<n639cd84f>;1im0<n639a`84f>;1i?0<n639a384f>;11l0<n6399`84f>;11?0<n6399384f>;10l0<n6398`84f>;0nl0<n6370184f>;?><0<n638e484f>;el80<n63l9884f>;d110<n63l9684f>;d1?0<n63l9484f>;d1=0<n63l9284f>;d1;0<n63l9184f>;d0o0<n63l8d84f>;d0m0<n63l8b84f>;d0k0<n63l8`84f>;d000<n63l8984f>;d0>0<n63l8484f>;d0=0<n63l8284f>;d0;0<n63l8084f>;d090<n63l7g84f>;d?l0<n63l7e84f>;d?j0<n63lf884f>;dn10<n63lf684f>;dn?0<n63lf484f>;dn=0<n63lf284f>;dn;0<n63lf084f>;dn90<n63led84f>;dmm0<n63leb84f>;dmk0<n63le`84f>;dm00<n63le984f>;dm>0<n63le784f>;dm<0<n63le284f>;dm;0<n63le084f>;dm90<n63ldg84f>;dll0<n63lde84f>;dlj0<n63ldc84f>;dlh0<n63ld984f>;dl>0<n63ld784f>;dl<0<n63ld584f>;dl:0<n63ld384f>;dl80<n63ld184f>;dko0<n63lce84f>;dkj0<n63lcc84f>;dkh0<n63lc884f>;dk10<n63lc684f>;dk?0<n63lc484f>;dk=0<n63lc384f>;dk80<n63lc184f>;djo0<n63lbd84f>;djm0<n63lbb84f>;djk0<n63lb`84f>;dj00<n63lb684f>;dj?0<n63lb484f>;dj=0<n63lb284f>;dj;0<n63lb084f>;dj90<n63lag84f>;dil0<n63lab84f>;dik0<n63la`84f>;di00<n63la984f>;di>0<n63la784f>;di<0<n63la584f>;di:0<n63la084f>;di90<n63l9g84f>;d1l0<n63l9e84f>;d1j0<n63l9c84f>;d1h0<n63l9084f>;d0?0<n63lf`84f>;dmo0<n63le584f>;dl00<n63lcd84f>;dk:0<n63lb984f>;dim0<n63la384f>;d?k0<n6360g84f>;>;?0<n6364084f>;><j0<n6365684f>;>>;0<n6366e84f>;>?10<n6368284f>;>0l0<n6361`84f>;>:<0<n6362d84f>;>:o0<n6363184f>;>;80<n6363384f>;>;:0<n6363584f>;>;<0<n6363684f>;>;10<n6363884f>;>;h0<n6363c84f>;>;j0<n6363e84f>;>;l0<n6363g84f>;><90<n6364384f>;><:0<n6364584f>;><<0<n6364784f>;><>0<n6364984f>;><00<n6364`84f>;><k0<n6364e84f>;><l0<n6364g84f>;>=90<n6365084f>;>=;0<n6365284f>;>==0<n6365484f>;>=?0<n6365984f>;>=00<n6365`84f>;>=k0<n6365b84f>;>=m0<n6365d84f>;>=o0<n6366184f>;>>80<n6366284f>;>>=0<n6366484f>;>>?0<n6366684f>;>>10<n6366884f>;>>h0<n6366c84f>;>>j0<n6366d84f>;>>o0<n6367184f>;>?80<n6367384f>;>?:0<n6367584f>;>?<0<n6367784f>;>?>0<n6367884f>;>?h0<n6367c84f>;>?j0<n6367e84f>;>?l0<n6367g84f>;>090<n6368084f>;>0;0<n6368584f>;>0<0<n6368784f>;>0>0<n6368984f>;>000<n6368`84f>;>0k0<n6368b84f>;>0m0<n6361184f>;>980<n6361384f>;>9:0<n6361584f>;>9<0<n6361784f>;>9>0<n6361984f>;>900<n6361c84f>;>9j0<n6361e84f>;>9l0<n6361g84f>;>:90<n6362084f>;>:;0<n6362284f>;>:=0<n6362784f>;>:>0<n6362984f>;>:00<n6362`84f>;>:k0<n6362b84f>;>:m0<n6378g84f>;?j?0<n637c084f>;?kj0<n637d684f>;?m;0<n637ee84f>;?n10<n6360284f>;>8l0<n6379`84f>;?i<0<n637ad84f>;?io0<n637b184f>;?j80<n637b384f>;?j:0<n637b584f>;?j<0<n637b684f>;?j10<n637b884f>;?jh0<n637bc84f>;?jj0<n637be84f>;?jl0<n637bg84f>;?k90<n637c384f>;?k:0<n637c584f>;?k<0<n637c784f>;?k>0<n637c984f>;?k00<n637c`84f>;?kk0<n637ce84f>;?kl0<n637cg84f>;?l90<n637d084f>;?l;0<n637d284f>;?l=0<n637d484f>;?l?0<n637d984f>;?l00<n637d`84f>;?lk0<n637db84f>;?lm0<n637dd84f>;?lo0<n637e184f>;?m80<n637e284f>;?m=0<n637e484f>;?m?0<n637e684f>;?m10<n637e884f>;?mh0<n637ec84f>;?mj0<n637ed84f>;?mo0<n637f184f>;?n80<n637f384f>;?n:0<n637f584f>;?n<0<n637f784f>;?n>0<n637f884f>;?nh0<n637fc84f>;?nj0<n637fe84f>;?nl0<n637fg84f>;>890<n6360084f>;>8;0<n6360584f>;>8<0<n6360784f>;>8>0<n6360984f>;>800<n6360`84f>;>8k0<n6360b84f>;>8m0<n6379184f>;?180<n6379384f>;?1:0<n6379584f>;?1<0<n6379784f>;?1>0<n6379984f>;?100<n6379c84f>;?1j0<n6379e84f>;?1l0<n6379g84f>;?i90<n637a084f>;?i;0<n637a284f>;?i=0<n637a784f>;?i>0<n637a984f>;?i00<n637a`84f>;?ik0<n637ab84f>;?im0<n638f184f>;0n80<n6371c84f>;0im0<n638a084f>;0i90<n6388d84f>;00m0<n63;c18;b>;3ik03h63;ad8;`>;3j803h63;b58;`>;3j>03h63;b`8;`>;3jm03h6s|b783>7}Yj?169k9522c8yv44;3:1=>:t=e;6>4ea348n:7=7;<100?5?349?m7=7;<154?5?349<:7=7;<1;g?5?349j>7=7;<1a<?5?349hi7=7;<1f0?5?348mo7=7;<126?5?349987=7;<112?5?349947=7;<11e?5?3499o7=7;<11a?5?3498<7=7;<106?5?3498:7=7;<10<?5?3498m7=7;<10g?5?3498i7=7;<174?5?349?>7=7;<170?5?349?:7=7;<17<?5?349?o7=7;<17a?5?349><7=7;<166?5?349>87=7;<162?5?349>47=7;<16e?5?349>o7=7;<16a?5?349=>7=7;<150?5?349=:7=7;<15<?5?349=m7=7;<15g?5?349=i7=7;<144?5?349<>7=7;<140?5?349<47=7;<14e?5?349<o7=7;<14a?5?3493<7=7;<1;6?5?349387=7;<1;2?5?349347=7;<1;e?5?3493i7=7;<1:4?5?3492>7=7;<1:0?5?3492:7=7;<1:<?5?3492m7=7;<1:g?5?3492i7=7;<1b4?5?349j87=7;<1b2?5?349j47=7;<1be?5?349jo7=7;<1ba?5?349i<7=7;<1a6?5?349i87=7;<1a2?5?349im7=7;<1ag?5?349ii7=7;<1`4?5?349h>7=7;<1`0?5?349h:7=7;<1`<?5?349hm7=7;<1`g?5?349o<7=7;<1g6?5?349o87=7;<1g2?5?349o47=7;<1ge?5?349oo7=7;<1ga?5?349n<7=7;<1f6?5?348n47=7;<0fe?5?348no7=7;<0fa?5?348m<7=7;<0e6?5?348m87=7;<0e2?5?348m47=7;<0ee?5?348mi7=7;<134?5?349;>7=7;<130?5?349;:7=7;<13<?5?349;m7=7;<13g?5?349;i7=7;<124?5?349:87=7;<122?5?349:47=7;<12e?5?349:o7=7;<12a?5?3499<7=7;<116?5?3432>7m<;<;:5?e43432<7m<;<;;b?e434k:=7m<;<c26?e434k:87m<;<c27?e434k9?7m<;<c10?e434k9:7m<;<c11?e434k897m<;<c02?e434k847m<;<c03?e434k?;7m<;<c7<?e434k?m7m<;<c7=?e434k>57m<;<c6e?e434k>o7m<;<c6f?e434k=n7m<;<c5g?e434k=i7m<;<c5`?e434k<h7m<;<c4a?e434k3<7m<;<c4b?e434k3j7m<;<c:4?e434k2>7m<;<c:5?e434kj=7m<;<cb6?e434kj87m<;<cb7?e4343j=7m<;<;b6?e4343j87m<;<;b7?e4343i?7m<;<;a0?e4343i:7m<;<;a1?e4343h97m<;<;`2?e4343h47m<;<;`3?e4343o;7m<;<;g<?e4343om7m<;<;g=?e4343n57m<;<;fe?e4343no7m<;<;ff?e4343mh7m<;<;ea?e434k;<7m<;<;eb?e4343mo7m<;<:67?e4342?j7m<;<:7f?e4342?;7m<;<:77?e43428j7m<;<:0f?e43428;7m<;<:07?e434?8j7m<;<70f?e434?8;7m<;<707?e434?9j7m<;<71f?e434?9;7m<;<717?e434?:j7m<;<5ba?7c:27<mh4>d59>1cd=:==01;kk:b1893c>2j901;k::b1893c62j901;jk:b1893b>2j901;j::b1893b62j901;mk:b1893g>2j901;o::b1893g62j901;7k:b1893?>2j901;7::b1893?62j901;6k:b1893>>2j901:<l:b18924e2j901:<n:b18924>2j901:<7:b18927?2j901:?8:b1892712j901:?::b1892732j901:>;:b1892642j901:>=:b1892662j901:>?:b1891?42j90196i:b1891>e2j901968:b1891>42j90199i:b18911e2j901:ok:cd891e7288>70:l0;310>;3k90:>>524b29574<5=i;6<<>;<6`4?74=27?o=4k879>0f6=l1>019m?:36f?82d838><63;ac8g<6=:<hh1h5?4=5ca>a>734>jn7j8f:?7eg<5<l168ll525d891gb2m2870:ne;f;5>;3il0o4=524`g9`2`<5=kn6?:j;<6ba?43n27?n<4k829>0g7=l1;019l>:e:3?82e93n<j63;b0810`=:<k;1>9h4=5`7>a>434>i87j71:?7f1<c09168o:5d6d891d32;>n70:m4;07b>;3j>0o4>524c59`=7<5=h<6i6?;<6a3?b0n27?n:4=4d9>0g1=:=l019ln:e:0?82ei3n3=63;b`8g<5=:<kk1h:h4=5`b>72b34>im7<;f:?7fa<c0:168oj5d93891dc2m2;70:md;f4b>;3jm098h524cf961`<uz>hm7>52z?7gd<5;h168n:51e18yv2c?3:1>v3;d6817d=:<j>1=i<4}r6`0?6=:r7?o94=3`9>0f5=9m90q~:k8;296~;3l109?l524b`95a5<uz>n57>52z?7a<<5;h168nl51e08yv2dj3:1>v3;cc817d=:<j91=i<4}r6`7?6=:r7?o>4=3`9>135=9m=0q~:k9;296~;3l009?l524bd95a5<uz>nm7>52z?7ad<5;h168nh51e08yv2dk3:1>v3;cg817d=:<j?1=i=4}r6fg?6=:r7?in4=3`9>0ab=9m90q~:ib;296~;3nk09?l524ef95a4<uz>oh7>52z?7`a<5;h168n;51e08yv2d=3:1>v3;c4817d=:=?81=i94}r6ge?6=:r7?hl4=3`9>0a6=9m90q~:jd;296~;3mm09?l524e295a4<uz>hh7>52z?7`5<5;h168n651e18yv2bm3:1>v3;ed817d=:<l91=i=4}r6eg?6=:r7?jn4=3`9>0`5=9m80q~:ke;296~;3m:09?l524b:95a4<uz>h:7>52z?7g=<5;h169;=51e68yv2bn3:1>v3;eg817d=:<l>1=i=4}r6e`?6=:r7?ji4=3`9>0`2=9m80q~:kf;296~;3m=09?l524e095a5<uz>mi7>52z?7b`<5;h168k:51e18yv37>3:1>v3:07817d=:<o>1=i<4}r6e0?6=:r7?j94=3`9>0a4=9m80q~:k2;296~;3l;09?l5257095a2<uz>on7>52z?7`g<5;h168i?51e18yv2a83:1>v3;f1817d=:<m;1=i<4}r6`a?6=:r7?h<4=3`9>0f?=9m90q~:i1;296~;3n809?l524d795a5<uz>mj7>52z?7bc<5;h168h;51e08yv2b83:1>v3;e4817d=:<j31=i<4}r6`3?6=:r7?o44=3`9>135=9m<0q~:i2;296~;3n;09?l524d495a5<uz?;<7>52z?645<5;h168h851e08yv2b93:1>v3;e7817d=:<m?1=i=4}r735?6=:r7><<4=3`9>0c>=9m90q~;?7;296~;28>09?l524g:95a4<uz>m97>52z?7b=<5;h168i;51e08yv2c;3:1>v3;d4817d=:=?81=i84}r6e7?6=:r7?j>4=3`9>0`1=9m90q~;?2;296~;28;09?l524d595a4<uz>n>7>52z?7a2<5;h168i851e18yv37;3:1>v3:02817d=:<o31=i=4}r73<?6=:r7><54=3`9>0c?=9m80q~:i6;296~;3n009?l524e495a4<uz>o87>52z?7`3<5;h169;=51e78yv37<3:1>v3:05817d=:<ok1=i=4}r73=?6=:r7><44=3`9>0cg=9m80q~:i7;296~;3nh09?l524d:95a5<uz?;m7>52z?64d<5;h169=;51e18yv37j3:1>v3:0c817d=:=9?1=i<4}r731?6=:r7><84=3`9>0`>=9m80q~:j8;296~;3m109?l5257095a3<uz>oo7>52z?7`f<5;h169;?51e68yv2bj3:1>v3;ec817d=:=?;1=i;4}r65=?6=1r7?m?4=3`9>0<1=:==0197;:364?82>838?;63;8b8102=:<121>994=5:7>72034>3<7<;7:?73f<5<>1v98n:181821>3;o>63;66817d=z{=<i6=4={<652?7c;27?:54=3`9~w0>e2909w0:jb;3g1>;3k90:m>5rs4:b>5<5s4>nn7?k3:?7g5<6>>1v866:18182bj3;o:63;c18223=z{<236=4={<6gg?7c=27?o=4>649~w0>02909w0:kc;3g2>;3k90::95rs4:6>5<5s4>oo7?k4:?7g5<6>:1v86;:18182ck3;o;63;c18227=z{<286=4={<730?7c=27?o=4>609~w0>52909w0;?4;3g2>;3k90:945rs4:2>5<5s4?;87?k4:?7fa<61o1v86?:181837<3;o;63;be827`=z{<=m6=4={<73=?7c=27?ni4>3e9~w01b2909w0;?9;3g2>;3jm0:?n5rs45g>5<5s4?;57?k4:?7fa<6;k1v89l:18183713;o;63;be827d=z{<=j6=4={<73e?7c=27?ni4>389~w01>2909w0;?a;3g2>;3jm0:955rs45;>5<5s4?;m7?k4:?7fa<6=>1v898:181837i3;o;63;be82=`=z{<==6=4={<73f?7c=27?ni4>579~w0122909w0;?b;3g2>;3jm0:985rs457>5<5s4?;n7?k4:?7fa<6==1v89<:181837j3;o;63;be8216=z{<=96=4={<6e7?7c=27?ni4>539~w0162909w0:i3;3g2>;3jm0:8h5rs44e>5<5s4>m?7?k4:?7fa<6<:1v88j:18182a;3;o;63;be827==z{<<o6=4={<736?7c=27?nl4>9g9~w00d2909w0;?2;3g2>;3jh0:?h5rs44a>5<5s4?;>7?k4:?7fd<6;m1v88n:181837:3;o;63;b`827f=z{<<26=4={<737?7c=27?nl4>3c9~w00?2909w0;?3;3g2>;3jh0:?l5rs444>5<5s4?;?7?k4:?7fd<6;01v889:181837;3;o;63;b`821==z{<oj6=4={<73<?7c=27?nl4>569~w0c>2909w0;?8;3g2>;3jh0:5h5rs4g;>5<5s4?;47?k4:?7fd<6=?1v8k8:18183703;o;63;b`8210=z{<o=6=4={<6e6?7c=27?nl4>559~w0c22909w0:i2;3g2>;3jh0:9>5rs4g7>5<5s4>m>7?k4:?7fd<6=;1v8k<:18182a:3;o;63;b`820`=z{<o96=4={<734?7c=27?nl4>429~w0c62909w0;?0;3g2>;3jh0:?55rs4fe>5<5s4?;<7?k4:?7f2<61o1v8jj:18183783;o;63;b6827`=z{<no6=4={<735?7c=27?n:4>3e9~w0bd2909w0;?1;3g2>;3j>0:?n5rs4fa>5<5s4?;=7?k4:?7f2<6;k1v8jn:18183793;o;63;b6827d=z{<n26=4={<733?7c=27?n:4>389~w0b?2909w0;?7;3g2>;3j>0:955rs4f4>5<5s4?;;7?k4:?7f2<6=>1v8j9:181837?3;o;63;b682=`=z{<n?6=4={<6gf?7c=27?n:4>579~w0b42909w0:kb;3g2>;3j>0:985rs4f1>5<5s4>on7?k4:?7f2<6==1v8j>:18182cj3;o;63;b68216=z{<n;6=4={<6e4?7c=27?n:4>539~w0ea2909w0:i0;3g2>;3j>0:8h5rs4af>5<5s4>m<7?k4:?7f2<6<:1v8mk:18182a83;o;63;b6827==z{<ih6=4={<6e5?7c=27?n94>9g9~w0ee2909w0:i1;3g2>;3j=0:?h5rs4a:>5<5s4>m=7?k4:?7f1<6;m1v8m7:18182a93;o;63;b5827f=z{<i<6=4={<6eb?7c=27?n94>3c9~w0e12909w0:if;3g2>;3j=0:?l5rs4a6>5<5s4>mj7?k4:?7f1<6;01v8m;:18182an3;o;63;b5821==z{<i86=4={<6fb?7c=27?n94>569~w0e52909w0:jf;3g2>;3j=0:5h5rs4a2>5<5s4>nj7?k4:?7f1<6=?1v8m?:18182bn3;o;63;b58210=z{<hn6=4={<6e`?7c=27?n94>559~w0dc2909w0:id;3g2>;3j=0:9>5rs4``>5<5s4>mh7?k4:?7f1<6=;1v8lm:18182al3;o;63;b5820`=z{<hj6=4={<6ea?7c=27?n94>429~w0d>2909w0:ie;3g2>;3j=0:?55rs4`;>5<5s4>mi7?k4:?7f4<61o1v8l8:18182am3;o;63;b0827`=z{<h=6=4={<732?7c=27?n<4>3e9~w0d22909w0;?6;3g2>;3j80:?n5rs4`0>5<5s4?;:7?k4:?7f4<6;k1v8l=:181837>3;o;63;b0827d=z{<h:6=4={<6ge?7c=27?n<4>389~w0d72909w0:ka;3g2>;3j80:955rs4ce>5<5s4>om7?k4:?7f4<6=>1v8oj:18182ci3;o;63;b082=`=z{<ko6=4={<6f`?7c=27?n<4>579~w0gd2909w0:jd;3g2>;3j80:985rs4ca>5<5s4>nh7?k4:?7f4<6==1v8on:18182bl3;o;63;b08216=z{<k36=4={<6fa?7c=27?n<4>539~w0g02909w0:je;3g2>;3j80:8h5rs4c5>5<5s4>ni7?k4:?7f4<6<:1v8o::18182bm3;o;63;b0827==z{<k?6=4={<6eg?7c=27?mh4>9g9~w0g42909w0:ic;3g2>;3il0:?h5rs4c1>5<5s4>mo7?k4:?7e`<6;m1v8o>:18182ak3;o;63;ad827f=z{<k;6=4={<6g=?7c=27?mh4>3c9~w0?a2909w0:k9;3g2>;3il0:?l5rs4;g>5<5s4>o57?k4:?7e`<6;01v87l:18182c13;o;63;ad821==z{<3i6=4={<6fe?7c=27?mh4>569~w0?f2909w0:ja;3g2>;3il0:5h5rs4;:>5<5s4>nm7?k4:?7e`<6=?1v877:18182bi3;o;63;ad8210=z{<3<6=4={<6fg?7c=27?mh4>559~w0?12909w0:jc;3g2>;3il0:9>5rs4;6>5<5s4>no7?k4:?7e`<6=;1v87;:18182bk3;o;63;ad820`=z{<396=4={<6ef?7c=27?mh4>429~w0?62909w0:ib;3g2>;3il0:?55rs4;3>5<5s4>mn7?k4:?7eg<61o1v86i:18182aj3;o;63;ac827`=z{<2n6=4={<6`e?7c=27?mo4>3e9~w0>c2909w0:la;3g2>;3ik0:?n5rs4:`>5<5s4>hm7?k4:?7eg<6;k1v869:18182di3;o;63;ac827d=z{<=i6=4={<6g3?7c=27?mo4>389~w0172909w0:k7;3g2>;3ik0:955rs4ga>5<5s4>o;7?k4:?7eg<6=>1v8k?:18182c?3;o;63;ac82=`=z{<n>6=4={<6g<?7c=27?mo4>579~w0ef2909w0:k8;3g2>;3ik0:985rs4`e>5<5s4>o47?k4:?7eg<6==1v8l;:18182c03;o;63;ac8216=z{<k26=4={<6f=?7c=27?mo4>539~w0?b2909w0:j9;3g2>;3ik0:8h5rs4;0>5<5s4>n57?k4:?7eg<6<:1v88::18182b13;o;63;ac827==z{<l>6=4n{<777?43<27>894>d39>0f6==;168ll5459>0dc=<=168o?5459>0g2=<=168o95459>0gg=<=168oj5459~w0`3290jw0;;0;070>;2<80:h?524b2915=:<hh18?524`g907=:<k;18?524c6907=:<k=18?524cc907=:<kn18?5rs4d0>5<fs4?8o7<;4:?67a<6l;168n>54g9>0dd=<8168lk5409>0g7=<8168o:5409>0g1=<8168oo5409>0gb=<81v8h=:18b834038?863:3882`7=:<j:19o524``905=:<ho18=524c3905=:<k>18=524c5905=:<kk18=524cf905=z{<l:6=4n{<700?43<27>?84>d39>0f6==h168ll54e9>0dc=<m168o?54e9>0g2=<m168o954e9>0gg=<m168oj54e9~w0`7290jw0;<0;070>;2;80:h?524b291<=:<hh18n524`g90f=:<k;18n524c690f=:<k=18n524cc90f=:<kn18n5rs4ge>5<fs4?9o7<;4:?66a<6l;168n>5599>0dd=<k168lk54c9>0g7=<k168o:54c9>0g1=<k168oo54c9>0gb=<k1v8kj:18b835038?863:2882`7=:<j:19:524``90d=:<ho18l524c390d=:<k>18l524c590d=:<kk18l524cf90d=z{<oo6=4n{<710?43<27>>84>d39>0f6==?168ll5489>0dc=<0168o?5489>0g2=<0168o95489>0gg=<0168oj5489~w0cd290jw0;=0;070>;2:80:h?524b2910=:<hh185524`g90==:<k;185524c690==:<k=185524cc90==:<kn1855rs474>5<3s4>=47?k3:?7=2<5<=1684651e0891gf2kl0q~;:6;290~;3>10:h?524869612<5=3>6<j=;<6b=?da3ty>984?:5y>031=9m=0197?:367?82>93;o>63;a98ab>{t=<>1<7:t=544>4b134>3o7<;4:?7<a<6l;168l95bg9~w034290?w0:97;3g1>;30109895249;95a4<5=k=6oh4}r766?6=<r7?::4>d59>0=2=:=>0196::0f1?82f=3hm7p}:5083>1}:<?=1=i=4=5:3>72334>3=7?k2:?7e1<en2wx98>50;6x910028n970:8c;070>;3?m0:h?524`19fc=z{<l=6=4l{<652?44i27?m?4>d39>115=?m1699>57e9>16e=?m169>657e9>162=?m169>>57e9>17e=?m169?657e9>172=?m169?>57e9~w03a2908w0:na;070>;2>90:h85257695a4<uz?>i7>54z?7e<<5<=169;>51e08900528n870;93;3g7>{t=<n1<7:t=5c;>72334?=<7?k3:?627<6l;169;=51e08yv32k3:1?v3;a68101=:=?:1=i:4=447>4b43ty>9o4?:`y>0f5=:<>019m::377?82d038>863;d38111=:<j31>8:4=5f6>73334>o:7<:4:?7a=<5==168l852568900728n=7p}:5`83>44|5=i?6?;;;<6`f?42<27?ok4=559>0ab=:<>019j?:377?82b;38>863;e58111=:<o>1>8:4=5f2>73334>n97<:4:?7a3<5==168k65246891c02;??70:i9;060>;3nh0999525179602<5=k>6?:;;<755?7c;2wx98750;0082di3;o?63;d682`6=:<m21=i=4=5g:>4b434>o57?k3:?7ad<6l:168hm51e1891`e28n870:ka;3g7>;3mm0:h>524dg95a5<5=lh6<j<;<6fb?7c;27?ji4>d29>0cc=9m9018>9:0f0?82cj3;o?63;f182`6=:<o;1=i=4=5de>4b434>m>7?k3:?645<6l:169=?51e18906028n870:i3;3g7>;28;0:h>5251195a5<5<:36<j<;<730?7c;27><44>d29>15g=9m9018>m:0f0?82ck3;o?63;ec82`1=:<h>1>9:4}r76<?6=::q68no51e0891b028n970:k8;3g6>;3m00:h?524e;95a4<5=oj6<j=;<6fg?7c:27?jo4>d39>0ag=9m8019kk:0f1?82bm3;o>63;fb82`7=:<ll1=i<4=5dg>4b534>mi7?k2:?643<6l;168il51e0891`728n970:i1;3g6>;3no0:h?524g095a4<5<:;6<j=;<735?7c:27><:4>d39>0c5=9m8018>=:0f1?837;3;o>63:0982`7=:=9>1=i<4=42:>4b534?;m7?k2:?64g<6l;168im51e0891ce28n970:n3;070>{t0121<7<t=9:4>g`<512=6?:;;|q;<6<72;q645<5bg9><=7=:=>0q~675;296~;?0=0ij637838101=z{12j6=4={<:;=?da3423;7<;4:p<=d=839p156?:cd89=>32;>?7068f;3g6>{t01i1<7<t=9:5>7223423=7li;|q;<`<72;q65kl525689gb62kl0q~lk2;296~;?0m0ij63md08101=z{kn86=4<{<:;`?43<2734;4<4:?;<4<4<2wxni:50;0x9=1b2kl01566:367?xud8l0;6?u23319612<5=i;6<<?;|q`4a<72;q6???5256891e728;m7p}l0b83>7}:;8l1>9:4=5a3>4703tyh<o4?:3y>74b=:=>019lk:012?xud8h0;6?u230`9612<5=ho6km4}ra3=?6=:r78=44=459>0gb=nk1vn>7:181856?38?863;be8ee>{tk9=1<7<t=236>72334>ih7h6;|q`40<72;q6?<?5256891dc2o20q~m?4;296~;48o0989524cf9b2=z{j:86=4={<13`?43<27?ni4>179~wf652909w0=?b;070>;3jm0:=85rsb22>5<5s49;57<;4:?7fa<6;91vn>?:181857?38?863;be8251=z{klm6=4={<131?43<27?ni4>129~wg`b2909w0=?3;070>;3jm0:=?5rscdg>5<5s49;=7<;4:?7fa<6981vohl:18184an38?863;be8255=z{klj6=4={<0ef?43<27?ni4>0b9~wg`>2909w0<i9;070>;3jm0:<<5rscd;>5<5s48m;7<;4:?7fa<a>2wxnk950;0x97`22;>?70:ma;305>{tjo<1<7<t=3d0>72334>im7hl;|qab0<72;q6>k?5256891df2oh0q~li4;296~;5mo0989524cc9bd=z{kl86=4={<0f`?43<27?nl4i9:pfc4=838p1?km:367?82ei3l37p}mf083>7}::l31>9:4=5`b>c1<uzi=i7>52z?0a6<5<=168oo51048yve1l3:1>v3<e08101=:<kk1=<;4}ra5g?6=:r78hk4=459>0gg=9::0q~m9b;296~;4lm0989524cc9542<uzi=m7>52z?0`g<5<=168oo51018yve113:1>v3<d88101=:<kk1=<<4}ra5<?6=:r78h:4=459>0gg=98;0q~m97;296~;4l<0989524cc9546<uzi=:7>52z?0`6<5<=168oo511a8yve1=3:1>v3<d08101=:<kk1==?4}ra57?6=:r78oi4=459>0gg=n?1vn8=:18185dj38?863;b68274=z{j<:6=4={<1`=?43<27?n:4ic:pg36=838p1>m8:367?82e?3li7p}l5g83>7}:;j?1>9:4=5`4>cg<uzi>i7>52z?0g6<5<=168o95f89~wf3c2909w0=l1;070>;3j>0m46s|c4a94?4|5:hm6?:;;<6a3?`03tyh9o4?:3y>7gb=:=>019l8:035?xud=h0;6?u23c`9612<5=h<6<?:;|q`1=<72;q6?o95256891d0289;7p}l5683>7}:;k?1>9:4=5`4>4733tyh9;4?:3y>7g5=:=>019l8:030?xud=<0;6?u23c39612<5=h<6<?=;|q`11<72;q6?lh5256891d028;:7p}l5283>7}:;hn1>9:4=5`4>4773tyh9?4?:3y>7dd=:=>019l8:02`?xud=80;6?u23`;9612<5=h<6<>>;|q`15<72;q6?l95256891d02o<0q~m;f;296~;4i<0989524c69567<uzi?h7>52z?0e4<5<=168o:5fb9~wf2d2909w0=6f;070>;3j=0mn6s|c5`94?4|5:3o6?:;;<6a0?`f3tyh8l4?:3y>7<d=:=>019l;:g;8yve313:1>v3<988101=:<k>1j55rsb6;>5<5s492;7<;4:?7f1<a?2wxo9950;0x96?22;>?70:m4;322>{tk=<1<7<t=2;0>72334>i87?>5:pg13=838p1>7>:367?82e<3;8<6s|c5694?4|5:2m6?:;;<6a0?76<2wxo9<50;0x96>e2;>?70:m4;327>{tk=;1<7<t=2::>72334>i87?>2:pg16=838p1>68:367?82e<3;:=6s|c2d94?4|5:2>6?:;;<6a0?7682wxo>k50;0x96>42;>?70:m4;33g>{tk:n1<7<t=2:2>72334>i87??1:pg6e=838p1>9i:367?82e<3l=7p}l3c83>7}:;>n1>9:4=5`2>4563tyh?l4?:3y>72d=:=>019l>:ga8yve413:1>v3<788101=:<k;1jo5rsb14>5<5s49<97<;4:?7f4<ai2wxo>850;0x96142;>?70:m1;d:?xud;<0;6?u23639612<5=h:6k64}ra00?6=:r78:k4=459>0g7=n>1vn=<:181851l38?863;b08253=z{j996=4={<15f?43<27?n<4>149~wf562909w0=99;070>;3j80:?=5rsb13>5<5s49=;7<;4:?7f4<69=1vn<i:181851=38?863;b08256=z{j8n6=4={<157?43<27?n<4>139~wf4d2909w0=:f;070>;3j80:=<5rsb0a>5<5s49>h7<;4:?7f4<6991vn<n:181852j38?863;b0824f=z{j826=4={<16=?43<27?n<4>009~wf4?2909w0=:7;070>;3j80m:6s|c3594?4|5:?>6?:;;<6ba?7492wxo?850;0x96342;>?70:ne;d`?xud:<0;6?u23439612<5=kn6kl4}ra10?6=:r788k4=459>0dc=nh1vn<<:181853l38?863;ad8e=>{tk;;1<7<t=26:>72334>ji7h7;|q`65<72;q6?995256891gb2o=0q~m>f;296~;4<<0989524`g9540<uzi:i7>52z?006<5<=168lk51078yve6l3:1>v3<408101=:<ho1=>>4}ra2g?6=:r78?k4=459>0dc=98>0q~m>b;296~;4;m0989524`g9545<uzi:m7>52z?07g<5<=168lk51008yve613:1>v3<388101=:<ho1=<?4}ra2<?6=:r78?:4=459>0dc=98:0q~m>6;296~;4;:0989524`g955e<uzi:97>52z?074<5<=168lk51138yve6<3:1>v3<2g8101=:<ho1j;5rsb30>5<5s499h7<;4:?7eg<6;81vn?=:181855j38?863;ac8eg>{tk8;1<7<t=20:>72334>jn7hm;|q`55<72;q6??95256891ge2ok0q~m?f;296~;4:<0989524``9b<=z{j:=6=4={<127?43<27?mo4i8:pfcd=838p1?hk:367?82fj3l<7p}l6g83>7}:;l?1>9:4=5ca>4713tyh:94?:3y>7f`=:=>019om:036?xud=00;6?u23c;9612<5=ki6<=?;|q`0`<72;q6?l=5256891ge28;?7p}l4283>7}:;1n1>9:4=5ca>4743tyh?54?:3y>721=:=>019om:031?xud:m0;6?u23739612<5=ki6<?>;|q`67<72;q6?9l5256891ge28;;7p}l1683>7}:;:?1>9:4=5ca>46d3tyij=4?:3y>6`1=:=>019om:022?xud?h0;6<;t=95f>72334==:7?k2:?56a<4>27=?84<6:?54a<4j27=<h4<b:?54c<4j27===4<b:?55c<4j27=>=4<b:?564<4j27=>?4<b:?541<4j27=<84<b:?575<4j27=?<4<b:?6ba<4j27>jh4<b:?573<4j27=?:4<b:?56`<4j2wxni850;378>?838?86392e80<>;1;<0846390e80g>;18l08o6390g80g>;19908o6391g80g>;1:908o6392080g>;1:;08o6390580g>;18<08o6393180g>;1;808o63:fe80g>;2nl08o6393780g>;1;>08o6392d80g>{tk>31<7<t=4d4>4b5342=87<;4:pg20=839p159j:364?81?<38?8638848ab>{tk>?1<7:t=9::>720342387<;7:?4<6<5<=16;5:5bg9~wf13290>w0670;073>;?0;098:528959611<5>296?:;;<5;7?da3tyh;>4?:2y><=b=:<>01:6>:367?81?:3hm7p}l7383>6}:?1:1>9:4=6:2>g`<5kn:6?:=;|q`34<721q65kl5246892c02kl015?::0f6?810n38?8638818ab>;0j90:h>528779611<5>o>6?:8;|q`3=<72:q6;585256890`f2;><70998;073>{tk>=1<7<;{<5;1?43<27<4;4mf:?6b<<5<>16;;952558936>2;><708>b;073>;1=h098:5264:9611<5??o6?:8;<46f?43?27=:=4=469>20c=:==01;8<:364?801938?;639678102=:>?>1>994=74:>72034<=;7<;7:?52f<5<>16:;o52558930a2;><7089d;073>;1?;098:526629611<5?=>6?:8;<447?43?27=j<4=469>2`c=:==01;kn:364?80b>38?;639e38102=:>mo1>994=7fb>72034<o:7<;7:?5`7<5<>16:nk52558yvdc=3:1=9u27e69611<5>n86?:8;<5g6?43?27<h<4=469>3a6=:==01:mi:364?81dm38?;638ce8102=:?ji1>994=6aa>720342:j7<;7:?;5`<5<>16;ho5979>3g6=9m801:7>:367?81>:3hm709ie;073>;?89098:527d495a5<5>o36484}r`fa?6=1r7=;>4=459>0f6=>o168ll5609>0dc=>8168o?5609>0g2=>8168o95609>0gg=>8168oj5609~wgcc2902w0880;070>;3k90=h63;ac86b>;3il0>j63;b086b>;3j=0>j63;b686b>;3jh0>j63;be86b>{tjli1<77t=74g>72334>h<78l;<6bf?3b34>ji7;j;<6a5?3b34>i87;j;<6a3?3b34>im7;j;<6a`?3b3tyiio4?:8y>23g=:=>019m?:6:891ge2<n019oj:4f891d62<n019l;:4f891d02<n019ln:4f891dc2<n0q~lja;29=~;1>>0989524b2932=:<hh1:l524`g92d=:<k;1:l524c692d=:<k=1:l524cc92d=:<kn1:l5rscg:>5<>s4<=87<;4:?7g5<0>27?mo499:?7e`<1127?n<499:?7f1<1127?n:499:?7fd<1127?ni499:pf`>=833p1;8>:367?82d83=>70:nb;4;?82fm3<370:m1;4;?82e<3<370:m7;4;?82ei3<370:md;4;?xuem>0;64u264g9612<5=i;6::4=5ca>31<5=kn6;94=5`2>31<5=h?6;94=5`4>31<5=hj6;94=5`g>31<uzhn:7>59z?51g<5<=168n>5729>0dd=>?168lk5679>0g7=>?168o:5679>0g1=>?168oo5679>0gb=>?1vok::18:802038?863;c1846>;3ik0=963;ad851>;3j80=963;b5851>;3j>0=963;b`851>;3jm0=96s|bd194?71s42:j7li;<42f?43<27<:;4>d59>27b=;<16:>;5349>25b=;h16:=k53`9>25`=;h16:<>53`9>24`=;h16:?>53`9>277=;h16:?<53`9>252=;h16:=;53`9>266=;h16:>?53`9>1cb=;h169kk53`9>260=;h16:>953`9>27c=;h1vok=:1822~;?9l0ij639088101=:??<1=i=4=70g>62<5?9>6>:4=72g>6?<5?:n6>74=72e>6?<5?;;6>74=73e>6?<5?8;6>74=702>6?<5?896>74=727>6?<5?:>6>74=713>6?<5?9:6>74=4dg>6?<5<ln6>74=715>6?<5?9<6>74=70f>6?<uzhn=7>56z?4`1<en27=;94>d39>2c7=:=>01;h=:0f1?815m39n709>a;1f?xuem90;6;u27e19fc=:>>;1=i<4=7gf>72334<nj7?k2:?46`<4k27<=l4<c:pfa`=83<p1:j=:cd8930b28n9708ja;070>;1mk0:h?5273g97g=:?8k1?o5rscff>5<1s4=o=7li;<45f?7c:27=i;4=459>2`1=9m801:<j:2c8927f2:k0q~lkd;292~;0l90ij6396982`7=:>l81>9:4=7g0>4b534=9i7=6;<52e?5>3tyihn4?:7y>3f`=jo16:;;51e0893bb2;>?708kf;3g6>;0:m08i6381880a>{tjmh1<78t=6af>g`<5?<96<j=;<4ge?43<27=ho4>d39>37b=;j16;<753b9~wgbf290=w09ld;`e?802n3;o>639d78101=:>m=1=i<4=60g>6d<5>;26>l4}r`g=?6=>r7<on4mf:?51f<6l;16:i<5256893b428n9709=d;1b?816139j7p}md983>3}:?jh1nk5264;95a4<5?in6?:;;<4`b?7c:27<>i4<9:?45<<412wxnhh50;3e83ai38?863;c18g<`=:<j:1h5m4=5ca>a>e34>jn7j79:?7eg<c01168ll5d95891gb2m2i70:ne;f;=>;3il0o45524`g9`=1<5=h:6i6m;<6a5?b?127?n<4k899>0g7=l1=019l;:e:a?82e<3n3563;b58g<==:<k>1h594=5`4>a>e34>i;7j79:?7f2<c01168o95d95891df2m2i70:ma;f;=>;3jh0o45524cc9`=1<5=ho6i6m;<6a`?b?127?ni4k899>0gb=l1=0q~lj4;297~;0>109895287495a5<5=ki6k84}r`g3?6=;r7<mh4>d29>1cd=:=>015>>:0f0?xud?90;6?u25g595a5<5<<?6?=n;|qg5<<72:q6??<5bg9>g<?=:=>015ok:cd8yvb603:1?v3<218ab>;d110989528`a9fc=z{m;<6=4<{<12a?da34i2;7<;4:?;eg<en2wxh<850;1x967d2kl01n79:367?8>fi3hm7p}k1483>6}:;8k1nk52c879612<51k26oh4}rf20?6=;r78=54mf:?`=1<5<=164l65bg9~wa742908w0=>6;`e?8e>;38?8637a68ab>{tl881<7=t=237>g`<5j396?:;;<:b2?da3tyo==4?:2y>746=jo16o4>525689=g32kl0q~j?f;297~;48l0ij63l8g8101=:0h91nk5rse2f>5<4s49;o7li;<a;a?43<273m?4mf:p`5b=839p1>>n:cd89f>c2;>?706n1;`e?xuc8j0;6>u231:9fc=:k1i1>9:4=9c3>g`<uzn;n7>53z?043<en27h4o4=459><<`=jo1vi>n:180857<3hm70m7a;070>;?1l0ij6s|d1;94?5|5::96oh4=b::>7233422h7li;|qg4=<72:q6?=>5bg9>g=>=:=>0157l:cd8yvb7?3:1?v3=fd8ab>;d0>09895288`9fc=z{m:>6=4<{<0ee?da34i397<;4:?;=<<en2wxh=:50;1x97`?2kl01n6;:367?8>>03hm7p}k0283>6}::o<1nk52c919612<513<6oh4}rf36?6=;r79j94mf:?`<7<5<=164485bg9~wa662908w0<i2;`e?8e?938?8637948ab>{tl9:1<7=t=3d3>g`<5j2;6?:;;<::0?da3tyhjk4?:2y>6`c=jo16o:h525689=?42kl0q~mie;297~;5mj0ij63l7d8101=:0081nk5rsbdg>5<4s48nm7li;<a4`?43<2735<4mf:pgce=839p1?k7:cd89f1d2;>?70660;`e?xuc?00;6>u23d09fc=:ko31>9:4=82g>g`<uzn<47>53z?0a5<en27hj54=459>=5e=jo1vi98:18085cm3hm70mi7;070>;>8k0ij6s|d6494?5|5:nh6oh4=bd5>723343;m7li;|qg30<72:q6?io5bg9>gc3=:=>014>6:cd8yvb0<3:1?v3<d98ab>;dn=09895291:9fc=z{m=86=4<{<1g2?da34im?7<;4:?:42<en2wxh:<50;1x96b32kl01nh=:367?8?7>3hm7p}k7083>6}:;m81nk52cg39612<50:>6oh4}rf44?6=;r78h=4mf:?`b5<5<=165=:5bg9~wa0b2908w0=lc;`e?8ebm38?8636038ab>{tl?n1<7=t=2ab>g`<5joo6?:;;<;35?da3tyo:n4?:2y>7f>=jo16ohm525689<672kl0q~j9b;297~;4k?0ij63lec8101=:0ol1nk5rse4b>5<4s49h87li;<afe?43<273jh4mf:p`3?=839p1>m=:cd89fc>2;>?706id;`e?xuc>10;6>u23b29fc=:kl21>9:4=9d`>g`<uzn=;7>53z?0f`<en27hi:4=459><cd=jo1vi89:18085ek3hm70mj6;070>;?nh0ij6s|d7794?5|5:hj6oh4=bg6>723342m57li;|qg26<72:q6?o85bg9>g`5=:=>015h8:cd8yvb1:3:1?v3<b58ab>;dm;0989528g49fc=z{m<:6=4<{<1a6?da34in=7<;4:?;b0<en2wxh;>50;1x96d72kl01nk?:367?8>a<3hm7p}k5g83>6}:;ho1nk52ced9612<51l86oh4}rf6a?6=;r78mn4mf:?```<5<=164k<5bg9~wa3c2908w0=na;`e?8ecl38?8637f08ab>{tl<i1<7=t=2c;>g`<5jnh6?:;;<:e4?da3tyo9o4?:2y>7d0=jo16oil525689=ca2kl0q~j:a;297~;4i=0ij63ld`8101=:0lo1nk5rse7;>5<4s49j<7li;<ag<?43<273in4mf:p`01=839p1>7j:cd89fb02;>?706jb;`e?xuc=?0;6>u238a9fc=:km<1>9:4=9gb>g`<uzn>97>53z?0=d<en27hh84=459><`?=jo1vi;;:18085>03hm70mk4;070>;?m10ij6s|d4194?5|5:3=6oh4=bf0>723342n;7li;|qg17<72:q6?4:5bg9>ga4=:=>015k9:cd8yvb293:1?v3<938ab>;dl80989528d79fc=z{m?;6=4<{<1:4?da34io<7<;4:?;a1<en2wxh9h50;1x96>b2kl01nmi:367?8>b;3hm7p}k4e83>6}:;1k1nk52cbf9612<51o:6oh4}rf7g?6=;r78454mf:?`gf<5<=164h>5bg9~wa2e2908w0=76;`e?8edj38?8637dg8ab>{tl=k1<7=t=2:7>g`<5jij6?:;;<:ga?da3tyo844?:2y>7=4=jo16on7525689=bc2kl0q~j;8;297~;4090ij63lc98101=:0mi1nk5rse64>5<4s49<i7li;<a`3?43<273ho4mf:p`10=839p1>9l:cd89fe12;>?706ka;`e?xuc<<0;6>u236c9fc=:kj?1>9:4=9f:>g`<uzn?87>53z?03=<en27ho94=459><a>=jo1vi:=:180850<3hm70ml2;070>;?l?0ij6s|d5394?5|5:=96oh4=ba2>723342o97li;|qg05<72:q6?:>5bg9>gf6=:=>015j;:cd8yvb4n3:1?v3<6d8ab>;djo0989528e19fc=z{m9n6=4<{<15g?da34iii7<;4:?;`7<en2wxh>j50;1x960f2kl01nlk:367?8>c93hm7p}k3b83>6}:;?21nk52cca9612<51n;6oh4}rf0f?6=;r78:;4mf:?`fg<5<=164nh5bg9~wa5f2908w0=94;`e?8eei38?8637cd8ab>{tl:31<7=t=241>g`<5jh26?:;;<:``?da3tyo?:4?:2y>70c=jo16oo9525689=ee2kl0q~j<6;297~;4=j0ij63lb78101=:0jk1nk5rse16>5<4s49>m7li;<aa1?43<273o44mf:p`62=839p1>;7:cd89fd32;>?706l8;`e?xuc;:0;6>u23449fc=:kk91>9:4=9a4>g`<uzn8>7>53z?011<en27hn?4=459><f0=jo1vi=>:180852:3hm70mm1;070>;?k<0ij6s|d2294?5|5:?;6oh4=b`3>723342h87li;|qg6c<72:q6?9k5bg9>gd`=:=>015m<:cd8yvb5m3:1?v3<4b8ab>;dil0989528b09fc=z{m8h6=4<{<17<?da34ijo7<;4:?;g5<en2wxh?l50;1x96212kl01nom:367?8>en3hm7p}k2`83>6}:;=>1nk52c`c9612<51hn6oh4}rf1=?6=;r788?4mf:?`e<<5<=164oj5bg9~wa4?2908w0=;0;`e?8ef038?8637bb8ab>{tl;=1<7=t=21f>g`<5jk<6?:;;<:af?da3tyo>;4?:2y>76e=jo16ol8525689=df2kl0q~j=5;297~;4;h0ij63la48101=:0k31nk5rse07>5<4s49847li;<ab0?43<273n54mf:p`75=839p1>=9:cd89fg42;>?706m7;`e?xuc:80;6>u23209fc=:kh;1>9:4=9`6>g`<uzn9<7>53z?075<en27hm=4=459><g2=jo1vi?i:180855m3hm70m6f;070>;?j:0ij6s|d0g94?5|5:8h6oh4=b;f>723342i>7li;|qg5a<72:q6??o5bg9>g<b=:=>015l>:cd8yvb6k3:1?v3<298ab>;d1j0989528c29fc=z{m;i6=4<{<112?da34i2n7<;4:?;ec<en2wxh<o50;1x96432kl01n7n:367?8>fm3hm7p}k1083>6}:;881nk52c839612<51k>6oh4}rf32?6=;r79jn4mf:?`<3<5<=1644o5bg9~wa1f2908w0=j4;`e?8eai38?86360d8ab>{tl?l1<7=t=2af>g`<5jom6?:;;<;37?da3tyo:94?:2y>7g>=jo16oh:525689=`?2kl0q~j:9;297~;4i;0ij63ld88101=:0ln1nk5rse6f>5<4s493o7li;<a`a?43<273i?4mf:p`15=839p1>99:cd89fe42;>?706k7;`e?xuc;10;6>u23729fc=:kk21>9:4=9a`>g`<uzn9h7>53z?00d<en27hmi4=459><f7=jo1vi<=:180854<3hm70mn2;070>;?j?0ij6s|cg`94?5|5;o=6oh4=b5a>7233423j7li;|q741<72;q6??=5bg9>774=:=>0q~:?3;296~;4:80ij63<218101=z{=:96=4={<12b?da349:i7<;4:p057=838p1>?k:cd8967d2;>?7p};0183>7}:;8h1nk5230c9612<uz9mj7>52z?05<<en278=54=459~w6`b2909w0=>7;`e?856>38?86s|3gf94?4|5:;>6oh4=237>7233ty8jo4?:3y>747=jo16?<>52568yv5ai3:1>v3<0g8ab>;48l09895rs2d:>5<5s49;h7li;<13g?43<2wx?k650;0x966e2kl01>>n:367?xu4n>0;6?u231;9fc=:;921>9:4}r1e2?6=:r78<:4mf:?043<5<=1v>h::181857=3hm70=?4;070>{t;o>1<7<t=220>g`<5::96?:;;|q0b6<72;q6?=?5bg9>756=:=>0q~=i2;296~;5no0ij63=fd8101=z{:l;6=4={<0ef?da348mm7<;4:p7``=838p1?h6:cd897`?2;>?7p}<ed83>7}::o=1nk522g49612<uz9nh7>52z?1b0<en279j94=459~w6cd2909w0<i3;`e?84a:38?86s|3d`94?4|5;l:6oh4=3d3>7233ty8il4?:3y>6``=jo16>hk52568yv5b13:1>v3=ee8ab>;5mj09895rs2g;>5<5s48nn7li;<0fe?43<2wx?h950;0x97c>2kl01?k7:367?xu3>=0;6?u23d19fc=:;l81>9:4}r657?6=:r78i<4mf:?0a5<5<=1v98=:18185cn3hm70=ke;070>{t<?;1<7<t=2fg>g`<5:nh6?:;;|q725<72;q6?il5bg9>7ag=:=>0q~::f;296~;4l00ij63<d98101=z{=?n6=4={<1g3?da349o:7<;4:p00b=838p1>j::cd896b32;>?7p};5b83>7}:;m91nk523e09612<uz>>n7>52z?0`4<en278h=4=459~w13>2909w0=ld;`e?85dk38?86s|44:94?4|5:ii6oh4=2ab>7233ty?9:4?:3y>7f?=jo16?n652568yv22>3:1>v3<c68ab>;4k?09895rs576>5<5s49h97li;<1`0?43<2wx88:50;0x96e42kl01>m=:367?xu3=:0;6?u23b39fc=:;j:1>9:4}r666?6=:r78nk4mf:?0f`<5<=1v9;>:18185el3hm70=mc;070>{t<<:1<7<t=2`a>g`<5:hj6?:;;|q70`<72;q6?o95bg9>7g0=:=>0q~:;d;296~;4j<0ij63<b58101=z{=>h6=4={<1a7?da349i>7<;4:p01d=838p1>l>:cd896d72;>?7p};4`83>7}:;hl1nk523`g9612<uz>?57>52z?0ea<en278mn4=459~w12?2909w0=nb;`e?85fi38?86s|45594?4|5:k26oh4=2c;>7233ty?8;4?:3y>7d1=jo16?l852568yv23=3:1>v3<a48ab>;4i=09895rs560>5<5s49j=7li;<1b4?43<2wx89<50;0x96?a2kl01>7j:367?xu3<80;6?u238f9fc=:;0i1>9:4}r674?6=:r785o4mf:?0=d<5<=1v9=i:18185>13hm70=68;070>{t<:o1<7<t=2;4>g`<5:3=6?:;;|q77a<72;q6?4;5bg9>7<2=:=>0q~:<c;296~;41:0ij63<938101=z{=9i6=4={<1:5?da3492<7<;4:p06g=838p1>6i:cd896>b2;>?7p};3983>7}:;1h1nk5239c9612<uz>8;7>52z?0<<<en278454=459~w1512909w0=77;`e?85?>38?86s|42794?4|5:2>6oh4=2:7>7233ty??94?:3y>7=5=jo16?5<52568yv24;3:1>v3<808ab>;40909895rs511>5<5s49<j7li;<14a?43<2wx8>?50;0x961c2kl01>9l:367?xu3;90;6?u236`9fc=:;>k1>9:4}r61b?6=:r78;44mf:?03=<5<=1v9<k:181850=3hm70=84;070>{t<;i1<7<t=250>g`<5:=96?:;;|q76g<72;q6?:?5bg9>726=:=>0q~:=a;296~;4>o0ij63<6d8101=z{=826=4={<15`?da349=o7<;4:p07>=838p1>8m:cd8960f2;>?7p};2683>7}:;?31nk5237:9612<uz>9:7>52z?022<en278:;4=459~w1422909w0=95;`e?851<38?86s|43694?4|5:<86oh4=241>7233ty?>?4?:3y>70`=jo16?8k52568yv2593:1>v3<5e8ab>;4=j09895rs503>5<5s49>n7li;<16e?43<2wx8<h50;0x963>2kl01>;7:367?xu39l0;6?u23459fc=:;<<1>9:4}r62`?6=:r78984mf:?011<5<=1v9?l:181852;3hm70=:2;070>{t<8h1<7<t=272>g`<5:?;6?:;;|q75d<72;q6?9h5bg9>71c=:=>0q~:>9;296~;4<m0ij63<4b8101=z{=;<6=4={<17=?da349?47<;4:p040=838p1>:8:cd896212;>?7p};1483>7}:;=?1nk523569612<uz>:87>52z?006<en2788?4=459~w1742909w0=;1;`e?853838?86s|40094?4|5:9m6oh4=21f>7233ty?=<4?:3y>76b=jo16?>m52568yv2683:1>v3<3c8ab>;4;h09895rs52e>5<5s49857li;<10<?43<2wx8=k50;0x96502kl01>=9:367?xu38j0;6?u23219fc=:;:81>9:4}r63f?6=:r78?<4mf:?075<5<=1v9>n:181855n3hm70==e;070>{t<931<7<t=20g>g`<5:8h6?:;;|q74=<72;q6??l5bg9>77g=:=>0q~:?7;296~;4:00ij63<298101=z{=:=6=4={<113?da3499:7<;4:p053=838p1><::cd896432;>?7p}<fb83>7}:;891nk523009612<uz9m=7>52z?1ba<en279jn4=459~w1022909w0=j5;`e?85b<38?86s|44c94?4|5:im6oh4=2af>7233ty?8k4?:3y>7g?=jo16?o652568yv23<3:1>v3<a28ab>;4i;09895rs51:>5<5s493h7li;<1;g?43<2wx8?k50;0x96102kl01>99:367?xu3::0;6?u23739fc=:;?:1>9:4}r62<?6=:r788o4mf:?00d<5<=1v9>k:181854=3hm70=<4;070>{t;l<1<7<t=3g4>g`<5;o=6?:;;|qa14<72;q654=53`9>=5`=:=>0q~l88;296~;>1:08o636378101=z{k286=4={<;:0?5f343?=7<;4:pf=c=838p147;:2a89<2d2;>?7p}m9883>7}:10?1?l529459612<uzhj87>52z?:=0<4k272:?4=459~wgga2909w0766;16?8?1l38?86s|bcc94?4|503=6>64=85;>7233tyio84?:3y>e43=;h1655=52568yvdc83:1>v3n1480g>;>0l09895rsc7`>5<5s4k::7=n;<;2e?43<2wxn;950;0x9d712:i014<::367?xue?90;6?u2a0597d=:1;o1>9:4}r`45?6=:r7j=:4<c:?:6c<5<=1vo9=:1818g6039>707<0;070>{tj>91<7<t=`3;>6><509:6?:;;|qa31<72;q6m?953`9>=64=:=>0q~l85;296~;f:>08o636328101=z{k==6=4={<c1<?5f343887<;4:pf21=838p1l<7:2a89<522;>?7p}m7883>7}:i;31?l529259612<uzh<m7>52z?b6<<4k272?54=459~wg1e2909w0o=a;16?8?4138?86s|b6a94?4|5h8j6>64=81b>7233tyi;i4?:3y>e6?=;h165>l52568yvd0m3:1>v3n3880g>;>;j09895rsc5e>5<5s4k8m7=n;<;0`?43<2wxn5>50;0x9d5f2:i014=j:367?xue080;6?u2a2`97d=:1:l1>9:4}r`;6?6=:r7j?o4<c:?:05<5<=1vo6;:1818g4k39>707;2;070>{tj1?1<7<t=`1`>6><50>86?:;;|qa<3<72;q6m9l53`9>=12=:=>0q~l77;296~;f<k08o636448101=z{k236=4={<c7g?5f343?:7<;4:pf=?=838p1l:l:2a89<202;>?7p}m8`83>7}:i=n1?l5295:9612<uzh3n7>52z?b0a<4k272844=459~wg>d2909w0o;e;16?8?3i38?86s|b9f94?4|5h>n6>64=86a>7233tyi4k4?:3y>e0b=;h1659j52568yvd>83:1>v3n5e80g>;><l09895rsc;2>5<5s4k>i7=n;<;7b?43<2wxn4<50;0x9d3b2:i014;?:367?xue1:0;6?u2a4d97d=:1<;1>9:4}r`:0?6=:r7j9k4<c:?:17<5<=1vo7::1818g1839>707:3;070>{tj0<1<7<t=`43>6><50??6?:;;|qa=2<72;q6m;h53`9>=03=:=>0q~l68;296~;f>o08o636578101=z{k3j6=4={<c44?5f343>47<;4:pf<d=838p1l9?:2a89<3>2;>?7p}m9b83>7}:i>;1?l5294c9612<uzh2h7>52z?b34<4k2729o4=459~wg?b2909w0o82;16?8?2k38?86s|b8d94?4|5h=96>64=87g>7233tyim=4?:3y>e=7=;h1658k52568yvdf93:1>v3n8080g>;>=o09895rscc1>5<5s4k3>7=n;<;54?43<2wxnl=50;0x9d>52:i0148>:367?xuei<0;6?u2a9197d=:1?91>9:4}r`b2?6=:r7j4>4<c:?:21<5<=1voo8:1818g?<39>70795;070>{tjh21<7<t=`:7>6><50<=6?:;;|qae<<72;q6m4=53`9>=31=:=>0q~lna;296~;f1:08o636698101=z{kki6=4={<c:0?5f343=57<;4:pfde=838p1l7;:2a89<0f2;>?7p}mae83>7}:i0?1?l5297`9612<uzhji7>52z?b=0<4k272:n4=459~wgd72909w0o66;16?8?1m38?86s|bc394?4|5h3=6>64=84e>7233tyin?4?:3y>ed3=;h165:>52568yvde;3:1>v3na480g>;>?809895rsc`7>5<5s4kj:7=n;<;46?43<2wxno;50;0x9dg12:i0149<:367?xuej?0;6?u2a`597d=:1>>1>9:4}r`a3?6=:r7jm:4<c:?:30<5<=1vol7:1818gf039>70786;070>{tjk31<7<t=`c;>6><50=<6?:;;|qafg<72;q65l;53`9>=2?=:=>0q~lmc;296~;>i<08o6367`8101=z{kho6=4={<;b2?5f343<n7<;4:pfgc=838p14o9:2a89<1d2;>?7p}mbg83>7}:1h=1?l5296f9612<uzhh<7>52z?:e2<4k272;h4=459~wge62909w07n8;16?8?0n38?86s|bb094?4|50k36>64=8:3>7233tyio>4?:3y>=g1=;h1655?52568yvdd<3:1>v36b680g>;>0;09895rsca5>5<5s43i47=n;<;;0?43<2wxnn950;0x9<d?2:i0146::367?xuek10;6?u29c;97d=:11<1>9:4}r``=?6=:r72n44<c:?:<2<5<=1vomn:1818?ei39>70778;070>{tjjh1<7<t=8`b>6><50226?:;;|qagf<72;q65n753`9>==g=:=>0q~lld;296~;>k008o6368c8101=z{kin6=4={<;`e?5f3433o7<;4:pff`=838p14mn:2a89<>c2;>?7p}m5383>7}:1jh1?l529029612<uzh>?7>52z?:gg<4k272=<4=459~wg332909w07lc;16?8?6:38?86s|b4794?4|50ih6>64=830>7233tyi9;4?:3y>=ad=;h165<:52568yvd2?3:1>v36dc80g>;>9<09895rsc7;>5<5s43oo7=n;<;22?43<2wxn8750;0x9<bd2:i014?8:367?xue=h0;6?u29ef97d=:1821>9:4}r`6f?6=:r72hi4<c:?:5<<5<=1vo;k:1818?cm39>707>b;070>{tj<o1<7<t=8ff>6><50;h6?:;;|qa1c<72;q65hj53`9>=4b=:=>0q~l90;296~;>mm08o6361d8101=z{k<:6=4={<;fa?5f343:j7<;4:pf34=838p14kj:2a89<472;>?7p}m6283>7}:1ll1?l529339612<uzh=87>52z?:ac<4k272>?4=459~wg022909w07i0;16?8?5;38?86s|b7494?4|50l;6>64=807>7233tyi:54?:3y>e57=;h165?852568yvd113:1>v3n0080g>;>:>09895rsc4b>5<5s4k;>7=n;<;1<?43<2wxn;l50;0x9d652:i014<6:367?xue>j0;6?u2a1197d=:1;k1>9:4}r`5`?6=:r7j<>4<c:?:6g<5<=1vo8j:1818g7<39>707=c;070>{tj?l1<7<t=`27>6><508o6?:;;|qb`4<72:q654=5389>=5`=jo1645h52568yvga03:1?v369280f>;>;?0ij637b78101=z{k:86=4<{<;:0?5>343?=7li;<:`5?43<2wxn=k50;1x9<?32:h014:l:cd89=ed2;>?7p}m1883>6}:10?1?4529459fc=:0m=1>9:4}r`10?6=;r72584<b:?:27<en273i?4=459~wg4a2908w0766;17?8?1l3hm706jd;070>{tj:k1<7=t=8;5>60<50=36oh4=9d;>7233tyi884?:2y>e43=;01655=5bg9>=55=:=>0q~l:0;297~;f9<08n6368d8ab>;>8l09895rs`f`>5<4s4k::7=6;<;2e?da3422m7<;4:pe`1=839p1l?9:2`89<422kl015o::367?xufn90;6>u2a0597<=:1;o1nk528`g9612<uzkm=7>53z?b52<4j272>k4mf:?;ec<5<=1vlh=:1808g6039?707<0;`e?8>e838?86s|ag194?5|5h;36>84=812>g`<51h:6?:;;|qbb1<72:q6m?95389>=64=jo164o<52568yvga=3:1?v3n2680f>;>;:0ij637b28101=z{hl=6=4<{<c1<?5>343887li;<:a0?43<2wxmk950;1x9d4?2:h014=::cd89=d22;>?7p}nf883>6}:i;31?4529259fc=:0k=1>9:4}rcee?6=;r7j>44<b:?:7=<en273n54=459~wd`e2908w0o=a;17?8?413hm706m9;070>{tioi1<7=t=`0b>60<509j6oh4=9`b>7233tyjji4?:2y>e6?=;0165>l5bg9><gd=:=>0q~oie;297~;f;008n6363b8ab>;?jj09895rs`de>5<4s4k8m7=6;<;0`?da342ih7<;4:pf56=839p1l=n:2`89<5b2kl015lj:367?xue880;6>u2a2`97<=:1:l1nk528cd9612<uzh;>7>53z?b7g<4j2728=4mf:?;g5<5<=1vo>;:1808g4k39?707;2;`e?8>d:38?86s|b1794?5|5h9h6>84=860>g`<51i86?:;;|qa43<72:q6m9l5389>=12=jo164n:52568yvd7?3:1?v3n4c80f>;><<0ij637c48101=z{k:36=4<{<c7g?5>343?:7li;<:`2?43<2wxn=750;1x9d2d2:h014:8:cd89=e02;>?7p}m0`83>6}:i=n1?45295:9fc=:0j21>9:4}r`3f?6=;r7j8i4<b:?:0<<en273o44=459~wg6d2908w0o;e;17?8?3i3hm706la;070>{tj9n1<7=t=`6f>60<50>i6oh4=9aa>7233tyi<k4?:2y>e0b=;01659j5bg9><fb=:=>0q~l>0;297~;f=m08n6364d8ab>;?kl09895rsc32>5<4s4k>i7=6;<;7b?da342hj7<;4:pf44=839p1l;j:2`89<372kl015j?:367?xue9:0;6>u2a4d97<=:1<;1nk528e39612<uzh:87>53z?b1c<4j2729?4mf:?;`7<5<=1vo?::1808g1839?707:3;`e?8>c;38?86s|b0494?5|5h<;6>84=877>g`<51n?6?:;;|qa52<72:q6m;h5389>=03=jo164i;52568yvd603:1?v3n6g80f>;>=?0ij637d78101=z{k;j6=4<{<c44?5>343>47li;<:g<?43<2wxn<l50;1x9d172:h014;6:cd89=b>2;>?7p}m1b83>6}:i>;1?45294c9fc=:0mk1>9:4}r`2`?6=;r7j;<4<b:?:1g<en273ho4=459~wg7b2908w0o82;17?8?2k3hm706kc;070>{tj8l1<7=t=`51>60<50?o6oh4=9fg>7233tyi>=4?:2y>e=7=;01658k5bg9><ac=:=>0q~l=1;297~;f0808n6365g8ab>;?lo09895rsc01>5<4s4k3>7=6;<;54?da342n<7<;4:pf75=839p1l6=:2`89<062kl015k>:367?xue:<0;6>u2a9197<=:1?91nk528d19612<uzh9:7>53z?b<6<4j272:94mf:?;a1<5<=1vo<8:1808g?<39?70795;`e?8>b=38?86s|b3:94?5|5h2?6>84=845>g`<51o=6?:;;|qa6<<72:q6m4=5389>=31=jo164h952568yvd5i3:1?v3n9280f>;>>10ij637e98101=z{k8i6=4<{<c:0?5>343=57li;<:f=?43<2wxn?m50;1x9d?32:h0148n:cd89=cf2;>?7p}m2e83>6}:i0?1?45297`9fc=:0lh1>9:4}r`1a?6=;r7j584<b:?:2f<en273in4=459~wg572908w0o66;17?8?1m3hm706je;070>{tj:;1<7=t=`;5>60<50<m6oh4=9ge>7233tyi??4?:2y>ed3=;0165:>5bg9><c6=:=>0q~l<3;297~;fi<08n636708ab>;?n809895rsc17>5<4s4kj:7=6;<;46?da342m>7<;4:pf63=839p1lo9:2`89<142kl015h<:367?xue;?0;6>u2a`597<=:1>>1nk528g69612<uzh8;7>53z?be2<4j272;84mf:?;b0<5<=1vo=7:1808gf039?70786;`e?8>a>38?86s|b2;94?5|5hk36>84=854>g`<51l<6?:;;|qa7g<72:q65l;5389>=2?=jo164k752568yvd4k3:1?v36a480f>;>?h0ij637f`8101=z{k9o6=4<{<;b2?5>343<n7li;<:ef?43<2wxn>k50;1x9<g12:h0149l:cd89=`d2;>?7p}m3g83>6}:1h=1?45296f9fc=:0on1>9:4}r`74?6=;r72m:4<b:?:3`<en273jh4=459~wg262908w07n8;17?8?0n3hm706if;070>{tj=81<7=t=8c;>60<502;6oh4=823>7233tyi8>4?:2y>=g1=;01655?5bg9>=57=:=>0q~l;4;297~;>j>08n636838ab>;>8;09895rsc65>5<4s43i47=6;<;;0?da343;87<;4:pf11=839p14l7:2`89<>22kl014>::367?xue<10;6>u29c;97<=:11<1nk529149612<uzh?57>53z?:f<<4j2724:4mf:?:42<5<=1vo:n:1808?ei39?70778;`e?8?7038?86s|b5`94?5|50hj6>84=8::>g`<50:26?:;;|qa0f<72:q65n75389>==g=jo165=o52568yvd3l3:1?v36c880f>;>0k0ij6360c8101=z{k>n6=4<{<;`e?5>3433o7li;<;3g?43<2wxn9h50;1x9<ef2:h0146k:cd89<6c2;>?7p}nd383>6}:1jh1?4529029fc=:00:1>9:4}rcg7?6=;r72oo4<b:?:54<en2735<4=459~wdb32908w07lc;17?8?6:3hm70662;070>{tim?1<7=t=8a`>60<50;86oh4=9;0>7233tyjh;4?:2y>=ad=;0165<:5bg9><<2=:=>0q~ok7;297~;>lk08n636148ab>;?1<09895rs`f;>5<4s43oo7=6;<;22?da3422:7<;4:pea?=839p14jl:2`89<702kl01578:367?xuflh0;6>u29ef97<=:1821nk5288:9612<uzkon7>53z?:`a<4j272=44mf:?;=<<5<=1vljk:1808?cm39?707>b;`e?8>>j38?86s|aeg94?5|50nn6>84=83`>g`<513h6?:;;|qb`c<72:q65hj5389>=4b=jo1644j52568yvgb83:1?v36ee80f>;>9l0ij6379d8101=z{ho:6=4<{<;fa?5>343:j7li;<::b?43<2wxmh<50;1x9<cb2:h014<?:cd89=g72;>?7p}ne283>6}:1ll1?4529339fc=:0h;1>9:4}rcf0?6=;r72ik4<b:?:67<en273m?4=459~wdc22908w07i0;17?8?5;3hm706n3;070>{til<1<7=t=8d3>60<508?6oh4=9c7>7233tyji54?:2y>e57=;0165?85bg9><d0=:=>0q~oj9;297~;f8808n636268ab>;?i>09895rs`gb>5<4s4k;>7=6;<;1<?da342j47<;4:pe`d=839p1l>=:2`89<4>2kl015o6:367?xufmj0;6>u2a1197<=:1;k1nk528`c9612<uzknh7>53z?b46<4j272>o4mf:?;eg<5<=1vlkj:1808g7<39?707=c;`e?8>fk38?86s|add94?5|5h:?6>84=80g>g`<51ko6?:;;|qbg2<72;q65k>5bg9>e57=:=<0q~om8;296~;>mj09>:529gf93c=z{hi=6=4={<;ga?da343nh7<;6:peg1=838p14jn:304?8?b13=m7p}nc483>7}:1ji1nk529e`9610<uzki:7>52z?:g=<5:>165i957g9~wde32909w07ma;`e?8?d138?:6s|ac794?4|50h=6?<8;<;`1?1a3tyjo>4?:3y>=d>=jo165o952548yvge<3:1>v36a58162=:1k91;k5rs`a1>5<5s4kj47li;<;b1?43>2wxmo=50;0x9dg32;8<707n1;5e?xufl90;6?u2a849fc=:ih?1>984}rc`5?6=:r7j5?4=269>ed7=?o1vlmi:1818g?<3hm70o63;072>{tij:1<7<t=`:3>74034k3j79i;|qbg`<72;q6m:<5bg9>e=7=:=<0q~omf;296~;f>l09>:52a6f93c=z{hio6=4={<c54?da34k=j7<;6:pegc=838p1l;l:304?8g1j3=m7p}ncb83>7}:i=o1nk52a4f9610<uzkih7>52z?b0d<5:>16m8757g9~wdee2909w0o<c;`e?8g3j38?:6s|aca94?4|5h936?<8;<c73?1a3tyjol4?:3y>e7g=jo16m>752548yvgej3:1>v3n278162=:i:?1;k5rs`a:>5<5s4k:47li;<c13?43>2wxmoo50;0x9d732;8<70o=3;5e?xufk10;6?u29849fc=:i8?1>984}rca=?6=:r725?4=269>e47=?o1v47n:1818?>83=m7077f;013>{t10h1<7<t=8;2>2`<503;6?<8;|q:=f<72;q654<57g9>=<7=:;=0q~76d;296~;>1:09895299d9602<uz32;7>52z?:=1<5<?1654=5bg9~w<?b2909w0764;070>;>1909995rs8;;>5<5s43297<;6:?:=1<en2wx54h50;0x9<?22;>?70761;060>{t1031<7<t=8;5>722343297li;|q:e5<72;q6548525689<?52;??7p}n1d83>7}:i8>1;k52a019671<uzk:h7>52z?b57<5:>16m<=57g9~wd7a2909w0o>1;060>;f9<09895rs`3:>5<5s4k::7<;6:?b50<en2wxm?>50;0x9d712;>?70o>2;060>{ti8k1<7<t=`34>72134k::7li;|qb64<72;q6m<9525689d742;??7p}n1c83>7}:i821>9;4=`34>g`<uzk9>7>52z?b5=<5<=16m<:52468yvg6k3:1>v3n108162=:i881;k5rs`13>5<5s4k9:79i;<c11?45?2wxm?h50;0x9d432;8<70o=5;5e?xuf;80;6?u2a319602<5h8<6?:;;|qb6g<72;q6m?6525489d402kl0q~o<2;296~;f:1098952a369602<uzk9o7>52z?b6<<5<?16m?65bg9~wd542909w0o=9;070>;f:<09995rs`0g>5<5s4k9m7<;5:?b6<<en2wxm>:50;0x9d4f2;>?70o=6;060>{ti;o1<7<t=`00>74034k9879i;|qb07<72;q6m>657g9>e61=:;=0q~o;1;296~;f;?09>:52a2593c=z{h>86=4={<c01?42<27j?44=459~wd5c2909w0o<a;072>;f;00ij6s|a5694?4|5h9j6?:;;<c02?42<2wxm>k50;0x9d5e2;>=70o<a;`e?xuf<<0;6?u2a2`9612<5h9<6?;;;|qb7c<72;q6m>m525789d5e2kl0q~o;6;296~;f;j098952a2:9602<uzk?<7>52z?b70<5:>16m>857g9~wd332909w0o;a;5e?8g31389;6s|a4194?4|5h>36?<8;<c7=?1a3tyj984?:3y>e11=:<>01l:m:367?xuf<o0;6?u2a5a9610<5h>i6oh4}rc62?6=:r7j8n4=459>e1>=:<>0q~o:0;296~;f<m098;52a5a9fc=z{h?<6=4={<c7`?43<27j844=559~wd362909w0o;e;071>;f<m0ij6s|a4:94?4|5h>n6?:;;<c7e?42<2wxm8<50;0x9d202;8<70o;8;5e?xuf>?0;6?u2a4a93c=:i<h1>?94}rc51?6=:r7j9l4=269>e0d=?o1vl88:1818g2138>863n5e8101=z{h<:6=4={<c6a?43>27j9i4mf:pe3>=838p1l;j:367?8g2i38>86s|a7094?4|5h?m6?:9;<c6a?da3tyj:44?:3y>e0`=:=>01l;m:377?xuf>:0;6?u2a729613<5h?m6oh4}rc5e?6=:r7j:=4=459>e0e=:<>0q~o94;296~;f=009>:52a4c93c=z{h=36=4={<c5a?1a34k=h7<=7:pe21=838p1l8l:304?8g1l3=m7p}n7883>7}:i?h1>8:4=`4e>7233tyj;>4?:3y>e26=:=<01l8i:cd8yvg0i3:1>v3n718101=:i?i1>8:4}rc40?6=:r7j;<4=479>e26=jo1vl9m:1818g0938?863n6e8111=z{h=>6=4={<c46?43=27j;<4mf:pe2e=838p1l9=:367?8g1m38>86s|a6494?4|5h<i6?<8;<c5g?1a3tyj4l4?:3y>e=6=?o16m:h52358yvg?13:1>v3n7d8162=:i>l1;k5rs`:a>5<5s4k<h7<:4:?b<4<5<=1vl6::1818g?:38?:63n808ab>{ti1i1<7<t=`:1>72334k<i7<:4:pe=0=838p1l6<:365?8g?:3hm7p}n8e83>7}:i191>9:4=`5e>7333tyj4:4?:3y>e=2=:=?01l6<:cd8yvg?m3:1>v3n858101=:i1:1>8:4}rc;<?6=:r7j;i4=269>e2c=?o1vl7l:1818g>:3=m70o61;013>{ti0h1<7<t=`;3>74034k2=79i;|qb=a<72;q6m5h524689d?42;>?7p}n9683>7}:i0>1>984=`;0>g`<uzk2i7>52z?b=1<5<=16m4>52468yvg>03:1>v3n948103=:i0>1nk5rs`;e>5<5s4k297<;4:?b=4<5==1vl76:1818g>>38?963n948ab>{tih:1<7<t=`;5>72334k2>7<:4:pe<g=838p1l6i:304?8g>83=m7p}nad83>7}:ih>1;k52a`19671<uzkjh7>52z?be7<5:>16ml=57g9~wdga2909w0on1;060>;fi<09895rs`c:>5<5s4kj:7<;6:?be0<en2wxmo>50;0x9dg12;>?70on2;060>{tihk1<7<t=`c4>72134kj:7li;|qbf4<72;q6ml9525689dg42;??7p}nac83>7}:ih21>9;4=`c4>g`<uzki>7>52z?be=<5<=16ml:52468yvgfk3:1>v3na08162=:ih81;k5rs8cf>5<5s43j879i;<;b7?45?2wx5lj50;0x9<g52;8<707n3;5e?xu>io0;6?u29`39602<50k>6?:;;|q:e<<72;q65l8525489<g22kl0q~7m0;296~;>i?0989529`09602<uz3jm7>52z?:e2<5<?165l85bg9~w<d62909w07n7;070>;>i:09995rs8ca>5<5s43j47<;5:?:e2<en2wx5o<50;0x9<g?2;>?707n4;060>{t1hi1<7<t=8c2>740343j>79i;|q:g5<72;q65o857g9>=g3=:;=0q~7mf;296~;>j=09>:529c793c=z{0i:6=4={<;a7?42<272n:4=459~w<de2909w07m8;072>;>j>0ij6s|9b094?4|50h36?:;;<;a0?42<2wx5om50;0x9<d>2;>=707m8;`e?xu>k:0;6?u29c;9612<50h>6?;;;|q:fa<72;q65oo525789<d>2kl0q~7l4;296~;>jh0989529c49602<uz3ii7>52z?:f6<5:>165o:57g9~w<b52909w07l8;5e?8?d?389;6s|9e394?4|50i=6?<8;<;`3?1a3ty2h>4?:3y>=f3=:<>014m6:367?xu>km0;6?u29bc9610<50i26oh4}r;g0?6=:r72ol4=459>=f0=:<>0q~7le;296~;>kk098;529bc9fc=z{0n>6=4={<;`f?43<272o:4=559~w<ea2909w07lc;071>;>kk0ij6s|9e494?4|50ih6?:;;<;`<?42<2wx5i>50;0x9<e22;8<707l6;5e?xu>m=0;6?u29ec93c=:1m31>?94}r;f7?6=:r72h54=269>=a?=?o1v4k::1818?c?38>8636dc8101=z{0nm6=4={<;gg?43>272ho4mf:p=`0=838p14jl:367?8?c038>86s|9d294?4|50no6?:9;<;gg?da3ty2i:4?:3y>=ab=:=>014j6:377?xu>m80;6?u29eg9613<50no6oh4}r;f<?6=:r72hh4=459>=ag=:<>0q~7j2;296~;>l>09>:529e:93c=z{0l=6=4={<;fg?1a343nn7<=7:p=c3=838p14kn:304?8?bj3=m7p}6f683>7}:1l31>8:4=8gg>7233ty2j<4?:3y>=`c=:=<014kk:cd8yv?a03:1>v36ed8101=:1lk1>8:4}r;e6?6=:r72ik4=479>=`c=jo1v4h6:1818?bn38?8636ec8111=z{0l86=4={<;e4?43=272ik4mf:p=cg=838p14h?:367?8?bk38>86s|9g694?4|50o26?<8;<;fe?1a3tyj<n4?:3y>=cd=jo165km52358yvg7i3:1>v3n0184b>;>no09>:5rs`2a>5<5s4k;<7<=7:?:bf<0n2wxm=750;0x9<`b2;8<707if;5e?xuf8m0;6?u29gf9602<5h::6?:;;|qb40<72;q6m=<525489d662kl0q~o?e;296~;f8;0989529gg9602<uzk;:7>52z?b46<5<?16m=<5bg9~wd6a2909w0o?3;070>;>no09995rs`24>5<5s4k;87<;5:?b46<en2wxm<>50;0x9d632;>?70o?0;060>{ti921<7<t=8dg>740343mi79i;|q4ag<72;q6;hl522c89=6f28n>7p}8eb83>7}:?li1>>o4=92b>4b33ty3=44?:2y><41=:=>015?7:cd89=712;><7p}71`83>7}:0821>9:4=935>g`<uz=m97>52z?4b1<5<=16;k>5bg9~w2`02908w09jf;`e?81a838?8638f08ab>{t?o21<7<t=6ge>72034=m=7<;4:p3c?=838p1:h<:367?81a:3hm7p}8f`83>7}:?o81>9:4=6gf>4b53ty<j;4?:4y>3c2=1?16;k=5979>3`c=::k01:h?:84892`620<0q~697;296~;0k909?l5281f95a0<uz2=57>52z?4g7<5;h164<<51e48yv>1i3:1>v38c2817d=:08:1=i;4}r:5f?6=:r7<o94=3`9><47=9m>0q~69c;296~;0k<09?l5281f95a3<uz2=h7>52z?4g3<5;h164<<51e78yv>1m3:1>v38c6817d=:08:1=i=4}r:5b?6=:r7<o54=3`9><47=9m?0q~680;296~;0k009?l5281f95a1<uz2<=7>52z?4gd<5;h164==51e58yv>103:1>v38c0817d=:08:1=i84}r:47?6=jr73=i4=3`9><01=:==015;;:364?8>2838?;6374b8102=:0=21>994=967>720342?<7<;7:?;7f<5<>164>6525589=532;><7p}8b883>6}:?m?1>>o4=6g:>g`<5>o36oh4}r5a`?6=;r73=k48d:?;5`<0l27<ii4=3`9~w2c42909w0694;`e?8>7j388m6s|86094?4|51;h6?=n;<:2`?7c92wx;o<50;0x9=712;>?706>b;;5?xu??h0;6:u285a9612<51>o6<j=;<:26?7c;273==4>d39><55=9m>015>k:0f1?8>693;o>6s|86`94?1|51?;6?:;;<:65?7c:273==4>d59><55=9m?015>k:0f0?8>6:3;o>6371082`6=z{1=h6=4<{<:60?43<273984>d39><55=9m90q~68d;297~;?=>09895284:95a4<51:86<j=;|q;32<7288p1:m>:0f6?81di3;o9638c882`0=:?j21=i;4=6a4>4b234=h:7?k5:?4g0<6l<16;n:51e7892e428n>709l2;3g1>;0k90:h8528529612<51>:6<j=;<:27?7c:273=94>d39><5g=9m8015>9:0f1?8>7?3;o86s|86:94?>|51>?6?:;;<:71?7c:273=>4>d69><5`=9m9015><:0f5?8>7k3;o?6371382`1=:09h1=i:4}r:4=?6==r73854=459><1?=9m8015>m:0f0?8>7n3;o>6370b82`7=z{1=?6=4>3z?4ag<6l:16;hm51e1892e628n9709la;3g6>;0k00:h?527b:95a4<5>i<6<j=;<5`2?7c:27<o84>d39>3f2=9m801:m<:0f1?81d:3;o>638c182`7=:0:>1>9:4=916>4b5342:?7?k6:?;51<6l:164=751e089=622;??7p}77483>45|5>oi6<j=;<5fg?7c:27<o<4>d29>3fg=9m901:m6:0f0?81d03;o?638c682`6=:?j<1=i=4=6a6>4b434=h87?k3:?4g6<6l:16;n<51e1892e728n8706<8;070>;?;00:h?5280195a2<51;?6<j;;<:3e?7c>273<54>d29~w=11290:>v38c082`1=:?jk1=i:4=6a:>4b334=h47?k4:?4g2<6l=16;n851e6892e228n?709l4;3g0>;0k:0:h9527b095a2<5>i;6<j;;<:0g?43<273?i4>d39><45=9m9015?;:0f6?8>7i3;o?6370782`3=:09=1=i<4}r5a3?6=;r7<h84>d59>3`?=:=>015>9:0f7?xu0j10;6>u27dc9612<5>n>6<j=;<:32?7c;2wx;o850;1x92b228n8709j8;070>;?8?0:h85rs6g3>5<es4=o879k;<5g7?1c34=o>79k;<5g5?1c34=o<79k;<5`b?1c34=hi79k;<5``?1c34=ho79k;<5`f?1c342:97<<a:p3g5=838p15?m:367?8>7?3;o:6s|7cg94?4|51;86<j:;<5ea?43<2wx;oh50;1x9=7328n=706?0;070>;?880:h?5rs6g7>5<4s42=97<;4:?;23<6l;164=951e78yv1e<3:1?v371582`2=:?l?1>9:4=6g5>4b53ty<i<4?:3y><4c=:=>015>9:0f4?xu0m;0;6?u280d9612<51:<6<j<;|q4`3<72;q6;nl5256892cd28n<7p}8d683>7}:?ji1>9:4=6g`>4b13ty<h54?:3y>3fb=:=>01:kl:0f7?xu0l00;6?u27bg9612<5>oh6<j:;|q4`d<72;q6;nh5256892ce28n<7p}8dc83>7}:?m:1>9:4=6ga>4b13ty<hn4?:3y>3a7=:=>01:km:0f7?xu0lm0;6?u27e09612<5>oi6<j:;|q4``<72;q6;i=525689=6>28n87p}8dg83>7}:?m>1>9:4=92:>4b33ty<n84?:3y>3`1=:=>015?::0f7?xu0jj0;69u27ga9612<51;>6<j=;<5f`?7c:27<ji4>d39~w2de290>w09ib;070>;0nj0ij6371482`6=:?ln1=i=4=6dg>4b43ty<nl4?:5y>3cd=jo16;hh5256892`42>n01:h<:cd8yv>513:1>v37358ab>;?;?09?l5rs903>5<4s428;79i;<:0e?1a3428?7<=7:p<7g=838p15=7:cd89=5f2;9j7p}72083>6}:0:h1;k5282g93c=:0:=1>?94}r:1f?6=:r73?n4mf:?;7`<5;h1v5<=:1808>4n3=m706;2;5e?8>4j389;6s|83a94?4|51>;6oh4=961>75f3ty3>>4?:2y><15=?o1649857g9><6`=:;=0q~6=d;296~;?<=0ij63747817d=z{18?6=4<{<:73?1a342?m79i;<:77?45?2wx4?k50;0x9=2?2kl015:n:31b?xu?:<0;6>u285`93c=:0=o1;k528559671<uz29j7>52z?;0f<en2738h4=3`9~w=412908w06;f;5e?8>2:3=m706;b;013>{t0::1<7<t=973>g`<51?96?=n;|q;62<72:q648=57g9><00=?o1649h52358yv>493:1>v37558ab>;?=?09?l5rs90;>5<5s42>579i;<:67?45?2wx4><50;0x9=302kl015;6:31b?xu?=h0;6>u2827966g<51986?;;;<:02?45>2wx48l50;1x9=5>2;9j706<7;060>;?;h09>;5rs97`>5<4s428h7<<a:?;7g<5==164>k52348yv>2l3:1?v3740817d=:0:l1>8:4=961>7413ty39h4?:2y><13=::k015:<:377?8>3>389:6s|84d94?5|51>26?=n;<:73?42<2738l4=279~w=072908w06;d;00e>;?<k09995285g9670<uz2==7>53z?;14<5;h1649h524689=352;8=7p}76383>6}:0<?1>>o4=970>733342>:7<=6:p<35=838p15;7:31b?8>21389:6s|50794?4|5<8;6oh4=401>75f3ty><n4?:2y>175=?o169?857g9>14`=:;=0q~;>6;296~;2:=0ij63:27817d=z{<:o6=4<{<713?1a34?9m79i;<717?45?2wx9<950;0x904?2kl018<n:31b?xu28l0;6>u253`93c=:=;o1;k525359671<uz?:47>52z?66f<en27>>h4=3`9~w06a2908w0;=f;5e?834:3=m70;=b;013>{t=831<7<t=413>g`<5<996?=n;|q655<72:q69>=57g9>160=?o169?h52358yv36i3:1>v3:358ab>;2;?09?l5rs432>5<4s4?8;79i;<70e?1a34?8?7<=7:p14d=838p18=7:cd8905f2;9j7p}:1383>6}:=:h1;k5252g93c=:=:=1>?94}r72g?6=:r7>?n4mf:?67`<5;h1v8?<:180834n3=m70;;2;5e?834j389;6s|50f94?4|5<>;6oh4=461>75f3ty>=94?:3y>113=?o169>h52358yv36m3:1>v3:428ab>;2<<09?l5rs465>5<4s4?9=7<<a:?65c<5==169?<52348yv33?3:1?v3:24817d=:=;91>8:4=405>7413ty>854?:2y>17?=::k018<8:377?835i389:6s|55;94?5|5<8o6?=n;<71f?42<27>>h4=279~w02f2908w0;<1;00e>;2:o0999525209670<uz??n7>53z?670<5;h169>=5246890512;8=7p}:4b83>6}:=:31>>o4=414>73334?8m7<=6:p11b=839p18=k:31b?834j38>863:3d8163=z{<>n6=4<{<775?44i27>?k4=559>114=:;<0q~;;f;296~;2<=09?l525579670<uz=i=7>52z?43c<en27<n=4=3`9~w2>f2909w09ne;3g1>;0io09?l5rs6::>5<>s4=<j7<:4:?4<5<5==16;5?5246892>52;??70973;060>;00=0999527979602<5>2=6?;;;<5ba?44i2wx;5950;1x92ga28n9709n2;070>;0i:0ij6s|79:94?4|5>km6<j<;<5b`?43<2wx;l950;7x92g220<01:o;:84892?b2;9j709n1;;5?81f833=7p}8ab83>7}:?h91>9:4=6;f>4b53ty<mo4?:3y>3d2=:=>01:o=:cd8yv1fi3:1?v389g8101=:?h>1;i527`69fc=z{>k26=4={<5:b?43?27<m<4=459~w2g?2908w096f;`e?81f93hm709n0;070>{t?h<1<7<t=6c6>72334=j<7li;|q4=3<72<q6;4:5979>3<5=1?16;5l522c892>b20<01:6k:848yv1>l3:1>v38938101=:?1h1=i<4}r5:g?6=:r7<5=4=459>3<7=jo1v:7m:18181?n38?8638918ab>{t?0k1<7<t=6;0>72334=3j7li;|q4=<<72:q6;5m5256892?42>n01:7<:cd8yv1>03:1>v388b8102=:?1o1>9:4}r5:3?6=;r7<4n4mf:?4<`<en27<4i4=459~w2?22909w0964;070>;00m0ij6s|61394?4|5<lh6<j=;<7ea?43<2wx:=>50;0x90`c2kl018hj:365?xu18;0;6?u25ga95a5<5<lo6?:;;|q6bc<72;q69kl5bg9>1ce=::k0q~8<3;296~;1:o0:h?526239612<uz<8>7>52z?575<en27=?<4=479~w3532909w08=f;3g7>;1;909895rs724>5<5s4<;?7?k2:?540<5<=1v;>9:181807<3hm708?5;072>{t>921<7<t=720>4b434<;87<;4:p27?=838p1;?l:0f1?805:38?86s|63494?4|5?8:6oh4=701>7213ty=>l4?:3y>24e=9m901;<>:367?xu1:>0;6?u26329fc=:>;;1>984}r41f?6=:r7==i4>d39>276=:=>0q~8=8;296~;19o0ij639218103=z{?8h6=4={<42`?7c;27==k4=459~w3442909w08>e;3g6>;19j09?l5rs707>5<5s4<:i7?k3:?55a<5;h1v;<::181806j3hm708>e;00e>{t>8=1<7<t=72b>4b534<:<7<;4:p242=838p1;>i:cd893772;>=7p}91983>7}:>9k1=i=4=72e>7233ty==84?:3y>25c=jo16:=h52548yv0613:1>v390c82`7=:>9o1>9:4}r422?6=:r7=<i4mf:?54`<5<?1v;?n:181807j3;o?6390e8101=z{?;:6=4={<43g?7c:27=<l4=3`9~w3752909w08?c;3g7>;18k09?l5rs730>5<5s4<;57li;<43g?44i2wx:>650;ax90`>2kl01;<k:367?80fl3=o708na;5g?80f>3=o708n2;5g?80>m3=o7086a;5g?80>>3=o70862;5g?80?m3=o7087a;5g?xu0?>0;6?u263f9613<5?:>6oh4}r55f?6=jr7=?84=459>2c7=?m16:hk57e9>2`g=?m16:h857e9>2`4=?m16:ik57e9>2ag=?m16:i857e9>2a4=?m16:nk57e9~w2112909w08=d;`e?804=38?96s|76f94?4|5><<6oh4=645>75f3ty<;h4?:3y>331=:=>01:87:cd8yv10k3:1>v3:f88101=:=ok1nk5rs64g>5<5s4==579k;<402?43<2wx;:>50;0x920f2>n01;=8:367?xu0?k0;6?u26249fc=:>:=1>984}r40e?6=:r7<:848d:?56`<5<=1v:9n:181804?3hm708=e;072>{t?>31<7<t=4dg>72134<9i7li;|q6b=<72=q6;;752558920f2;><70995;073>;18:09?l5rs65;>5<5s4<;87<;6:?6b`<en2wx:>m50;`x934a2;9j708nd;073>;1ih098:526`49611<5?k96?:8;<4:a?43?27=5l4=469>2<0=:==01;7=:364?80?m38?;6398`8102=z{>=>6=4={<401?da34<8<7<;6:p322=838p1;>k:365?80493hm7p}87283>7}:>8:1nk5260d9610<uz<8n7>5cz?420<5<=16:=j53d9>25c=;l16:=h53d9>246=;l16:<h53d9>276=;l16:??53d9>274=;l16:==52468934a2;??708=e;1f?xu0?80;6nu277c9612<5?:i6?;;;<43e?42<27==i4=559>24e=:<>01;>;:2g893622:o01;=?:2g893562:o018hk:2g890`b2:o01;=8:2g8yv11m3:19v38688101=:>9i1>8:4=73f>73334?mo7<:4:?573<4m2wx;:<50;0x93452kl01:<k:365?xu0>o0;6?u277c9fc=:?;21>?94}r55g?6=:r7<:44mf:?451<5:>1v;=6:181811=3hm709?0;013>{t>k31<7<t=7cf>75f34<jj7<=6:p2g>=839p1;om:31b?80f138>8639ab8163=z{?h<6=4<{<4b3?44i27=m84=559>2d>=:;<0q~8m6;297~;1i:09?l526`39602<5?k?6?<9;|q5f0<72:q6:4h522c893?c2;??708n0;012>{t>k>1<7=t=7;a>75f34<257<:4:?5=f<5:?1v;l<:18080>?388m639948111=:>021>?84}r4a6?6=;r7=5>4=3`9>2<7=:<>01;7;:305?xu1j80;6>u269d966g<5?2o6?;;;<4:4?45>2wx:o>50;1x93>e2;9j70879;060>;10j09>;5rs7:;>5<5s4<jh7li;<4bb?44i2wx::k50;0x93ga2>l01;o6:304?xu10>0;6?u26`c9fc=:>hi1>>o4}r44`?6=;r7=m448f:?5ef<0n27=m84=269~w3>12909w08n6;`e?80f0388m6s|66a94?5|5?k>6:h4=7c;>2`<5?k:6?<8;|q5<0<72;q6:l<5bg9>2d2=::k0q~88b;297~;1i80<j639a584b>;11m09>:5rs7:7>5<5s4<2i7li;<4b4?44i2wx::o50;1x93?c2>l01;o?:6d893?>2;8<7p}98283>7}:>0k1nk5268a966g<uz<<57>53z?5=<<0n27=5n48f:?5=0<5:>1v;6=:18180>>3hm70868;00e>{t>>21<7=t=7;6>2`<5?336:h4=7;2>7403ty=4<4?:3y>2<4=jo16:4:522c8yv00?3:1?v399084b>;11=0<j6398e8162=z{?2;6=4={<4;a?da34<2<7<<a:p220=839p1;6k:6d893?72>l01;66:304?xu1?o0;6?u269c9fc=:>1i1>>o4}r4e`?6=:r7=j?4=3`9>2c5=:;<0q~8ic;297~;1mo09?l526df9602<5?l;6?<9;|q5bg<72:q6:hl522c893c>2;??708jc;012>{t>ok1<7=t=7g4>75f34<n97<:4:?5a=<5:?1v;h6:18080b;388m639e08111=:>l>1>?84}r4e<?6=;r7=hk4=3`9>2ab=:<>01;k?:305?xu1n>0;6>u26e`966g<5?n26?;;;<4gg?45>2wx:k850;1x93b02;9j708k5;060>;1l109>;5rs7d6>5<4s4<o?7<<a:?5`4<5==16:i:52348yv0a<3:1?v39cg817d=:>jn1>8:4=7f3>7413ty=on4?:3y>2c7=jo16:k=522c8yv0d:3:1>v39f284b>;1mm09>:5rs7aa>5<5s4<ni7li;<4e4?44i2wx:n?50;1x93cc2>l01;h?:6d893c>2;8<7p}9c`83>7}:>lk1nk526da966g<uz<h<7>53z?5a<<0n27=in48f:?5a0<5:>1v;m6:18180b>3hm708j8;00e>{t>kl1<7=t=7g6>2`<5?o36:h4=7g2>7403ty=o54?:3y>2`4=jo16:h:522c8yv0em3:1?v39e084b>;1m=0<j639de8162=z{?i<6=4={<4ga?da34<n<7<<a:p2gb=839p1;jk:6d893c72>l01;j6:304?xu1k?0;6?u26ec9fc=:>mi1>>o4}r4ag?6=;r7=h448f:?5`f<0n27=h84=269~w3e22909w08k6;`e?80c0388m6s|6c`94?5|5?n>6:h4=7f;>2`<5?n:6?<8;|q5g1<72;q6:i<5bg9>2a2=::k0q~8ma;297~;1l80<j639d584b>;1km09>:5rs7a0>5<5s4<hi7li;<4g4?44i2wx:9850;0x93122kl01;9;:31b?xu1<<0;6?u26609fc=:>>;1>>o4}r470?6=:r7=:k4mf:?52`<5;h1v;:<:181801k3hm7089b;00e>{t>=81<7<t=74:>g`<5?<36?=n;|q504<72;q6:;85bg9>233=::k0q~8;0;296~;1>:0ij63963817d=z{?9m6=4={<454?da34<>j7<<a:p26c=838p1;;k:cd8933d2;9j7p}93e83>7}:><k1nk5264;966g<uz=?<7>52z?5bc<5;h16;>>5bg9~w25b2909w08ie;00e>;09j0ij6s|72a94?4|5??<6?:;;<53<?da3ty<8:4?:3y>21b=:=>01;;8:cd8yv13>3:1>v394b8101=:>=n1nk5rs666>5<5s4<?n7<;4:?50f<en2wx;9:50;0x932f2;>?708;b;`e?xu0<:0;6?u265;9612<5?>j6oh4}r576?6=:r7=854=459>21?=jo1v::>:180802>38?8639498ab>;1?=0:h95rs673>5<4s4<>97<;4:?513<en27=;<4>d59~w22a2908w08:4;070>;1=<0ij6396d82`1=z{>>n6=4<{<467?43<27=994mf:?52g<6l=1v::k:180802:38?8639528ab>;1>10:h95rs66`>5<4s4<>=7<;4:?517<en27=:84>d59~w22e2908w08:0;070>;1=80ij6396382`1=z{>>j6=4<{<47b?43<27=9=4mf:?51c<6l=1v::6:180803m38?86394g8ab>;1=j0:h95rs66;>5<4s4<?;7<;4:?50`<en27=944>d59~w25a2909w08if;3g6>;090098;5rs61g>5<5s4<mi7?k2:?440<5<?1v:8;:187800<3;o?639ae8101=:>ho1=i<4=625>6c<uz==?7>54z?534<6l:16:lo5256893ge28n9709?6;1`?xu0>;0;69u267g95a5<5?k=6?:;;<4b3?7c:27<<;4<b:p337=83>p1;8m:0f0?80f:38?8639a282`7=:?9<1?l5rs643>5<3s4<=47?k3:?5=`<5<=16:4h51e0892612:30q~9:f;290~;1><0:h>5268c9612<5?3i6<j=;<531?5b3ty<9h4?:5y>234=9m901;79:367?80>?3;o>6380480g>{t?<n1<7:t=77e>4b434<2>7<;4:?5=6<6l;16;=;53c9~w23d290?w08:c;3g7>;10l09895269d95a4<5>:>6>o4}r56f?6=<r7=944>d29>2=g=:=>01;6m:0f1?817=3927p}85`83>7}:>>?1>9:4=750>g`<uz=>57>52z?537<5<=16::>5bg9~w23?2909w089f;070>;1>m0ij6s|74594?4|5?<h6?:;;<45e?da3ty<9;4?:3y>23?=:=>01;88:cd8yv12=3:1>v39678101=:>?>1nk5rs677>5<5s4<=?7<;4:?524<en2wx;8=50;0x93072;>?708:e;`e?xu0=;0;6?u264f9612<5??i6oh4}r565?6=:r7=9l4=459>20>=jo1v:=;:181815i3=m709=9;013>{t?:?1<7<t=60a>2`<5>8j6?<8;|q473<72;q6;?m57g9>37d=:;=0q~9<7;296~;0:j09>:5273:93c=z{>936=4={<51`?43<27<>44=559~w2562909w09=e;072>;0:m0ij6s|72;94?4|5>8n6?:;;<51e?42<2wx;><50;0x924a2;>=709=e;`e?xu0;h0;6?u273d9612<5>8i6?;;;|q476<72;q6;>>52578924a2kl0q~9<b;296~;0;909895273a9602<uz=9<7>52z?453<0n27<=84=269~w2462909w09>7;5e?816>389;6s|73094?4|5>;36:h4=634>7403ty<>>4?:3y>34>=:;=01:?;:6d8yv15<3:1>v38188101=:?8?1>8:4}r52`?6=:r7<=l4=479>34?=jo1v:<::181816i38?8638178111=z{>;n6=4={<52f?43>27<=l4mf:p370=838p1:?m:367?816?38>86s|70d94?4|5>;h6?::;<52f?da3ty<>:4?:3y>34e=:=>01:?7:377?xu08j0;6?u271093c=:?9;1>?94}r53`?6=:r7<<>48f:?447<5:>1v:>j:181817<3=m709?3;013>{t?9l1<7<t=627>74034=;<79i;|q455<72;q6;=;5256892662;??7p}80883>7}:?9<1>984=626>g`<uz=:=7>52z?443<5<=16;=<52468yv17i3:1>v38068103=:?9<1nk5rs631>5<5s4=;;7<;4:?446<5==1v:>m:181817038?9638068ab>{t?891<7<t=62;>72334=;87<:4:p025=838p199l:cd8911b2;9j7p};6b83>6}:<>l1;k5249093c=:<>h1>?94}r640?6=:r7?4=4mf:?7<7<5;h1v98k:18082?;3=m70:76;5e?820n389;6s|46794?4|5=2?6oh4=5:5>75f3ty?:h4?:2y>0=1=?o1685o57g9>0=5=:;=0q~:86;296~;3010ij63;8`817d=z{=<m6=4<{<6;f?1a34>3i79i;<6;3?45?2wx8:950;0x91>d2kl0196j:31b?xu3?90;6>u249d93c=:<081;k5249`9671<uz><47>52z?7=5<en27?5?4=3`9~w1162908w0:63;5e?82>>3=m70:7f;013>{t<>31<7<t=5;7>g`<5=3=6?=n;|q737<72;q684757g9>0<5=:;=0q~:8a;296~;31>0ij63;98817d=z{=3j6=4<{<64`?44i27?;o4=559>02c=:;<0q~:6b;297~;30809?l5246d9602<5=296?<9;|q7=f<72:q685;522c891>42;??70:76;012>{t<0n1<7=t=5::>75f34>3;7<:4:?7<d<5:?1v97j:18082?l388m63;8c8111=:<1o1>?84}r6:b?6=;r7?5<4=3`9>0=`=:<>0197=:305?xu3i90;6>u2487966g<5=386?;;;<6:2?45>2wx8l?50;0x91??2;9j70:69;012>{t=?:1<7<t=443>75f34?==7?k2:p137=838p188>:31b?831<3;o:6s|57094?4|5<<96?=n;<750?7c=2wx9;=50;0x90042;9j70;94;3g0>{t0981<7<t=920>75f342;j7?k4:p<55=838p15>l:31b?8>7l3;o86s|81a94?4|51:o6?=n;<:3b?7c>2wx4=k50;0x9=6e28n<706?f;00e>{t09l1<7<t=931>75f342;47?k7:p<44=838p15?<:31b?8>703;o86s|80194?4|51;?6?=n;<:3<?7c=2wx4=:50;0x9=622;9j706?8;3g2>{t09?1<7<t=92;>75f342;n7?k6:p<5>=838p15>6:31b?8>7i3;o;6s|81;94?4|51:i6<j:;<:3e?44i2wx;kh50;1fa~;0nl0ij63k97817f=:01<1?852894973=:01<1?552893970=:01;1?;5289397==::l<1?9522d4970=::l<1?;52326971=:;:>1?852326973=:;=k1?95235c970=:;=k1?;52372971=:;?:1?852372973=:;><1?952364970=:;><1?;5239a971=:;1i1?85239a973=:;h81?9523`0970=:;h81?;523c:971=:;k21?8523c:973=:;jo1?9523bg970=:;jo1?;523d6971=:;l>1?8523d6973=::oi1?9522ga970=::oi1?;52300971=:;881?852300973=:;;>1?952336970=:;;>1?;52334971=:;;<1?852334973=:;;21?95233:970=:;;21?;5233c971=:;;k1?85233c973=:;;i1?95233a970=:;;i1?;5233g971=:;;o1?85233g973=:;::1?952322970=:;::1?;52320971=:;:81?852320973=:;:<1?952324970=:;:<1?;5232:971=:;:21?85232:973=:;:k1?95232c970=:;:k1?;5232a971=:;:i1?85232a973=:;:o1?95232g970=:;:o1?;52352971=:;=:1?852352973=:;=81?952350970=:;=81?;52356971=:;=>1?852356973=:;=<1?952354970=:;=<1?;5235:971=:;=21?85235:973=:;=i1?95235a970=:;=i1?;5235g971=:;=o1?85235g973=:;<:1?952342970=:;<:1?;52340971=:;<81?852340973=:;<>1?952346970=:;<>1?;52344971=:;<<1?852344973=:;<21?95234:970=:;<21?;5234c971=:;<k1?85234c973=:;<i1?95234a970=:;<i1?;5234g971=:;<o1?85234g973=:;?81?952370970=:;?81?;52376971=:;?>1?852376973=:;?<1?952374970=:;?<1?;5237:971=:;?21?85237:973=:;?k1?95237c970=:;?k1?;5237a971=:;?i1?85237a973=:;?o1?95237g970=:;?o1?;52362971=:;>:1?852362973=:;>81?952360970=:;>81?;52366971=:;>>1?852366973=:;>21?95236:970=:;>21?;5236c971=:;>k1?85236c973=:;>i1?95236a970=:;>i1?;5236g971=:;>o1?85236g973=:;1:1?952392970=:;1:1?;52390971=:;181?852390973=:;1>1?952396970=:;1>1?;52394971=:;1<1?852394973=:;121?95239:970=:;121?;5239c971=:;1k1?85239c973=:;1o1?95239g970=:;1o1?;52382971=:;0:1?852382973=:;081?952380970=:;081?;52386971=:;0>1?852386973=:;0<1?952384970=:;0<1?;5238:971=:;021?85238:973=:;0k1?95238c970=:;0k1?;5238a971=:;0i1?85238a973=:;0o1?95238g970=:;0o1?;523`2971=:;h:1?8523`2973=:;h>1?9523`6970=:;h>1?;523`4971=:;h<1?8523`4973=:;h21?9523`:970=:;h21?;523`c971=:;hk1?8523`c973=:;hi1?9523`a970=:;hi1?;523`g971=:;ho1?8523`g973=:;k:1?9523c2970=:;k:1?;523c0971=:;k81?8523c0973=:;k>1?9523c6970=:;k>1?;523c4971=:;k<1?8523c4973=:;kk1?9523cc970=:;kk1?;523ca971=:;ki1?8523ca973=:;ko1?9523cg970=:;ko1?;523b2971=:;j:1?8523b2973=:;j81?9523b0970=:;j81?;523b6971=:;j>1?8523b6973=:;j<1?9523b4970=:;j<1?;523b:971=:;j21?8523b:973=:;jk1?9523bc970=:;jk1?;523ba971=:;ji1?8523ba973=:;m:1?9523e2970=:;m:1?;523e0971=:;m81?8523e0973=:;m>1?9523e6970=:;m>1?;523e4971=:;m<1?8523e4973=:;m21?9523e:970=:;m21?;523ec971=:;mk1?8523ec973=:;mi1?9523ea970=:;mi1?;523eg971=:;mo1?8523eg973=:;l:1?9523d2970=:;l:1?;523d0971=:;l81?8523d0973=::l21?9522d:970=::l21?;522dc971=::lk1?8522dc973=::li1?9522da970=::li1?;522dg971=::lo1?8522dg973=::o:1?9522g2970=::o:1?;522g0971=::o81?8522g0973=::o>1?9522g6970=::o>1?;522g4971=::o<1?8522g4973=::o21?9522g:970=::o21?;522gc971=::ok1?8522gc973=::oo1?9522gg970=::oo1?;52312971=:;9:1?852312973=:;981?952310970=:;981?;52316971=:;9>1?852316973=:;9<1?952314970=:;9<1?;5231:971=:;921?85231:973=:;9k1?95231c970=:;9k1?;5231a971=:;9i1?85231a973=:;9o1?95231g970=:;9o1?;52302971=:;8:1?852302973=:;8>1?952306970=:;8>1?;52304971=:;8<1?852304973=:;821?95230:970=:;821?;5230c971=:;8k1?85230c973=:;8i1?95230a970=:;8i1?;5230g971=:;8o1?85230g973=:;;:1?952332970=:;;:1?;52330971=:;;81?852330973=:10?1?h5298697`=:1091?h5299d93c=:i8=1?h52a0497`=:i8?1?h52a3;97`=:i;21?h52a3597`=:i:h1?h52a2c97`=:i:31?h52a5f97`=:i=i1?h52a5`97`=:i<l1?h52a4g97`=:i<n1?h52a6397`=:i>:1?h52a7d97`=:i191?h52a9097`=:i1;1?h52a8797`=:i0>1?h52a8197`=:ih=1?h52a`497`=:ih?1?h529`597`=:1h<1?h529`797`=:1k31?h529c:97`=:1k=1?h529b`97`=:1jk1?h529b;97`=:1mn1?h529ea97`=:1mh1?h529dd97`=:1lo1?h529df97`=:i991?h52a1097`=:i9;1?h529ga9602<5>li6:j4=6d`>2b<5>o<6:j4=6gb>g`<51<?6:j4=6d1>2b<51;<6:j4=93;>2b<51?<6:j4=977>2b<51?;6:j4=96`>2b<51>36:j4=967>2b<51>;6:j4=91`>2b<51936:j4=917>2b<51986:h4=915>2`<5<;m6:h4=401>2`<5>km6<j;;<5b6?1c34=j?79k;<5;b?1c34=2<79k;<5:5?1c34=2>79k;<463?5334<>;7=:;<463?5134<>;7=7;<4``?1a34<o<79i;<4;=?1a34<3o79i;<51b?5>34=9j7=n;<51b?5e34=9j7=l;<51b?5b34=8<7=;;<504?5234=8<7=9;<504?5?34=9579i;<51<?42<27<=o4<9:?45g<4i27<=o4<b:?45g<4k27<=o4<e:?45f<4<27<=n4<5:?45f<4>27<=n4<8:?450<0n27<=94=559>351=;016;=953`9>351=;k16;=953b9>351=;l16;=65359>35>=;<16;=65379>35>=;116;=?57g9>356=:<>0199m:6d8911b2>l015?m:cd891e72<>019m?:41891e72=o019m?:63891e72>:019m?:7`891e72li019m?:dc891e72l3019m?:d:891e72l=019m?:d4891e72o?019m?:g6891e72o9019m?:g0891e72o;019m?:g2891e72ll019m?:dg891e72ln019m?:d7891e728;n70:l0;32g>;3k90:=o524b2954g<5=i;6<?6;<6`4?76027?o=4>269>0f6=9;<019m?:00e?82d83;9h63;c18272=:<j:1=o;4=5a3>72e34>h<7<;d:?7eg<3?27?mo4;6:?7eg<3=27?mo4<f:?7eg<1<27?mo493:?7eg<1:27?mo4:c:?7eg<cl27?mo4kb:?7eg<c127?mo4k8:?7eg<c?27?mo4k6:?7eg<c=27?mo4k4:?7eg<c;27?mo4k2:?7eg<c927?mo4k0:?7eg<dm27?mo4ld:?7eg<dk27?mo4lb:?7eg<di27?mo4l9:?7eg<d027?mo4l7:?7eg<d>27?mo4l5:?7eg<b<27?mo4j3:?7eg<b:27?mo4j1:?7eg<b827?mo4kf:?7eg<cm27?mo4ka:?7eg<dn27?mo4l4:?7eg<68o168ll511f891ge28:i70:nb;33e>;3ik0:<4524``955><5=ki6<>8;<6bf?77>27?mo4>049>0dd=99>019om:020?82fj3;;>63;ac8245=:<hh1jk524``9b`=:<hh1ji524``957e<5=ki6<<n;<6bf?75127?mo4>299>0dd=9:>019om:011?82fj3;i863;ac810g=:<hh1>9m4=5cf>11<5=kn6984=5cf>13<5=kn6>h4=5cf>32<5=kn6;=4=5cf>34<5=kn68m4=5cf>ab<5=kn6il4=5cf>a?<5=kn6i64=5cf>a1<5=kn6i84=5cf>a3<5=kn6i:4=5cf>a5<5=kn6i<4=5cf>a7<5=kn6i>4=5cf>fc<5=kn6nj4=5cf>fe<5=kn6nl4=5cf>fg<5=kn6n74=5cf>f><5=kn6n94=5cf>f0<5=kn6n;4=5cf>`2<5=kn6h=4=5cf>`4<5=kn6h?4=5cf>`6<5=kn6ih4=5cf>ac<5=kn6io4=5cf>f`<5=kn6n:4=5cf>46a34>ji7??d:?7e`<68k168lk511c891gb28:270:ne;33<>;3il0:<:524`g9550<5=kn6<>:;<6ba?77<27?mh4>029>0dc=998019oj:023?82fm3lm70:ne;df?82fm3lo70:ne;31g>;3il0:>l524`g957?<5=kn6<<7;<6ba?74<27?mh4>339>0dc=9k>019oj:36a?82fm38?o63;b0873>;3j80?:63;b0871>;3j808j63;b0850>;3j80=?63;b0856>;3j80>o63;b08g`>;3j80on63;b08g=>;3j80o463;b08g3>;3j80o:63;b08g1>;3j80o863;b08g7>;3j80o>63;b08g5>;3j80o<63;b08`a>;3j80hh63;b08`g>;3j80hn63;b08`e>;3j80h563;b08`<>;3j80h;63;b08`2>;3j80h963;b08f0>;3j80n?63;b08f6>;3j80n=63;b08f4>;3j80oj63;b08ga>;3j80om63;b08`b>;3j80h863;b0824c=:<k;1==j4=5`2>46e34>i=7??a:?7f4<680168o?511:891d628:<70:m1;332>;3j80:<8524c39552<5=h:6<><;<6a5?77:27?n<4>019>0g7=no168o?5fd9>0g7=nm168o?513a891d6288j70:m1;31=>;3j80:>5524c39562<5=h:6<==;<6a5?7e<27?n<4=4c9>0g7=:=i019l;:55891d32=<019l;:57891d32:l019l;:76891d32?9019l;:70891d32<i019l;:ef891d32mh019l;:e;891d32m2019l;:e5891d32m<019l;:e7891d32m>019l;:e1891d32m8019l;:e3891d32m:019l;:bg891d32jn019l;:ba891d32jh019l;:bc891d32j3019l;:b:891d32j=019l;:b4891d32j?019l;:d6891d32l9019l;:d0891d32l;019l;:d2891d32ml019l;:eg891d32mk019l;:bd891d32j>019l;:02e?82e<3;;h63;b5824g=:<k>1==o4=5`7>46>34>i87??8:?7f1<68>168o:5114891d328:>70:m4;330>;3j=0:<>524c69554<5=h?6<>?;<6a0?`a34>i87hj;<6a0?`c34>i87?=c:?7f1<6:h168o:513;891d3288370:m4;300>;3j=0:??524c695g2<5=h?6?:m;<6a0?43k27?n:4;7:?7f2<3>27?n:4;5:?7f2<4n27?n:494:?7f2<1;27?n:492:?7f2<2k27?n:4kd:?7f2<cj27?n:4k9:?7f2<c027?n:4k7:?7f2<c>27?n:4k5:?7f2<c<27?n:4k3:?7f2<c:27?n:4k1:?7f2<c827?n:4le:?7f2<dl27?n:4lc:?7f2<dj27?n:4la:?7f2<d127?n:4l8:?7f2<d?27?n:4l6:?7f2<d=27?n:4j4:?7f2<b;27?n:4j2:?7f2<b927?n:4j0:?7f2<cn27?n:4ke:?7f2<ci27?n:4lf:?7f2<d<27?n:4>0g9>0g1=99n019l8:02a?82e?3;;m63;b6824<=:<k=1==64=5`4>46034>i;7??6:?7f2<68<168o95116891d028:870:m7;336>;3j>0:<=524c59bc=:<k=1jh524c59ba=:<k=1=?m4=5`4>44f34>i;7?=9:?7f2<6:1168o95126891d0289970:m7;3a0>;3j>098o524c5961e<5=hj6994=5`b>10<5=hj69;4=5`b>6`<5=hj6;:4=5`b>35<5=hj6;<4=5`b>0e<5=hj6ij4=5`b>ad<5=hj6i74=5`b>a><5=hj6i94=5`b>a0<5=hj6i;4=5`b>a2<5=hj6i=4=5`b>a4<5=hj6i?4=5`b>a6<5=hj6nk4=5`b>fb<5=hj6nm4=5`b>fd<5=hj6no4=5`b>f?<5=hj6n64=5`b>f1<5=hj6n84=5`b>f3<5=hj6h:4=5`b>`5<5=hj6h<4=5`b>`7<5=hj6h>4=5`b>a`<5=hj6ik4=5`b>ag<5=hj6nh4=5`b>f2<5=hj6<>i;<6ae?77l27?nl4>0c9>0gg=99k019ln:02:?82ei3;;463;b`8242=:<kk1==84=5`b>46234>im7??4:?7fd<68:168oo5110891df28:;70:ma;de?82ei3ln70:ma;dg?82ei3;9o63;b`826d=:<kk1=?74=5`b>44?34>im7?<4:?7fd<6;;168oo51c6891df2;>i70:ma;07g>;3jm0?;63;be872>;3jm0?963;be80b>;3jm0=863;be857>;3jm0=>63;be86g>;3jm0oh63;be8gf>;3jm0o563;be8g<>;3jm0o;63;be8g2>;3jm0o963;be8g0>;3jm0o?63;be8g6>;3jm0o=63;be8g4>;3jm0hi63;be8``>;3jm0ho63;be8`f>;3jm0hm63;be8`=>;3jm0h463;be8`3>;3jm0h:63;be8`1>;3jm0n863;be8f7>;3jm0n>63;be8f5>;3jm0n<63;be8gb>;3jm0oi63;be8ge>;3jm0hj63;be8`0>;3jm0:<k524cf955b<5=ho6<>m;<6a`?77i27?ni4>089>0gb=992019lk:024?82el3;;:63;be8240=:<kn1==:4=5`g>46434>ih7??2:?7fa<689168oj5fg9>0gb=nl168oj5fe9>0gb=9;i019lk:00b?82el3;9563;be826==:<kn1=>:4=5`g>45534>ih7?m4:?7fa<5<k168oj525a8yv>793:1>v3700817d=:09:1nk5rs945>5<5s42=:7<<a:?;20<en2wx;h850;0x92c12;9j709j5;`e?xu5;=0;6?u2802966g<51:m6<j8;|q170<72;q64<?522c89=6a28n>7p}=3783>7}:09<1>>o4=926>4b53ty9?:4?:3y><51=::k015>::0f0?x{i:8om6=4>{If4f>{i:8l;6=4>{If4f>{i:8l:6=4>{If4f>{i:8l96=4>{If4f>{i:8l86=4>{If4f>{i:8l?6=4>{If4f>{i:8l>6=4>{If4f>{i:8l=6=4>{If4f>{i:8l<6=4>{If4f>{i:8l36=4>{If4f>{i:8l26=4>{If4f>{i:8lj6=4>{If4f>{i:8li6=4>{If4f>{i:8lh6=4>{If4f>{i:8lo6=4>{If4f>{i:8ln6=4>{If4f>{i:8lm6=4>{If4f>{i:;:;6=4>{If4f>{i:;::6=4>{If4f>{i:;:96=4>{If4f>{i:;:86=4>{If4f>{i:;:?6=4>{If4f>{i:;:>6=4>{If4f>{i:;:=6=4>{If4f>{i:;:<6=4>{If4f>{i:;:36=4>{If4f>{i:;:26=4>{If4f>{i:;:j6=4>{If4f>{i:;:i6=4>{If4f>{i:;:h6=4>{If4f>{i:;:o6=4>{If4f>{i:;:n6=4>{If4f>{i:;:m6=4>{If4f>{i:;;;6=4>{If4f>{i:;;:6=4>{If4f>{i:;;96=4>{If4f>{i:;;86=4>{If4f>{i:;;?6=4>{If4f>{i:;;>6=4>{If4f>{i:;;=6=4>{If4f>{i:;;<6=4>{If4f>{i:;;36=4>{If4f>{i:;;26=4>{If4f>{i:;;j6=4>{If4f>{i:;;i6=4>{If4f>{i:;;h6=4>{If4f>{i:;;o6=4>{If4f>{i:;;n6=4>{If4f>{i:;;m6=4>{If4f>{i:;8;6=4>{If4f>{i:;8:6=4>{If4f>{i:;896=4>{If4f>{i:;886=4>{If4f>{i:;8?6=4>{If4f>{i:;8>6=4>{If4f>{i:;8=6=4>{If4f>{i:;8<6=4>{If4f>{i:;836=4>{If4f>{i:;826=4>{If4f>{i:;8j6=4>{If4f>{i:;8i6=4>{If4f>{i:;8h6=4>{If4f>{i:;8o6=4>{If4f>{i:;8n6=4>{If4f>{i:;8m6=4>{If4f>{i:;9;6=4>{If4f>{i:;9:6=4>{If4f>{i:;996=4>{If4f>{i:;986=4>{If4f>{i:;9?6=4>{If4f>{i:;9>6=4>{If4f>{i:;9=6=4>{If4f>{i:;9<6=4>{If4f>{i:;936=4>{If4f>{i:;926=4>{If4f>{i:;9j6=4>{If4f>{i:;9i6=4>{If4f>{i:;9h6=4>{If4f>{i:;9o6=4>{If4f>{i:;9n6=4>{If4f>{i:;9m6=4>{If4f>{i:;>;6=4>{If4f>{i:;>:6=4>{If4f>{i:;>96=4>{If4f>{i:;>86=4>{If4f>{i:;>?6=4>{If4f>{i:;>>6=4>{If4f>{i:;>=6=4>{If4f>{i:;><6=4>{If4f>{i:;>36=4>{If4f>{i:;>26=4>{If4f>{i:;>j6=4>{If4f>{i:;>i6=4>{If4f>{i:;>h6=4>{If4f>{i:;>o6=4>{If4f>{i:;>n6=4>{If4f>{i:;>m6=4>{If4f>{i:;?;6=4>{If4f>{i:;?:6=4>{If4f>{i:;?96=4>{If4f>{i:;?86=4>{If4f>{i:;??6=4>{If4f>{i:;?>6=4>{If4f>{i:;?=6=4>{If4f>{i:;?<6=4>{If4f>{i:;?36=4>{If4f>{i:;?26=4>{If4f>{i:;?j6=4>{If4f>{i:;?i6=4>{If4f>{i:;?h6=4>{If4f>{i:;?o6=4>{If4f>{i:;?n6=4>{If4f>{i:;?m6=4>{If4f>{i:;<;6=4>{If4f>{i:;<:6=4>{If4f>{i:;<96=4>{If4f>{i:;<86=4>{If4f>{i:;<?6=4>{If4f>{i:;<>6=4>{If4f>{i:;<=6=4>{If4f>{i:;<<6=4>{If4f>{i:;<36=4>{If4f>{i:;<26=4>{If4f>{i:;<j6=4>{If4f>{i:;<i6=4>{If4f>{i:;<h6=4>{If4f>{i:;<o6=4>{If4f>{i:;<n6=4>{If4f>{i:;<m6=4>{If4f>{i:;=;6=4>{If4f>{i:;=:6=4>{If4f>{i:;=96=4>{If4f>{i:;=86=4>{If4f>{i:;=?6=4>{If4f>{i:;=>6=4>{If4f>{i:;==6=4>{If4f>{i:;=<6=4>{If4f>{i:;=36=4>{If4f>{i:;=26=4>{If4f>{i:;=j6=4>{If4f>{i:;=i6=4>{If4f>{i:;=h6=4>{If4f>{i:;=o6=4>{If4f>{i:;=n6=4>{If4f>{i:;=m6=4>{If4f>{i:;2;6=4>{If4f>{i:;2:6=4>{If4f>{i:;296=4>{If4f>{i:;286=4>{If4f>{i:;2?6=4>{If4f>{i:;2>6=4>{If4f>{i:;2=6=4>{If4f>{i:;2<6=4>{If4f>{i:;236=4>{If4f>{i:;226=4>{If4f>{i:;2j6=4>{If4f>{i:;2i6=4>{If4f>{i:;2h6=4>{If4f>{i:;2o6=4>{If4f>{i:;2n6=4>{If4f>{i:;2m6=4>{If4f>{i:;3;6=4>{If4f>{i:;3:6=4>{If4f>{i:;396=4>{If4f>{i:;386=4>{If4f>{i:;3?6=4>{If4f>{i:;3>6=4>{If4f>{i:;3=6=4>{If4f>{i:;3<6=4>{If4f>{i:;336=4>{If4f>{i:;326=4>{If4f>{i:;3j6=4>{If4f>{i:;3i6=4>{If4f>{i:;3h6=4>{If4f>{i:;3o6=4>{If4f>{i:;3n6=4>{If4f>{i:;3m6=4>{If4f>{i:;k;6=4>{If4f>{i:;k:6=4>{If4f>{i:;k96=4>{If4f>{i:;k86=4>{If4f>{i:;k?6=4>{If4f>{i:;k>6=4>{If4f>{i:;k=6=4>{If4f>{i:;k<6=4>{If4f>{i:;k36=4>{If4f>{i:;k26=4>{If4f>{i:;kj6=4>{If4f>{i:;ki6=4>{If4f>{i:;kh6=4>{If4f>{i:;ko6=4>{If4f>{i:;kn6=4>{If4f>{i:;km6=4>{If4f>{i:;h;6=4>{If4f>{i:;h:6=4>{If4f>{i:;h96=4>{If4f>{i:;h86=4>{If4f>{i:;h?6=4>{If4f>{i:;h>6=4>{If4f>{i:;h=6=4>{If4f>{i:;h<6=4>{If4f>{i:;h36=4>{If4f>{i:;h26=4>{If4f>{i:;hj6=4>{If4f>{i:;hi6=4>{If4f>{i:;hh6=4>{If4f>{i:;ho6=4>{If4f>{i:;hn6=4>{If4f>{i:;hm6=4>{If4f>{i:;i;6=4>{If4f>{i:;i:6=4>{If4f>{i:;i96=4>{If4f>{i:;i86=4>{If4f>{i:;i?6=4>{If4f>{i:;i>6=4>{If4f>{i:;i=6=4>{If4f>{i:;i<6=4>{If4f>{i:;i36=4>{If4f>{i:;i26=4>{If4f>{i:;ij6=4>{If4f>{i:;ii6=4>{If4f>{i:;ih6=4>{If4f>{i:;io6=4>{If4f>{i:;in6=4>{If4f>{i:;im6=4>{If4f>{i:;n;6=4>{If4f>{i:;n:6=4>{If4f>{i:;n96=4>{If4f>{i:;n86=4>{If4f>{i:;n?6=4>{If4f>{i:;n>6=4>{If4f>{i:;n=6=4>{If4f>{i:;n<6=4>{If4f>{i:;n36=4>{If4f>{i:;n26=4>{If4f>{i:;nj6=4>{If4f>{i:;ni6=4>{If4f>{i:;nh6=4>{If4f>{i:;no6=4>{If4f>{i:;nn6=4>{If4f>{i:;nm6=4>{If4f>{i:;o;6=4>{If4f>{i:;o:6=4>{If4f>{i:;o96=4>{If4f>{i:;o86=4>{If4f>{i:;o?6=4>{If4f>{i:;o>6=4>{If4f>{i:;o=6=4>{If4f>{i:;o<6=4>{If4f>{i:;o36=4>{If4f>{i:;o26=4>{If4f>{i:;oj6=4>{If4f>{i:;oi6=4>{If4f>{i:;oh6=4>{If4f>{i:;oo6=4>{If4f>{i:;on6=4>{If4f>{i:;om6=4>{If4f>{i:;l;6=4>{If4f>{i:;l:6=4>{If4f>{i:;l96=4>{If4f>{i:;l86=4>{If4f>{i:;l?6=4>{If4f>{i:;l>6=4>{If4f>{i:;l=6=4>{If4f>{i:;l<6=4>{If4f>{i:;l36=4>{If4f>{i:;l26=4>{If4f>{i:;lj6=4>{If4f>{i:;li6=4>{If4f>{i:;lh6=4>{If4f>{i:;lo6=4>{If4f>{i:;ln6=4>{If4f>{i:;lm6=4>{If4f>{i:::;6=4>{If4f>{i::::6=4>{If4f>{i:::96=4>{If4f>{i:::86=4>{If4f>{i:::?6=4>{If4f>{i:::>6=4>{If4f>{i:::=6=4>{If4f>{i:::<6=4>{If4f>{i:::36=4>{If4f>{i:::26=4>{If4f>{i:::j6=4>{If4f>{i:::i6=4>{If4f>{i:::h6=4>{If4f>{i:::o6=4>{If4f>{i:::n6=4>{If4f>{i:::m6=4>{If4f>{i::;;6=4>{If4f>{i::;:6=4>{If4f>{i::;96=4>{If4f>{i::;86=4>{If4f>{i::;?6=4>{If4f>{i::;>6=4>{If4f>{i::;=6=4>{If4f>{i::;<6=4>{If4f>{i::;36=4>{If4f>{i::;26=4>{If4f>{i::;j6=4>{If4f>{i::;i6=4>{If4f>{i::;h6=4>{If4f>{i::;o6=4>{If4f>{i::;n6=4>{If4f>{i::;m6=4>{If4f>{i::8;6=4>{If4f>{i::8:6=4>{If4f>{i::896=4>{If4f>{i::886=4>{If4f>{i::8?6=4>{If4f>{i::8>6=4>{If4f>{i::8=6=4>{If4f>{i::8<6=4>{If4f>{i::836=4>{If4f>{i::826=4>{If4f>{i::8j6=4>{If4f>{i::8i6=4>{If4f>{i::8h6=4>{If4f>{i::8o6=4>{If4f>{i::8n6=4>{If4f>{i::8m6=4>{If4f>{i::9;6=4>{If4f>{i::9:6=4>{If4f>{i::996=4>{If4f>{i::986=4>{If4f>{i::9?6=4>{If4f>{i::9>6=4>{If4f>{i::9=6=4>{If4f>{i::9<6=4>{If4f>{i::936=4>{If4f>{i::926=4>{If4f>{i::9j6=4>{If4f>{i::9i6=4>{If4f>{i::9h6=4>{If4f>{i::9o6=4>{If4f>{i::9n6=4>{If4f>{i::9m6=4>{If4f>{i::>;6=4>{If4f>{i::>:6=4>{If4f>{i::>96=4>{If4f>{i::>86=4>{If4f>{i::>?6=4>{If4f>{i::>>6=4>{If4f>{i::>=6=4>{If4f>{i::><6=4>{If4f>{i::>36=4>{If4f>{i::>26=4>{If4f>{i::>j6=4>{If4f>{i::>i6=4>{If4f>{i::>h6=4>{If4f>{i::>o6=4>{If4f>{i::>n6=4>{If4f>{i::>m6=4>{If4f>{i::?;6=4>{If4f>{i::?:6=4>{If4f>{i::?96=4>{If4f>{i::?86=4>{If4f>{i::??6=4>{If4f>{i::?>6=4>{If4f>{i::?=6=4>{If4f>{i::?<6=4>{If4f>{i::?36=4>{If4f>{i::?26=4>{If4f>{i::?j6=4>{If4f>{i::?i6=4>{If4f>{i::?h6=4>{If4f>{i::?o6=4>{If4f>{i::?n6=4>{If4f>{i::?m6=4>{If4f>{i::<;6=4>{If4f>{i::<:6=4>{If4f>{i::<96=4>{If4f>{i::<86=4>{If4f>{i::<?6=4>{If4f>{i::<>6=4>{If4f>{i::<=6=4>{If4f>{i::<<6=4>{If4f>{i::<36=4>{If4f>{i::<26=4>{If4f>{i::<j6=4>{If4f>{i::<i6=4>{If4f>{i::<h6=4>{If4f>{i::<o6=4>{If4f>{i::<n6=4>{If4f>{i::<m6=4>{If4f>{i::=;6=4>{If4f>{i::=:6=4>{If4f>{i::=96=4>{If4f>{i::=86=4>{If4f>{i::=?6=4>{If4f>{i::=>6=4>{If4f>{i::==6=4>{If4f>{i::=<6=4>{If4f>{i::=36=4>{If4f>{i::=26=4>{If4f>{i::=j6=4>{If4f>{i::=i6=4>{If4f>{i::=h6=4>{If4f>{i::=o6=4>{If4f>{i::=n6=4>{If4f>{i::=m6=4>{If4f>{i::2;6=4>{If4f>{i::2:6=4>{If4f>{i::296=4>{If4f>{i::286=4>{If4f>{i::2?6=4>{If4f>{i::2>6=4>{If4f>{i::2=6=4>{If4f>{i::2<6=4>{If4f>{i::236=4>{If4f>{i::226=4>{If4f>{i::2j6=4>{If4f>{i::2i6=4>{If4f>{i::2h6=4>{If4f>{i::2o6=4>{If4f>{i::2n6=4>{If4f>{i::2m6=4>{If4f>{i::3;6=4>{If4f>{i::3:6=4>{If4f>{i::396=4>{If4f>{i::386=4>{If4f>{i::3?6=4>{If4f>{i::3>6=4>{If4f>{i::3=6=4>{If4f>{i::3<6=4>{If4f>{i::336=4>{If4f>{i::326=4>{If4f>{i::3j6=4>{If4f>{i::3i6=4>{If4f>{i::3h6=4>{If4f>{i::3o6=4>{If4f>{i::3n6=4>{If4f>{i::3m6=4>{If4f>{i::k;6=4>{If4f>{i::k:6=4>{If4f>{i::k96=4>{If4f>{i::k86=4>{If4f>{i::k?6=4>{If4f>{i::k>6=4>{If4f>{i::k=6=4>{If4f>{i::k<6=4>{If4f>{i::k36=4>{If4f>{i::k26=4>{If4f>{i::kj6=4>{If4f>{i::ki6=4>{If4f>{i::kh6=4>{If4f>{i::ko6=4>{If4f>{i::kn6=4>{If4f>{i::km6=4>{If4f>{i::h;6=4>{If4f>{i::h:6=4>{If4f>{i::h96=4>{If4f>{i::h86=4>{If4f>{i::h?6=4>{If4f>{i::h>6=4>{If4f>{i::h=6=4>{If4f>{i::h<6=4>{If4f>{i::h36=4>{If4f>{i::h26=4>{If4f>{i::hj6=4>{If4f>{i::hi6=4>{If4f>{i::hh6=4>{If4f>{i::ho6=4>{If4f>{i::hn6=4>{If4f>{i::hm6=4>{If4f>{i::i;6=4>{If4f>{i::i:6=4>{If4f>{i::i96=4>{If4f>{i::i86=4>{If4f>{i::i?6=4>{If4f>{i::i>6=4>{If4f>{i::i=6=4>{If4f>{i::i<6=4>{If4f>{i::i36=4>{If4f>{i::i26=4>{If4f>{i::ij6=4>{If4f>{i::ii6=4>{If4f>{i::ih6=4>{If4f>{i::io6=4>{If4f>{i::in6=4>{If4f>{i::im6=4>{If4f>{i::n;6=4>{If4f>{i::n:6=4>{If4f>{i::n96=4>{If4f>{i::n86=4>{If4f>{i::n?6=4>{If4f>{i::n>6=4>{If4f>{i::n=6=4>{If4f>{i::n<6=4>{If4f>{i::n36=4>{If4f>{i::n26=4>{If4f>{i::nj6=4>{If4f>{i::ni6=4>{If4f>{i::nh6=4>{If4f>{i::no6=4>{If4f>{i::nn6=4>{If4f>{i::nm6=4>{If4f>{i::o;6=4>{If4f>{i::o:6=4>{If4f>{i::o96=4>{If4f>{i::o86=4>{If4f>{i::o?6=4>{If4f>{i::o>6=4>{If4f>{i::o=6=4>{If4f>{i::o<6=4>{If4f>{i::o36=4>{If4f>{i::o26=4>{If4f>{i::oj6=4>{If4f>{i::oi6=4>{If4f>{i::oh6=4>{If4f>{i::oo6=4>{If4f>{i::on6=4>{If4f>{i::om6=4>{If4f>{i::l;6=4>{If4f>{i::l:6=4>{If4f>{i::l96=4>{If4f>{i::l86=4>{If4f>{i::l?6=4>{If4f>{i::l>6=4>{If4f>{i::l=6=4>{If4f>{i::l<6=4>{If4f>{i::l36=4>{If4f>{i::l26=4>{If4f>{i::lj6=4>{If4f>{i::li6=4>{If4f>{i::lh6=4>{If4f>{i::lo6=4>{If4f>{i::ln6=4>{If4f>{i::lm6=4>{If4f>{i:=:;6=4>{If4f>{i:=::6=4>{If4f>{i:=:96=4>{If4f>{i:=:86=4>{If4f>{i:=:?6=4>{If4f>{i:=:>6=4>{If4f>{i:=:=6=4>{If4f>{i:=:<6=4>{If4f>{i:=:36=4>{If4f>{i:=:26=4>{If4f>{i:=:j6=4>{If4f>{i:=:i6=4>{If4f>{i:=:h6=4>{If4f>{i:=:o6=4>{If4f>{i:=:n6=4>{If4f>{i:=:m6=4>{If4f>{i:=;;6=4>{If4f>{i:=;:6=4>{If4f>{i:=;96=4>{If4f>{i:=;86=4>{If4f>{i:=;?6=4>{If4f>{i:=;>6=4>{If4f>{i:=;=6=4>{If4f>{i:=;<6=4>{If4f>{i:=;36=4>{If4f>{i:=;26=4>{If4f>{i:=;j6=4>{If4f>{i:=;i6=4>{If4f>{i:=;h6=4>{If4f>{i:=;o6=4>{If4f>{i:=;n6=4>{If4f>{i:=;m6=4>{If4f>{i:=8;6=4>{If4f>{i:=8:6=4>{If4f>{i:=896=4>{If4f>{i:=886=4>{If4f>{i:=8?6=4>{If4f>{i:=8>6=4>{If4f>{i:=8=6=4>{If4f>{i:=8<6=4>{If4f>{i:=836=4>{If4f>{i:=826=4>{If4f>{i:=8j6=4>{If4f>{i:=8i6=4>{If4f>{i:=8h6=4>{If4f>{i:=8o6=4>{If4f>{i:=8n6=4>{If4f>{i:=8m6=4>{If4f>{i:=9;6=4>{If4f>{i:=9:6=4>{If4f>{i:=996=4>{If4f>{i:=986=4>{If4f>{i:=9?6=4>{If4f>{i:=9>6=4>{If4f>{i:=9=6=4>{If4f>{i:=9<6=4>{If4f>{i:=936=4>{If4f>{i:=926=4>{If4f>{i:=9j6=4>{If4f>{i:=9i6=4>{If4f>{i:=9h6=4>{If4f>{i:=9o6=4>{If4f>{i:=9n6=4>{If4f>{i:=9m6=4>{If4f>{i:=>;6=4>{If4f>{i:=>:6=4>{If4f>{i:=>96=4>{If4f>{i:=>86=4>{If4f>{i:=>?6=4>{If4f>{i:=>>6=4>{If4f>{i:=>=6=4>{If4f>{i:=><6=4>{If4f>{i:=>36=4>{If4f>{i:=>26=4>{If4f>{i:=>j6=4>{If4f>{i:=>i6=4>{If4f>{i:=>h6=4>{If4f>{i:=>o6=4>{If4f>{i:=>n6=4>{If4f>{i:=>m6=4>{If4f>{i:=?;6=4>{If4f>{i:=?:6=4>{If4f>{i:=?96=4>{If4f>{i:=?86=4>{If4f>{i:=??6=4>{If4f>{i:=?>6=4>{If4f>{i:=?=6=4>{If4f>{i:=?<6=4>{If4f>{i:=?36=4>{If4f>{i:=?26=4>{If4f>{i:=?j6=4>{If4f>{i:=?i6=4>{If4f>{i:=?h6=4>{If4f>{i:=?o6=4>{If4f>{i:=?n6=4>{If4f>{i:=?m6=4>{If4f>{i:=<;6=4>{If4f>{i:=<:6=4>{If4f>{i:=<96=4>{If4f>{i:=<86=4>{If4f>{i:=<?6=4>{If4f>{i:=<>6=4>{If4f>{i:=<=6=4>{If4f>{i:=<<6=4>{If4f>{i:=<36=4>{If4f>{i:=<26=4>{If4f>{i:=<j6=4>{If4f>{i:=<i6=4>{If4f>{i:=<h6=4>{If4f>{i:=<o6=4>{If4f>{i:=<n6=4>{If4f>{i:=<m6=4>{If4f>{i:==;6=4>{If4f>{i:==:6=4>{If4f>{i:==96=4>{If4f>{i:==86=4>{If4f>{i:==?6=4>{If4f>{i:==>6=4>{If4f>{i:===6=4>{If4f>{i:==<6=4>{If4f>{i:==36=4>{If4f>{i:==26=4>{If4f>{i:==j6=4>{If4f>{i:==i6=4>{If4f>{i:==h6=4>{If4f>{i:==o6=4>{If4f>{i:==n6=4>{If4f>{i:==m6=4>{If4f>{i:=2;6=4>{If4f>{i:=2:6=4>{If4f>{i:=296=4>{If4f>{i:=286=4>{If4f>{i:=2?6=4>{If4f>{i:=2>6=4>{If4f>{i:=2=6=4>{If4f>{i:=2<6=4>{If4f>{i:=236=4>{If4f>{i:=226=4>{If4f>{i:=2j6=4>{If4f>{i:=2i6=4>{If4f>{i:=2h6=4>{If4f>{i:=2o6=4>{If4f>{i:=2n6=4>{If4f>{i:=2m6=4>{If4f>{i:=3;6=4>{If4f>{i:=3:6=4>{If4f>{i:=396=4>{If4f>{i:=386=4>{If4f>{i:=3?6=4>{If4f>{i:=3>6=4>{If4f>{i:=3=6=4>{If4f>{i:=3<6=4>{If4f>{i:=336=4>{If4f>{i:=326=4>{If4f>{i:=3j6=4>{If4f>{i:=3i6=4>{If4f>{i:=3h6=4>{If4f>{i:=3o6=4>{If4f>{i:=3n6=4>{If4f>{i:=3m6=4>{If4f>{i:=k;6=4>{If4f>{i:=k:6=4>{If4f>{i:=k96=4>{If4f>{i:=k86=4>{If4f>{i:=k?6=4>{If4f>{i:=k>6=4>{If4f>{i:=k=6=4>{If4f>{i:=k<6=4>{If4f>{i:=k36=4>{If4f>{i:=k26=4>{If4f>{i:=kj6=4>{If4f>{i:=ki6=4>{If4f>{i:=kh6=4>{If4f>{i:=ko6=4>{If4f>{i:=kn6=4>{If4f>{i:=km6=4>{If4f>{i:=h;6=4>{If4f>{i:=h:6=4>{If4f>{i:=h96=4>{If4f>{i:=h86=4>{If4f>{i:=h?6=4>{If4f>{i:=h>6=4>{If4f>{i:=h=6=4>{If4f>{i:=h<6=4>{If4f>{i:=h36=4>{If4f>{i:=h26=4>{If4f>{i:=hj6=4>{If4f>{i:=hi6=4>{If4f>{i:=hh6=4>{If4f>{i:=ho6=4>{If4f>{i:=hn6=4>{If4f>{i:=hm6=4>{If4f>{i:=i;6=4>{If4f>{i:=i:6=4>{If4f>{i:=i96=4>{If4f>{i:=i86=4>{If4f>{i:=i?6=4>{If4f>{i:=i>6=4>{If4f>{i:=i=6=4>{If4f>{i:=i<6=4>{If4f>{i:=i36=4>{If4f>{i:=i26=4>{If4f>{i:=ij6=4>{If4f>{i:=ii6=4>{If4f>{i:=ih6=4>{If4f>{i:=io6=4>{If4f>{i:=in6=4>{If4f>{i:=im6=4>{If4f>{i:=n;6=4>{If4f>{i:=n:6=4>{If4f>{i:=n96=4>{If4f>{i:=n86=4>{If4f>{i:=n?6=4>{If4f>{i:=n>6=4>{If4f>{i:=n=6=4>{If4f>{i:=n<6=4>{If4f>{i:=n36=4>{If4f>{i:=n26=4>{If4f>{i:=nj6=4>{If4f>{i:=ni6=4>{If4f>{i:=nh6=4>{If4f>{i:=no6=4>{If4f>{i:=nn6=4>{If4f>{i:=nm6=4>{If4f>{i:=o;6=4>{If4f>{i:=o:6=4>{If4f>{i:=o96=4>{If4f>{i:=o86=4>{If4f>{i:=o?6=4>{If4f>{i:=o>6=4>{If4f>{i:=o=6=4>{If4f>{i:=o<6=4>{If4f>{i:=o36=4>{If4f>{i:=o26=4>{If4f>{i:=oj6=4>{If4f>{i:=oi6=4>{If4f>{i:=oh6=4>{If4f>{i:=oo6=4>{If4f>{i:=on6=4>{If4f>{i:=om6=4>{If4f>{i:=l;6=4>{If4f>{i:=l:6=4>{If4f>{i:=l96=4>{If4f>{i:=l86=4>{If4f>{i:=l?6=4>{If4f>{i:=l>6=4>{If4f>{i:=l=6=4>{If4f>{i:=l<6=4>{If4f>{i:=l36=4>{If4f>{i:=l26=4>{If4f>{i:=lj6=4>{If4f>{i:=li6=4>{If4f>{i:=lh6=4>{If4f>{i:=lo6=4>{If4f>{i:=ln6=4>{If4f>{i:=lm6=4>{If4f>{i:<:;6=4>{If4f>{i:<::6=4>{If4f>{i:<:96=4>{If4f>{i:<:86=4>{If4f>{i:<:?6=4>{If4f>{i:<:>6=4>{If4f>{i:<:=6=4>{If4f>{i:<:<6=4>{If4f>{i:<:36=4>{If4f>{i:<:26=4>{If4f>{i:<:j6=4>{If4f>{i:<:i6=4>{If4f>{i:<:h6=4>{If4f>{i:<:o6=4>{If4f>{i:<:n6=4>{If4f>{i:<:m6=4>{If4f>{i:<;;6=4>{If4f>{i:<;:6=4>{If4f>{i:<;96=4>{If4f>{i:<;86=4>{If4f>{i:<;?6=4>{If4f>{i:<;>6=4>{If4f>{i:<;=6=4>{If4f>{i:<;<6=4>{If4f>{i:<;36=4>{If4f>{i:<;26=4>{If4f>{i:<;j6=4>{If4f>{i:<;i6=4>{If4f>{i:<;h6=4>{If4f>{i:<;o6=4>{If4f>{i:<;n6=4>{If4f>{i:<;m6=4>{If4f>{i:<8;6=4>{If4f>{i:<8:6=4>{If4f>{i:<896=4>{If4f>{i:<886=4>{If4f>{i:<8?6=4>{If4f>{i:<8>6=4>{If4f>{i:<8=6=4>{If4f>{i:<8<6=4>{If4f>{i:<836=4>{If4f>{i:<826=4>{If4f>{i:<8j6=4>{If4f>{i:<8i6=4>{If4f>{i:<8h6=4>{If4f>{i:<8o6=4>{If4f>{i:<8n6=4>{If4f>{i:<8m6=4>{If4f>{i:<9;6=4>{If4f>{i:<9:6=4>{If4f>{i:<996=4>{If4f>{i:<986=4>{If4f>{i:<9?6=4>{If4f>{i:<9>6=4>{If4f>{i:<9=6=4>{If4f>{i:<9<6=4>{If4f>{i:<936=4>{If4f>{i:<926=4>{If4f>{i:<9j6=4>{If4f>{i:<9i6=4>{If4f>{i:<9h6=4>{If4f>{i:<9o6=4>{If4f>{i:<9n6=4>{If4f>{i:<9m6=4>{If4f>{i:<>;6=4>{If4f>{i:<>:6=4>{If4f>{i:<>96=4>{If4f>{i:<>86=4>{If4f>{i:<>?6=4>{If4f>{i:<>>6=4>{If4f>{i:<>=6=4>{If4f>{i:<><6=4>{If4f>{i:<>36=4>{If4f>{i:<>26=4>{If4f>{i:<>j6=4>{If4f>{i:<>i6=4>{If4f>{i:<>h6=4>{If4f>{i:<>o6=4>{If4f>{i:<>n6=4>{If4f>{i:<>m6=4>{If4f>{i:<?;6=4>{If4f>{i:<?:6=4>{If4f>{i:<?96=4>{If4f>{i:<?86=4>{If4f>{i:<??6=4>{If4f>{i:<?>6=4>{If4f>{i:<?=6=4>{If4f>{i:<?<6=4>{If4f>{i:<?36=4>{If4f>{i:<?26=4>{If4f>{i:<?j6=4>{If4f>{i:<?i6=4>{If4f>{i:<?h6=4>{If4f>{i:<?o6=4>{If4f>{i:<?n6=4>{If4f>{i:<?m6=4>{If4f>{i:<<;6=4>{If4f>{i:<<:6=4>{If4f>{i:<<96=4>{If4f>{i:<<86=4>{If4f>{i:<<?6=4>{If4f>{i:<<>6=4>{If4f>{i:<<=6=4>{If4f>{i:<<<6=4>{If4f>{i:<<36=4>{If4f>{i:<<26=4>{If4f>{i:<<j6=4>{If4f>{i:<<i6=4>{If4f>{i:<<h6=4>{If4f>{i:<<o6=4>{If4f>{i:<<n6=4>{If4f>{i:<<m6=4>{If4f>{i:<=;6=4>{If4f>{i:<=:6=4>{If4f>{i:<=96=4>{If4f>{i:<=86=4>{If4f>{i:<=?6=4>{If4f>{i:<=>6=4>{If4f>{i:<==6=4>{If4f>{i:<=<6=4>{If4f>{i:<=36=4>{If4f>{i:<=26=4>{If4f>{i:<=j6=4>{If4f>{i:<=i6=4>{If4f>{i:<=h6=4>{If4f>{i:<=o6=4>{If4f>{i:<=n6=4>{If4f>{i:<=m6=4>{If4f>{i:<2;6=4>{If4f>{i:<2:6=4>{If4f>{i:<296=4>{If4f>{i:<286=4>{If4f>{i:<2?6=4>{If4f>{i:<2>6=4>{If4f>{i:<2=6=4>{If4f>{i:<2<6=4>{If4f>{i:<236=4>{If4f>{i:<226=4>{If4f>{i:<2j6=4>{If4f>{i:<2i6=4>{If4f>{i:<2h6=4>{If4f>{i:<2o6=4>{If4f>{i:<2n6=4>{If4f>{i:<2m6=4>{If4f>{i:<3;6=4>{If4f>{i:<3:6=4>{If4f>{i:<396=4>{If4f>{i:<386=4>{If4f>{i:<3?6=4>{If4f>{i:<3>6=4>{If4f>{i:<3=6=4>{If4f>{i:<3<6=4>{If4f>{i:<336=4>{If4f>{i:<326=4>{If4f>{i:<3j6=4>{If4f>{i:<3i6=4>{If4f>{i:<3h6=4>{If4f>{i:<3o6=4>{If4f>{i:<3n6=4>{If4f>{i:<3m6=4>{If4f>{i:<k;6=4>{If4f>{i:<k:6=4>{If4f>{i:<k96=4>{If4f>{i:<k86=4>{If4f>{i:<k?6=4>{If4f>{i:<k>6=4>{If4f>{i:<k=6=4>{If4f>{i:<k<6=4>{If4f>{i:<k36=4>{If4f>{i:<k26=4>{If4f>{i:<kj6=4>{If4f>{i:<ki6=4>{If4f>{i:<kh6=4>{If4f>{i:<ko6=4>{If4f>{i:<kn6=4>{If4f>{i:<km6=4>{If4f>{i:<h;6=4>{If4f>{i:<h:6=4>{If4f>{i:<h96=4>{If4f>{i:<h86=4>{If4f>{i:<h?6=4>{If4f>{i:<h>6=4>{If4f>{i:<h=6=4>{If4f>{i:<h<6=4>{If4f>{i:<h36=4>{If4f>{i:<h26=4>{If4f>{i:<hj6=4>{If4f>{i:<hi6=4>{If4f>{i:<hh6=4>{If4f>{i:<ho6=4>{If4f>{i:<hn6=4>{If4f>{i:<hm6=4>{If4f>{i:<i;6=4>{If4f>{i:<i:6=4>{If4f>{i:<i96=4>{If4f>{i:<i86=4>{If4f>{i:<i?6=4>{If4f>{i:<i>6=4>{If4f>{i:<i=6=4>{If4f>{i:<i<6=4>{If4f>{i:<i36=4>{If4f>{i:<i26=4>{If4f>{i:<ij6=4>{If4f>{i:<ii6=4>{If4f>{i:<ih6=4>{If4f>{i:<io6=4>{If4f>{i:<in6=4>{If4f>{i:<im6=4>{If4f>{i:<n;6=4>{If4f>{i:<n:6=4>{If4f>{i:<n96=4>{If4f>{i:<n86=4>{If4f>{i:<n?6=4>{If4f>{i:<n>6=4>{If4f>{i:<n=6=4>{If4f>{i:<n<6=4>{If4f>{i:<n36=4>{If4f>{i:<n26=4>{If4f>{i:<nj6=4>{If4f>{i:<ni6=4>{If4f>{i:<nh6=4>{If4f>{i:<no6=4>{If4f>{i:<nn6=4>{If4f>{i:<nm6=4>{If4f>{i:<o;6=4>{If4f>{i:<o:6=4>{If4f>{i:<o96=4>{If4f>{i:<o86=4>{If4f>{i:<o?6=4>{If4f>{i:<o>6=4>{If4f>{i:<o=6=4>{If4f>{i:<o<6=4>{If4f>{i:<o36=4>{If4f>{i:<o26=4>{If4f>{i:<oj6=4>{If4f>{i:<oi6=4>{If4f>{i:<oh6=4>{If4f>{i:<oo6=4>{If4f>{i:<on6=4>{If4f>{i:<om6=4>{If4f>{i:<l;6=4>{If4f>{i:<l:6=4>{If4f>{i:<l96=4>{If4f>{i:<l86=4>{If4f>{i:<l?6=4>{If4f>{i:<l>6=4>{If4f>{i:<l=6=4>{If4f>{i:<l<6=4>{If4f>{i:<l36=4>{If4f>{i:<l26=4>{If4f>{i:<lj6=4>{If4f>{i:<li6=4>{If4f>{i:<lh6=4>{If4f>{i:<lo6=4>{If4f>{i:<ln6=4>{If4f>{i:<lm6=4>{If4f>{i:?:;6=4>{If4f>{i:?::6=4>{If4f>{i:?:96=4>{If4f>{i:?:86=4>{If4f>{i:?:?6=4>{If4f>{i:?:>6=4>{If4f>{i:?:=6=4>{If4f>{i:?:<6=4>{If4f>{i:?:36=4>{If4f>{i:?:26=4>{If4f>{i:?:j6=4>{If4f>{i:?:i6=4>{If4f>{i:?:h6=4>{If4f>{i:?:o6=4>{If4f>{i:?:n6=4>{If4f>{i:?:m6=4>{If4f>{i:?;;6=4>{If4f>{i:?;:6=4>{If4f>{i:?;96=4>{If4f>{i:?;86=4>{If4f>{i:?;?6=4>{If4f>{i:?;>6=4>{If4f>{i:?;=6=4>{If4f>{i:?;<6=4>{If4f>{i:?;36=4>{If4f>{i:?;26=4>{If4f>{i:?;j6=4>{If4f>{i:?;i6=4>{If4f>{i:?;h6=4>{If4f>{i:?;o6=4>{If4f>{i:?;n6=4>{If4f>{i:?;m6=4>{If4f>{i:?8;6=4>{If4f>{i:?8:6=4>{If4f>{i:?896=4>{If4f>{i:?886=4>{If4f>{i:?8?6=4>{If4f>{i:?8>6=4>{If4f>{i:?8=6=4>{If4f>{i:?8<6=4>{If4f>{i:?836=4>{If4f>{i:?826=4>{If4f>{i:?8j6=4>{If4f>{i:?8i6=4>{If4f>{i:?8h6=4>{If4f>{i:?8o6=4>{If4f>{i:?8n6=4>{If4f>{i:?8m6=4>{If4f>{i:?9;6=4>{If4f>{i:?9:6=4>{If4f>{i:?996=4>{If4f>{i:?986=4>{If4f>{i:?9?6=4>{If4f>{i:?9>6=4>{If4f>{i:?9=6=4>{If4f>{i:?9<6=4>{If4f>{i:?936=4>{If4f>{i:?926=4>{If4f>{i:?9j6=4>{If4f>{i:?9i6=4>{If4f>{i:?9h6=4>{If4f>{i:?9o6=4>{If4f>{i:?9n6=4>{If4f>{i:?9m6=4>{If4f>{i:?>;6=4>{If4f>{i:?>:6=4>{If4f>{i:?>96=4>{If4f>{i:?>86=4>{If4f>{i:?>?6=4>{If4f>{i:?>>6=4>{If4f>{i:?>=6=4>{If4f>{i:?><6=4>{If4f>{i:?>36=4>{If4f>{i:?>26=4>{If4f>{i:?>j6=4>{If4f>{i:?>i6=4>{If4f>{i:?>h6=4>{If4f>{i:?>o6=4>{If4f>{i:?>n6=4>{If4f>{i:?>m6=4>{If4f>{i:??;6=4>{If4f>{i:??:6=4>{If4f>{i:??96=4>{If4f>{i:??86=4>{If4f>{i:???6=4>{If4f>{i:??>6=4>{If4f>{i:??=6=4>{If4f>{i:??<6=4>{If4f>{i:??36=4>{If4f>{i:??26=4>{If4f>{i:??j6=4>{If4f>{i:??i6=4>{If4f>{i:??h6=4>{If4f>{i:??o6=4>{If4f>{i:??n6=4>{If4f>{i:??m6=4>{If4f>{i:?<;6=4>{If4f>{i:?<:6=4>{If4f>{i:?<96=4>{If4f>{i:?<86=4>{If4f>{i:?<?6=4>{If4f>{i:?<>6=4>{If4f>{i:?<=6=4>{If4f>{i:?<<6=4>{If4f>{i:?<36=4>{If4f>{i:?<26=4>{If4f>{i:?<j6=4>{If4f>{i:?<i6=4>{If4f>{i:?<h6=4>{If4f>{i:?<o6=4>{If4f>{i:?<n6=4>{If4f>{i:?<m6=4>{If4f>{i:?=;6=4>{If4f>{i:?=:6=4>{If4f>{i:?=96=4>{If4f>{i:?=86=4>{If4f>{i:?=?6=4>{If4f>{i:?=>6=4>{If4f>{i:?==6=4>{If4f>{i:?=<6=4>{If4f>{i:?=36=4>{If4f>{i:?=26=4>{If4f>{i:?=j6=4>{If4f>{i:?=i6=4>{If4f>{i:?=h6=4>{If4f>{i:?=o6=4>{If4f>{i:?=n6=4>{If4f>{i:?=m6=4>{If4f>{i:?2;6=4>{If4f>{i:?2:6=4>{If4f>{i:?296=4>{If4f>{i:?286=4>{If4f>{i:?2?6=4>{If4f>{i:?2>6=4>{If4f>{i:?2=6=4>{If4f>{i:?2<6=4>{If4f>{i:?236=4>{If4f>{i:?226=4>{If4f>{i:?2j6=4>{If4f>{i:?2i6=4>{If4f>{i:?2h6=4>{If4f>{i:?2o6=4>{If4f>{i:?2n6=4>{If4f>{i:?2m6=4>{If4f>{i:?3;6=4>{If4f>{i:?3:6=4>{If4f>{i:?396=4>{If4f>{i:?386=4>{If4f>{i:?3?6=4>{If4f>{i:?3>6=4>{If4f>{i:?3=6=4>{If4f>{i:?3<6=4>{If4f>{i:?336=4>{If4f>{i:?326=4>{If4f>{i:?3j6=4>{If4f>{i:?3i6=4>{If4f>{i:?3h6=4>{If4f>{i:?3o6=4>{If4f>{i:?3n6=4>{If4f>{i:?3m6=4>{If4f>{i:?k;6=4>{If4f>{i:?k:6=4>{If4f>{i:?k96=4>{If4f>{i:?k86=4>{If4f>{i:?k?6=4>{If4f>{i:?k>6=4>{If4f>{i:?k=6=4>{If4f>{i:?k<6=4>{If4f>{i:?k36=4>{If4f>{i:?k26=4>{If4f>{i:?kj6=4>{If4f>{i:?ki6=4>{If4f>{i:?kh6=4>{If4f>{i:?ko6=4>{If4f>{i:?kn6=4>{If4f>{i:?km6=4>{If4f>{i:?h;6=4>{If4f>{i:?h:6=4>{If4f>{i:?h96=4>{If4f>{i:?h86=4>{If4f>{i:?h?6=4>{If4f>{i:?h>6=4>{If4f>{i:?h=6=4>{If4f>{i:?h<6=4>{If4f>{i:?h36=4>{If4f>{i:?h26=4>{If4f>{i:?hj6=4>{If4f>{i:?hi6=4>{If4f>{i:?hh6=4>{If4f>{i:?ho6=4>{If4f>{i:?hn6=4>{If4f>{i:?hm6=4>{If4f>{i:?i;6=4>{If4f>{i:?i:6=4>{If4f>{i:?i96=4>{If4f>{i:?i86=4>{If4f>{i:?i?6=4>{If4f>{i:?i>6=4>{If4f>{i:?i=6=4>{If4f>{i:?i<6=4>{If4f>{i:?i36=4>{If4f>{i:?i26=4>{If4f>{i:?ij6=4>{If4f>{i:?ii6=4>{If4f>{i:?ih6=4>{If4f>{i:?io6=4>{If4f>{i:?in6=4>{If4f>{i:?im6=4>{If4f>{i:?n;6=4>{If4f>{i:?n:6=4>{If4f>{i:?n96=4>{If4f>{i:?n86=4>{If4f>{i:?n?6=4>{If4f>{i:?n>6=4>{If4f>{i:?n=6=4>{If4f>{i:?n<6=4>{If4f>{i:?n36=4>{If4f>{i:?n26=4>{If4f>{i:?nj6=4>{If4f>{i:?ni6=4>{If4f>{i:?nh6=4>{If4f>{i:?no6=4>{If4f>{i:?nn6=4>{If4f>{i:?nm6=4>{If4f>{i:?o;6=4>{If4f>{i:?o:6=4>{If4f>{i:?o96=4>{If4f>{i:?o86=4>{If4f>{i:?o?6=4>{If4f>{i:?o>6=4>{If4f>{i:?o=6=4>{If4f>{i:?o<6=4>{If4f>{i:?o36=4>{If4f>{i:?o26=4>{If4f>{i:?oj6=4>{If4f>{i:?oi6=4>{If4f>{i:?oh6=4>{If4f>{i:?oo6=4>{If4f>{i:?on6=4>{If4f>{i:?om6=4>{If4f>{i:?l;6=4>{If4f>{i:?l:6=4>{If4f>{i:?l96=4>{If4f>{i:?l86=4>{If4f>{i:?l?6=4>{If4f>{i:?l>6=4>{If4f>{i:?l=6=4>{If4f>{i:?l<6=4>{If4f>{i:?l36=4>{If4f>{i:?l26=4>{If4f>{i:?lj6=4>{If4f>{i:?li6=4>{If4f>{i:?lh6=4>{If4f>{i:?lo6=4>{If4f>{i:?ln6=4>{If4f>{i:?lm6=4>{If4f>{i:>:;6=4>{If4f>{i:>::6=4>{If4f>{i:>:96=4>{If4f>{i:>:86=4>{If4f>{i:>:?6=4>{If4f>{i:>:>6=4>{If4f>{i:>:=6=4>{If4f>{i:>:<6=4>{If4f>{i:>:36=4>{If4f>{i:>:26=4>{If4f>{i:>:j6=4>{If4f>{i:>:i6=4>{If4f>{i:>:h6=4>{If4f>{i:>:o6=4>{If4f>{i:>:n6=4>{If4f>{i:>:m6=4>{If4f>{i:>;;6=4>{If4f>{i:>;:6=4>{If4f>{i:>;96=4>{If4f>{i:>;86=4>{If4f>{i:>;?6=4>{If4f>{i:>;>6=4>{If4f>{i:>;=6=4>{If4f>{i:>;<6=4>{If4f>{i:>;36=4>{If4f>{i:>;26=4>{If4f>{i:>;j6=4>{If4f>{i:>;i6=4>{If4f>{i:>;h6=4>{If4f>{i:>;o6=4>{If4f>{i:>;n6=4>{If4f>{i:>;m6=4>{If4f>{i:>8;6=4>{If4f>{i:>8:6=4>{If4f>{i:>896=4>{If4f>{i:>886=4>{If4f>{i:>8?6=4>{If4f>{i:>8>6=4>{If4f>{i:>8=6=4>{If4f>{i:>8<6=4>{If4f>{i:>836=4>{If4f>{i:>826=4>{If4f>{i:>8j6=4>{If4f>{i:>8i6=4>{If4f>{i:>8h6=4>{If4f>{i:>8o6=4>{If4f>{i:>8n6=4>{If4f>{i:>8m6=4>{If4f>{i:>9;6=4>{If4f>{i:>9:6=4>{If4f>{i:>996=4>{If4f>{i:>986=4>{If4f>{i:>9?6=4>{If4f>{i:>9>6=4>{If4f>{i:>9=6=4>{If4f>{i:>9<6=4>{If4f>{i:>936=4>{If4f>{i:>926=4>{If4f>{i:>9j6=4>{If4f>{i:>9i6=4>{If4f>{i:>9h6=4>{If4f>{i:>9o6=4>{If4f>{i:>9n6=4>{If4f>{i:>9m6=4>{If4f>{i:>>;6=4>{If4f>{i:>>:6=4>{If4f>{i:>>96=4>{If4f>{i:>>86=4>{If4f>{i:>>?6=4>{If4f>{i:>>>6=4>{If4f>{i:>>=6=4>{If4f>{i:>><6=4>{If4f>{i:>>36=4>{If4f>{i:>>26=4>{If4f>{i:>>j6=4>{If4f>{i:>>i6=4>{If4f>{i:>>h6=4>{If4f>{i:>>o6=4>{If4f>{i:>>n6=4>{If4f>{i:>>m6=4>{If4f>{i:>?;6=4>{If4f>{i:>?:6=4>{If4f>{i:>?96=4>{If4f>{i:>?86=4>{If4f>{i:>??6=4>{If4f>{i:>?>6=4>{If4f>{i:>?=6=4>{If4f>{i:>?<6=4>{If4f>{i:>?36=4>{If4f>{i:>?26=4>{If4f>{i:>?j6=4>{If4f>{i:>?i6=4>{If4f>{i:>?h6=4>{If4f>{i:>?o6=4>{If4f>{i:>?n6=4>{If4f>{i:>?m6=4>{If4f>{i:><;6=4>{If4f>{i:><:6=4>{If4f>{i:><96=4>{If4f>{i:><86=4>{If4f>{i:><?6=4>{If4f>{i:><>6=4>{If4f>{i:><=6=4>{If4f>{i:><<6=4>{If4f>{i:><36=4>{If4f>{i:><26=4>{If4f>{i:><j6=4>{If4f>{i:><i6=4>{If4f>{i:><h6=4>{If4f>{i:><o6=4>{If4f>{i:><n6=4>{If4f>{i:><m6=4>{If4f>{i:>=;6=4>{If4f>{i:>=:6=4>{If4f>{i:>=96=4>{If4f>{i:>=86=4>{If4f>{i:>=?6=4>{If4f>{i:>=>6=4>{If4f>{i:>==6=4>{If4f>{i:>=<6=4>{If4f>{i:>=36=4>{If4f>{i:>=26=4>{If4f>{i:>=j6=4>{If4f>{i:>=i6=4>{If4f>{i:>=h6=4>{If4f>{i:>=o6=4>{If4f>{i:>=n6=4>{If4f>{i:>=m6=4>{If4f>{i:>2;6=4>{If4f>{i:>2:6=4>{If4f>{i:>296=4>{If4f>{i:>286=4>{If4f>{i:>2?6=4>{If4f>{i:>2>6=4>{If4f>{i:>2=6=4>{If4f>{i:>2<6=4>{If4f>{i:>236=4>{If4f>{i:>226=4>{If4f>{i:>2j6=4>{If4f>{i:>2i6=4>{If4f>{i:>2h6=4>{If4f>{i:>2o6=4>{If4f>{i:>2n6=4>{If4f>{i:>2m6=4>{If4f>{i:>3;6=4>{If4f>{i:>3:6=4>{If4f>{i:>396=4>{If4f>{i:>386=4>{If4f>{i:>3?6=4>{If4f>{i:>3>6=4>{If4f>{i:>3=6=4>{If4f>{i:>3<6=4>{If4f>{i:>336=4>{If4f>{i:>326=4>{If4f>{i:>3j6=4>{If4f>{i:>3i6=4>{If4f>{i:>3h6=4>{If4f>{i:>3o6=4>{If4f>{i:>3n6=4>{If4f>{i:>3m6=4>{If4f>{i:>k;6=4>{If4f>{i:>k:6=4>{If4f>{i:>k96=4>{If4f>{i:>k86=4>{If4f>{i:>k?6=4>{If4f>{i:>k>6=4>{If4f>{i:>k=6=4>{If4f>{i:>k<6=4>{If4f>{i:>k36=4>{If4f>{i:>k26=4>{If4f>{i:>kj6=4>{If4f>{i:>ki6=4>{If4f>{i:>kh6=4>{If4f>{i:>ko6=4>{If4f>{i:>kn6=4>{If4f>{i:>km6=4>{If4f>{i:>h;6=4>{If4f>{i:>h:6=4>{If4f>{i:>h96=4>{If4f>{i:>h86=4>{If4f>{i:>h?6=4>{If4f>{i:>h>6=4>{If4f>{i:>h=6=4>{If4f>{i:>h<6=4>{If4f>{i:>h36=4>{If4f>{i:>h26=4>{If4f>{i:>hj6=4>{If4f>{i:>hi6=4>{If4f>{i:>hh6=4>{If4f>{i:>ho6=4>{If4f>{i:>hn6=4>{If4f>{i:>hm6=4>{If4f>{i:>i;6=4>{If4f>{i:>i:6=4>{If4f>{i:>i96=4>{If4f>{i:>i86=4>{If4f>{i:>i?6=4>{If4f>{i:>i>6=4>{If4f>{i:>i=6=4>{If4f>{i:>i<6=4>{If4f>{i:>i36=4>{If4f>{i:>i26=4>{If4f>{i:>ij6=4>{If4f>{i:>ii6=4>{If4f>{i:>ih6=4>{If4f>{i:>io6=4>{If4f>{i:>in6=4>{If4f>{i:>im6=4>{If4f>{i:>n;6=4>{If4f>{i:>n:6=4>{If4f>{i:>n96=4>{If4f>{i:>n86=4>{If4f>{i:>n?6=4>{If4f>{i:>n>6=4>{If4f>{i:>n=6=4>{If4f>{i:>n<6=4>{If4f>{i:>n36=4>{If4f>{i:>n26=4>{If4f>{i:>nj6=4>{If4f>{i:>ni6=4>{If4f>{i:>nh6=4>{If4f>{i:>no6=4>{If4f>{i:>nn6=4>{If4f>{i:>nm6=4>{If4f>{i:>o;6=4>{If4f>{i:>o:6=4>{If4f>{i:>o96=4>{If4f>{i:>o86=4>{If4f>{i:>o?6=4>{If4f>{i:>o>6=4>{If4f>{i:>o=6=4>{If4f>{i:>o<6=4>{If4f>{i:>o36=4>{If4f>{i:>o26=4>{If4f>{i:>oj6=4>{If4f>{i:>oi6=4>{If4f>{i:>oh6=4>{If4f>{i:>oo6=4>{If4f>{i:>on6=4>{If4f>{i:>om6=4>{If4f>{i:>l;6=4>{If4f>{i:>l:6=4>{If4f>{i:>l96=4>{If4f>{i:>l86=4>{If4f>{i:>l?6=4>{If4f>{i:>l>6=4>{If4f>{i:>l=6=4>{If4f>{i:>l<6=4>{If4f>{i:>l36=4>{If4f>{i:>l26=4>{If4f>{i:>lj6=4>{If4f>{i:>li6=4>{If4f>{i:>lh6=4>{If4f>{i:>lo6=4>{If4f>{i:>ln6=4>{If4f>{i:>lm6=4>{If4f>{i:1:;6=4>{If4f>{i:1::6=4>{If4f>{i:1:96=4>{If4f>{i:1:86=4>{If4f>{i:1:?6=4>{If4f>{i:1:>6=4>{If4f>{i:1:=6=4>{If4f>{i:1:<6=4>{If4f>{i:1:36=4>{If4f>{i:1:26=4>{If4f>{i:1:j6=4>{If4f>{i:1:i6=4>{If4f>{i:1:h6=4>{If4f>{i:1:o6=4>{If4f>{i:1:n6=4>{If4f>{i:1:m6=4>{If4f>{i:1;;6=4>{If4f>{i:1;:6=4>{If4f>{i:1;96=4>{If4f>{i:1;86=4>{If4f>{i:1;?6=4>{If4f>{i:1;>6=4>{If4f>{i:1;=6=4>{If4f>{i:1;<6=4>{If4f>{i:1;36=4>{If4f>{i:1;26=4>{If4f>{i:1;j6=4>{If4f>{i:1;i6=4>{If4f>{i:1;h6=4>{If4f>{i:1;o6=4>{If4f>{i:1;n6=4>{If4f>{i:1;m6=4>{If4f>{i:18;6=4>{If4f>{i:18:6=4>{If4f>{i:1896=4>{If4f>{i:1886=4>{If4f>{i:18?6=4>{If4f>{i:18>6=4>{If4f>{i:18=6=4>{If4f>{i:18<6=4>{If4f>{i:1836=4>{If4f>{i:1826=4>{If4f>{i:18j6=4>{If4f>{i:18i6=4>{If4f>{i:18h6=4>{If4f>{i:18o6=4>{If4f>{i:18n6=4>{If4f>{i:18m6=4>{If4f>{i:19;6=4>{If4f>{i:19:6=4>{If4f>{i:1996=4>{If4f>{i:1986=4>{If4f>{i:19?6=4>{If4f>{i:19>6=4>{If4f>{i:19=6=4>{If4f>{i:19<6=4>{If4f>{i:1936=4>{If4f>{i:1926=4>{If4f>{i:19j6=4>{If4f>{i:19i6=4>{If4f>{i:19h6=4>{If4f>{i:19o6=4>{If4f>{i:19n6=4>{If4f>{i:19m6=4>{If4f>{i:1>;6=4>{If4f>{i:1>:6=4>{If4f>{i:1>96=4>{If4f>{i:1>86=4>{If4f>{i:1>?6=4>{If4f>{i:1>>6=4>{If4f>{i:1>=6=4>{If4f>{i:1><6=4>{If4f>{i:1>36=4>{If4f>{i:1>26=4>{If4f>{i:1>j6=4>{If4f>{i:1>i6=4>{If4f>{i:1>h6=4>{If4f>{zutJKOv<jac8f6a1cij8vLMLt0|BCT~{GH \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf
new file mode 100644
index 000000000..736db76a7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_128 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_128 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.v b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.v
new file mode 100644
index 000000000..20690c1dd
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.v
@@ -0,0 +1,31 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_128.v
+// /___/ /\ Timestamp : Fri Mar 08 11:53:53 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module chipscope_ila_128(
+ CONTROL,
+ CLK,
+ TRIG0) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL;
+input CLK;
+input [127 : 0] TRIG0;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo
new file mode 100644
index 000000000..ba0ec8116
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.veo
@@ -0,0 +1,30 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_128.veo
+// /___/ /\ Timestamp : Fri Mar 08 11:53:53 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+chipscope_ila_128 YourInstanceName (
+ .CONTROL(CONTROL), // INOUT BUS [35:0]
+ .CLK(CLK), // IN
+ .TRIG0(TRIG0) // IN BUS [127:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco
new file mode 100644
index 000000000..41a6a11be
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xco
@@ -0,0 +1,141 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Mar 8 19:52:17 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
+# END Select
+# BEGIN Parameters
+CSET check_bramcount=false
+CSET component_name=chipscope_ila_128
+CSET constraint_type=external
+CSET counter_width_1=Disabled
+CSET counter_width_10=Disabled
+CSET counter_width_11=Disabled
+CSET counter_width_12=Disabled
+CSET counter_width_13=Disabled
+CSET counter_width_14=Disabled
+CSET counter_width_15=Disabled
+CSET counter_width_16=Disabled
+CSET counter_width_2=Disabled
+CSET counter_width_3=Disabled
+CSET counter_width_4=Disabled
+CSET counter_width_5=Disabled
+CSET counter_width_6=Disabled
+CSET counter_width_7=Disabled
+CSET counter_width_8=Disabled
+CSET counter_width_9=Disabled
+CSET data_port_width=0
+CSET data_same_as_trigger=true
+CSET disable_save_keep=false
+CSET enable_storage_qualification=true
+CSET enable_trigger_output_port=false
+CSET example_design=false
+CSET exclude_from_data_storage_1=false
+CSET exclude_from_data_storage_10=false
+CSET exclude_from_data_storage_11=false
+CSET exclude_from_data_storage_12=false
+CSET exclude_from_data_storage_13=false
+CSET exclude_from_data_storage_14=false
+CSET exclude_from_data_storage_15=false
+CSET exclude_from_data_storage_16=false
+CSET exclude_from_data_storage_2=false
+CSET exclude_from_data_storage_3=false
+CSET exclude_from_data_storage_4=false
+CSET exclude_from_data_storage_5=false
+CSET exclude_from_data_storage_6=false
+CSET exclude_from_data_storage_7=false
+CSET exclude_from_data_storage_8=false
+CSET exclude_from_data_storage_9=false
+CSET match_type_1=basic_with_edges
+CSET match_type_10=basic_with_edges
+CSET match_type_11=basic_with_edges
+CSET match_type_12=basic_with_edges
+CSET match_type_13=basic_with_edges
+CSET match_type_14=basic_with_edges
+CSET match_type_15=basic_with_edges
+CSET match_type_16=basic_with_edges
+CSET match_type_2=basic_with_edges
+CSET match_type_3=basic_with_edges
+CSET match_type_4=basic_with_edges
+CSET match_type_5=basic_with_edges
+CSET match_type_6=basic_with_edges
+CSET match_type_7=basic_with_edges
+CSET match_type_8=basic_with_edges
+CSET match_type_9=basic_with_edges
+CSET match_units_1=1
+CSET match_units_10=1
+CSET match_units_11=1
+CSET match_units_12=1
+CSET match_units_13=1
+CSET match_units_14=1
+CSET match_units_15=1
+CSET match_units_16=1
+CSET match_units_2=1
+CSET match_units_3=1
+CSET match_units_4=1
+CSET match_units_5=1
+CSET match_units_6=1
+CSET match_units_7=1
+CSET match_units_8=1
+CSET match_units_9=1
+CSET max_sequence_levels=1
+CSET number_of_trigger_ports=1
+CSET sample_data_depth=1024
+CSET sample_on=Rising
+CSET trigger_port_width_1=128
+CSET trigger_port_width_10=8
+CSET trigger_port_width_11=8
+CSET trigger_port_width_12=8
+CSET trigger_port_width_13=8
+CSET trigger_port_width_14=8
+CSET trigger_port_width_15=8
+CSET trigger_port_width_16=8
+CSET trigger_port_width_2=8
+CSET trigger_port_width_3=8
+CSET trigger_port_width_4=8
+CSET trigger_port_width_5=8
+CSET trigger_port_width_6=8
+CSET trigger_port_width_7=8
+CSET trigger_port_width_8=8
+CSET trigger_port_width_9=8
+CSET use_rpms=false
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:40Z
+# END Extra information
+GENERATE
+# CRC: 194c140a
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise
new file mode 100644
index 000000000..b5d414354
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="chipscope_ila_128.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="chipscope_ila_128.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_ila_128" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_ila_128.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_ila_128" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_ila_128" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-03-08T11:53:54" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="9340B6BAD141C451B74411D3998AD5A4" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_flist.txt
new file mode 100644
index 000000000..7ee610500
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_flist.txt
@@ -0,0 +1,18 @@
+# Output products list for <chipscope_ila_128>
+_xmsgs/pn_parser.xmsgs
+chipscope_ila_128.asy
+chipscope_ila_128.cdc
+chipscope_ila_128.constraints/chipscope_ila_128.ucf
+chipscope_ila_128.constraints/chipscope_ila_128.xdc
+chipscope_ila_128.gise
+chipscope_ila_128.ncf
+chipscope_ila_128.ngc
+chipscope_ila_128.ucf
+chipscope_ila_128.v
+chipscope_ila_128.veo
+chipscope_ila_128.xco
+chipscope_ila_128.xdc
+chipscope_ila_128.xise
+chipscope_ila_128_flist.txt
+chipscope_ila_128_readme.txt
+chipscope_ila_128_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt
new file mode 100644
index 000000000..395331e42
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_readme.txt
@@ -0,0 +1,48 @@
+The following files were generated for 'chipscope_ila_128' in directory
+/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * chipscope_ila_128.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * chipscope_ila_128.cdc
+ * chipscope_ila_128.constraints/chipscope_ila_128.ucf
+ * chipscope_ila_128.constraints/chipscope_ila_128.xdc
+ * chipscope_ila_128.ncf
+ * chipscope_ila_128.ngc
+ * chipscope_ila_128.ucf
+ * chipscope_ila_128.v
+ * chipscope_ila_128.veo
+ * chipscope_ila_128.xdc
+ * chipscope_ila_128_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * chipscope_ila_128.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * _xmsgs/pn_parser.xmsgs
+ * chipscope_ila_128.gise
+ * chipscope_ila_128.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * chipscope_ila_128_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * chipscope_ila_128_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl
new file mode 100755
index 000000000..e4af2b327
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_128_xmdf.tcl
@@ -0,0 +1,87 @@
+# The package naming convention is <core_name>_xmdf
+package provide chipscope_ila_128_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::chipscope_ila_128_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::chipscope_ila_128_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_128
+}
+# ::chipscope_ila_128_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::chipscope_ila_128_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.cdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.constraints/chipscope_ila_128.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.constraints/chipscope_ila_128.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_128_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_128
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy
new file mode 100644
index 000000000..3eef48558
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.asy
@@ -0,0 +1,17 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 chipscope_ila_256
+RECTANGLE Normal 32 32 288 704
+LINE Wide 0 80 32 80
+PIN 0 80 LEFT 36
+PINATTR PinName control[35:0]
+PINATTR Polarity IN
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName clk
+PINATTR Polarity IN
+LINE Wide 0 176 32 176
+PIN 0 176 LEFT 36
+PINATTR PinName trig0[255:0]
+PINATTR Polarity IN
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc
new file mode 100644
index 000000000..562f53ff9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.cdc
@@ -0,0 +1,272 @@
+#ChipScope Core Generator Project File Version 3.0
+#Fri Mar 08 16:13:02 PST 2013
+SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255
+SignalExport.bus<0000>.name=TRIG0
+SignalExport.bus<0000>.offset=0.0
+SignalExport.bus<0000>.precision=0
+SignalExport.bus<0000>.radix=Bin
+SignalExport.bus<0000>.scaleFactor=1.0
+SignalExport.clockChannel=CLK
+SignalExport.dataEqualsTrigger=true
+SignalExport.triggerChannel<0000><0000>=TRIG0[0]
+SignalExport.triggerChannel<0000><0001>=TRIG0[1]
+SignalExport.triggerChannel<0000><0002>=TRIG0[2]
+SignalExport.triggerChannel<0000><0003>=TRIG0[3]
+SignalExport.triggerChannel<0000><0004>=TRIG0[4]
+SignalExport.triggerChannel<0000><0005>=TRIG0[5]
+SignalExport.triggerChannel<0000><0006>=TRIG0[6]
+SignalExport.triggerChannel<0000><0007>=TRIG0[7]
+SignalExport.triggerChannel<0000><0008>=TRIG0[8]
+SignalExport.triggerChannel<0000><0009>=TRIG0[9]
+SignalExport.triggerChannel<0000><0010>=TRIG0[10]
+SignalExport.triggerChannel<0000><0011>=TRIG0[11]
+SignalExport.triggerChannel<0000><0012>=TRIG0[12]
+SignalExport.triggerChannel<0000><0013>=TRIG0[13]
+SignalExport.triggerChannel<0000><0014>=TRIG0[14]
+SignalExport.triggerChannel<0000><0015>=TRIG0[15]
+SignalExport.triggerChannel<0000><0016>=TRIG0[16]
+SignalExport.triggerChannel<0000><0017>=TRIG0[17]
+SignalExport.triggerChannel<0000><0018>=TRIG0[18]
+SignalExport.triggerChannel<0000><0019>=TRIG0[19]
+SignalExport.triggerChannel<0000><0020>=TRIG0[20]
+SignalExport.triggerChannel<0000><0021>=TRIG0[21]
+SignalExport.triggerChannel<0000><0022>=TRIG0[22]
+SignalExport.triggerChannel<0000><0023>=TRIG0[23]
+SignalExport.triggerChannel<0000><0024>=TRIG0[24]
+SignalExport.triggerChannel<0000><0025>=TRIG0[25]
+SignalExport.triggerChannel<0000><0026>=TRIG0[26]
+SignalExport.triggerChannel<0000><0027>=TRIG0[27]
+SignalExport.triggerChannel<0000><0028>=TRIG0[28]
+SignalExport.triggerChannel<0000><0029>=TRIG0[29]
+SignalExport.triggerChannel<0000><0030>=TRIG0[30]
+SignalExport.triggerChannel<0000><0031>=TRIG0[31]
+SignalExport.triggerChannel<0000><0032>=TRIG0[32]
+SignalExport.triggerChannel<0000><0033>=TRIG0[33]
+SignalExport.triggerChannel<0000><0034>=TRIG0[34]
+SignalExport.triggerChannel<0000><0035>=TRIG0[35]
+SignalExport.triggerChannel<0000><0036>=TRIG0[36]
+SignalExport.triggerChannel<0000><0037>=TRIG0[37]
+SignalExport.triggerChannel<0000><0038>=TRIG0[38]
+SignalExport.triggerChannel<0000><0039>=TRIG0[39]
+SignalExport.triggerChannel<0000><0040>=TRIG0[40]
+SignalExport.triggerChannel<0000><0041>=TRIG0[41]
+SignalExport.triggerChannel<0000><0042>=TRIG0[42]
+SignalExport.triggerChannel<0000><0043>=TRIG0[43]
+SignalExport.triggerChannel<0000><0044>=TRIG0[44]
+SignalExport.triggerChannel<0000><0045>=TRIG0[45]
+SignalExport.triggerChannel<0000><0046>=TRIG0[46]
+SignalExport.triggerChannel<0000><0047>=TRIG0[47]
+SignalExport.triggerChannel<0000><0048>=TRIG0[48]
+SignalExport.triggerChannel<0000><0049>=TRIG0[49]
+SignalExport.triggerChannel<0000><0050>=TRIG0[50]
+SignalExport.triggerChannel<0000><0051>=TRIG0[51]
+SignalExport.triggerChannel<0000><0052>=TRIG0[52]
+SignalExport.triggerChannel<0000><0053>=TRIG0[53]
+SignalExport.triggerChannel<0000><0054>=TRIG0[54]
+SignalExport.triggerChannel<0000><0055>=TRIG0[55]
+SignalExport.triggerChannel<0000><0056>=TRIG0[56]
+SignalExport.triggerChannel<0000><0057>=TRIG0[57]
+SignalExport.triggerChannel<0000><0058>=TRIG0[58]
+SignalExport.triggerChannel<0000><0059>=TRIG0[59]
+SignalExport.triggerChannel<0000><0060>=TRIG0[60]
+SignalExport.triggerChannel<0000><0061>=TRIG0[61]
+SignalExport.triggerChannel<0000><0062>=TRIG0[62]
+SignalExport.triggerChannel<0000><0063>=TRIG0[63]
+SignalExport.triggerChannel<0000><0064>=TRIG0[64]
+SignalExport.triggerChannel<0000><0065>=TRIG0[65]
+SignalExport.triggerChannel<0000><0066>=TRIG0[66]
+SignalExport.triggerChannel<0000><0067>=TRIG0[67]
+SignalExport.triggerChannel<0000><0068>=TRIG0[68]
+SignalExport.triggerChannel<0000><0069>=TRIG0[69]
+SignalExport.triggerChannel<0000><0070>=TRIG0[70]
+SignalExport.triggerChannel<0000><0071>=TRIG0[71]
+SignalExport.triggerChannel<0000><0072>=TRIG0[72]
+SignalExport.triggerChannel<0000><0073>=TRIG0[73]
+SignalExport.triggerChannel<0000><0074>=TRIG0[74]
+SignalExport.triggerChannel<0000><0075>=TRIG0[75]
+SignalExport.triggerChannel<0000><0076>=TRIG0[76]
+SignalExport.triggerChannel<0000><0077>=TRIG0[77]
+SignalExport.triggerChannel<0000><0078>=TRIG0[78]
+SignalExport.triggerChannel<0000><0079>=TRIG0[79]
+SignalExport.triggerChannel<0000><0080>=TRIG0[80]
+SignalExport.triggerChannel<0000><0081>=TRIG0[81]
+SignalExport.triggerChannel<0000><0082>=TRIG0[82]
+SignalExport.triggerChannel<0000><0083>=TRIG0[83]
+SignalExport.triggerChannel<0000><0084>=TRIG0[84]
+SignalExport.triggerChannel<0000><0085>=TRIG0[85]
+SignalExport.triggerChannel<0000><0086>=TRIG0[86]
+SignalExport.triggerChannel<0000><0087>=TRIG0[87]
+SignalExport.triggerChannel<0000><0088>=TRIG0[88]
+SignalExport.triggerChannel<0000><0089>=TRIG0[89]
+SignalExport.triggerChannel<0000><0090>=TRIG0[90]
+SignalExport.triggerChannel<0000><0091>=TRIG0[91]
+SignalExport.triggerChannel<0000><0092>=TRIG0[92]
+SignalExport.triggerChannel<0000><0093>=TRIG0[93]
+SignalExport.triggerChannel<0000><0094>=TRIG0[94]
+SignalExport.triggerChannel<0000><0095>=TRIG0[95]
+SignalExport.triggerChannel<0000><0096>=TRIG0[96]
+SignalExport.triggerChannel<0000><0097>=TRIG0[97]
+SignalExport.triggerChannel<0000><0098>=TRIG0[98]
+SignalExport.triggerChannel<0000><0099>=TRIG0[99]
+SignalExport.triggerChannel<0000><0100>=TRIG0[100]
+SignalExport.triggerChannel<0000><0101>=TRIG0[101]
+SignalExport.triggerChannel<0000><0102>=TRIG0[102]
+SignalExport.triggerChannel<0000><0103>=TRIG0[103]
+SignalExport.triggerChannel<0000><0104>=TRIG0[104]
+SignalExport.triggerChannel<0000><0105>=TRIG0[105]
+SignalExport.triggerChannel<0000><0106>=TRIG0[106]
+SignalExport.triggerChannel<0000><0107>=TRIG0[107]
+SignalExport.triggerChannel<0000><0108>=TRIG0[108]
+SignalExport.triggerChannel<0000><0109>=TRIG0[109]
+SignalExport.triggerChannel<0000><0110>=TRIG0[110]
+SignalExport.triggerChannel<0000><0111>=TRIG0[111]
+SignalExport.triggerChannel<0000><0112>=TRIG0[112]
+SignalExport.triggerChannel<0000><0113>=TRIG0[113]
+SignalExport.triggerChannel<0000><0114>=TRIG0[114]
+SignalExport.triggerChannel<0000><0115>=TRIG0[115]
+SignalExport.triggerChannel<0000><0116>=TRIG0[116]
+SignalExport.triggerChannel<0000><0117>=TRIG0[117]
+SignalExport.triggerChannel<0000><0118>=TRIG0[118]
+SignalExport.triggerChannel<0000><0119>=TRIG0[119]
+SignalExport.triggerChannel<0000><0120>=TRIG0[120]
+SignalExport.triggerChannel<0000><0121>=TRIG0[121]
+SignalExport.triggerChannel<0000><0122>=TRIG0[122]
+SignalExport.triggerChannel<0000><0123>=TRIG0[123]
+SignalExport.triggerChannel<0000><0124>=TRIG0[124]
+SignalExport.triggerChannel<0000><0125>=TRIG0[125]
+SignalExport.triggerChannel<0000><0126>=TRIG0[126]
+SignalExport.triggerChannel<0000><0127>=TRIG0[127]
+SignalExport.triggerChannel<0000><0128>=TRIG0[128]
+SignalExport.triggerChannel<0000><0129>=TRIG0[129]
+SignalExport.triggerChannel<0000><0130>=TRIG0[130]
+SignalExport.triggerChannel<0000><0131>=TRIG0[131]
+SignalExport.triggerChannel<0000><0132>=TRIG0[132]
+SignalExport.triggerChannel<0000><0133>=TRIG0[133]
+SignalExport.triggerChannel<0000><0134>=TRIG0[134]
+SignalExport.triggerChannel<0000><0135>=TRIG0[135]
+SignalExport.triggerChannel<0000><0136>=TRIG0[136]
+SignalExport.triggerChannel<0000><0137>=TRIG0[137]
+SignalExport.triggerChannel<0000><0138>=TRIG0[138]
+SignalExport.triggerChannel<0000><0139>=TRIG0[139]
+SignalExport.triggerChannel<0000><0140>=TRIG0[140]
+SignalExport.triggerChannel<0000><0141>=TRIG0[141]
+SignalExport.triggerChannel<0000><0142>=TRIG0[142]
+SignalExport.triggerChannel<0000><0143>=TRIG0[143]
+SignalExport.triggerChannel<0000><0144>=TRIG0[144]
+SignalExport.triggerChannel<0000><0145>=TRIG0[145]
+SignalExport.triggerChannel<0000><0146>=TRIG0[146]
+SignalExport.triggerChannel<0000><0147>=TRIG0[147]
+SignalExport.triggerChannel<0000><0148>=TRIG0[148]
+SignalExport.triggerChannel<0000><0149>=TRIG0[149]
+SignalExport.triggerChannel<0000><0150>=TRIG0[150]
+SignalExport.triggerChannel<0000><0151>=TRIG0[151]
+SignalExport.triggerChannel<0000><0152>=TRIG0[152]
+SignalExport.triggerChannel<0000><0153>=TRIG0[153]
+SignalExport.triggerChannel<0000><0154>=TRIG0[154]
+SignalExport.triggerChannel<0000><0155>=TRIG0[155]
+SignalExport.triggerChannel<0000><0156>=TRIG0[156]
+SignalExport.triggerChannel<0000><0157>=TRIG0[157]
+SignalExport.triggerChannel<0000><0158>=TRIG0[158]
+SignalExport.triggerChannel<0000><0159>=TRIG0[159]
+SignalExport.triggerChannel<0000><0160>=TRIG0[160]
+SignalExport.triggerChannel<0000><0161>=TRIG0[161]
+SignalExport.triggerChannel<0000><0162>=TRIG0[162]
+SignalExport.triggerChannel<0000><0163>=TRIG0[163]
+SignalExport.triggerChannel<0000><0164>=TRIG0[164]
+SignalExport.triggerChannel<0000><0165>=TRIG0[165]
+SignalExport.triggerChannel<0000><0166>=TRIG0[166]
+SignalExport.triggerChannel<0000><0167>=TRIG0[167]
+SignalExport.triggerChannel<0000><0168>=TRIG0[168]
+SignalExport.triggerChannel<0000><0169>=TRIG0[169]
+SignalExport.triggerChannel<0000><0170>=TRIG0[170]
+SignalExport.triggerChannel<0000><0171>=TRIG0[171]
+SignalExport.triggerChannel<0000><0172>=TRIG0[172]
+SignalExport.triggerChannel<0000><0173>=TRIG0[173]
+SignalExport.triggerChannel<0000><0174>=TRIG0[174]
+SignalExport.triggerChannel<0000><0175>=TRIG0[175]
+SignalExport.triggerChannel<0000><0176>=TRIG0[176]
+SignalExport.triggerChannel<0000><0177>=TRIG0[177]
+SignalExport.triggerChannel<0000><0178>=TRIG0[178]
+SignalExport.triggerChannel<0000><0179>=TRIG0[179]
+SignalExport.triggerChannel<0000><0180>=TRIG0[180]
+SignalExport.triggerChannel<0000><0181>=TRIG0[181]
+SignalExport.triggerChannel<0000><0182>=TRIG0[182]
+SignalExport.triggerChannel<0000><0183>=TRIG0[183]
+SignalExport.triggerChannel<0000><0184>=TRIG0[184]
+SignalExport.triggerChannel<0000><0185>=TRIG0[185]
+SignalExport.triggerChannel<0000><0186>=TRIG0[186]
+SignalExport.triggerChannel<0000><0187>=TRIG0[187]
+SignalExport.triggerChannel<0000><0188>=TRIG0[188]
+SignalExport.triggerChannel<0000><0189>=TRIG0[189]
+SignalExport.triggerChannel<0000><0190>=TRIG0[190]
+SignalExport.triggerChannel<0000><0191>=TRIG0[191]
+SignalExport.triggerChannel<0000><0192>=TRIG0[192]
+SignalExport.triggerChannel<0000><0193>=TRIG0[193]
+SignalExport.triggerChannel<0000><0194>=TRIG0[194]
+SignalExport.triggerChannel<0000><0195>=TRIG0[195]
+SignalExport.triggerChannel<0000><0196>=TRIG0[196]
+SignalExport.triggerChannel<0000><0197>=TRIG0[197]
+SignalExport.triggerChannel<0000><0198>=TRIG0[198]
+SignalExport.triggerChannel<0000><0199>=TRIG0[199]
+SignalExport.triggerChannel<0000><0200>=TRIG0[200]
+SignalExport.triggerChannel<0000><0201>=TRIG0[201]
+SignalExport.triggerChannel<0000><0202>=TRIG0[202]
+SignalExport.triggerChannel<0000><0203>=TRIG0[203]
+SignalExport.triggerChannel<0000><0204>=TRIG0[204]
+SignalExport.triggerChannel<0000><0205>=TRIG0[205]
+SignalExport.triggerChannel<0000><0206>=TRIG0[206]
+SignalExport.triggerChannel<0000><0207>=TRIG0[207]
+SignalExport.triggerChannel<0000><0208>=TRIG0[208]
+SignalExport.triggerChannel<0000><0209>=TRIG0[209]
+SignalExport.triggerChannel<0000><0210>=TRIG0[210]
+SignalExport.triggerChannel<0000><0211>=TRIG0[211]
+SignalExport.triggerChannel<0000><0212>=TRIG0[212]
+SignalExport.triggerChannel<0000><0213>=TRIG0[213]
+SignalExport.triggerChannel<0000><0214>=TRIG0[214]
+SignalExport.triggerChannel<0000><0215>=TRIG0[215]
+SignalExport.triggerChannel<0000><0216>=TRIG0[216]
+SignalExport.triggerChannel<0000><0217>=TRIG0[217]
+SignalExport.triggerChannel<0000><0218>=TRIG0[218]
+SignalExport.triggerChannel<0000><0219>=TRIG0[219]
+SignalExport.triggerChannel<0000><0220>=TRIG0[220]
+SignalExport.triggerChannel<0000><0221>=TRIG0[221]
+SignalExport.triggerChannel<0000><0222>=TRIG0[222]
+SignalExport.triggerChannel<0000><0223>=TRIG0[223]
+SignalExport.triggerChannel<0000><0224>=TRIG0[224]
+SignalExport.triggerChannel<0000><0225>=TRIG0[225]
+SignalExport.triggerChannel<0000><0226>=TRIG0[226]
+SignalExport.triggerChannel<0000><0227>=TRIG0[227]
+SignalExport.triggerChannel<0000><0228>=TRIG0[228]
+SignalExport.triggerChannel<0000><0229>=TRIG0[229]
+SignalExport.triggerChannel<0000><0230>=TRIG0[230]
+SignalExport.triggerChannel<0000><0231>=TRIG0[231]
+SignalExport.triggerChannel<0000><0232>=TRIG0[232]
+SignalExport.triggerChannel<0000><0233>=TRIG0[233]
+SignalExport.triggerChannel<0000><0234>=TRIG0[234]
+SignalExport.triggerChannel<0000><0235>=TRIG0[235]
+SignalExport.triggerChannel<0000><0236>=TRIG0[236]
+SignalExport.triggerChannel<0000><0237>=TRIG0[237]
+SignalExport.triggerChannel<0000><0238>=TRIG0[238]
+SignalExport.triggerChannel<0000><0239>=TRIG0[239]
+SignalExport.triggerChannel<0000><0240>=TRIG0[240]
+SignalExport.triggerChannel<0000><0241>=TRIG0[241]
+SignalExport.triggerChannel<0000><0242>=TRIG0[242]
+SignalExport.triggerChannel<0000><0243>=TRIG0[243]
+SignalExport.triggerChannel<0000><0244>=TRIG0[244]
+SignalExport.triggerChannel<0000><0245>=TRIG0[245]
+SignalExport.triggerChannel<0000><0246>=TRIG0[246]
+SignalExport.triggerChannel<0000><0247>=TRIG0[247]
+SignalExport.triggerChannel<0000><0248>=TRIG0[248]
+SignalExport.triggerChannel<0000><0249>=TRIG0[249]
+SignalExport.triggerChannel<0000><0250>=TRIG0[250]
+SignalExport.triggerChannel<0000><0251>=TRIG0[251]
+SignalExport.triggerChannel<0000><0252>=TRIG0[252]
+SignalExport.triggerChannel<0000><0253>=TRIG0[253]
+SignalExport.triggerChannel<0000><0254>=TRIG0[254]
+SignalExport.triggerChannel<0000><0255>=TRIG0[255]
+SignalExport.triggerPort<0000>.name=TRIG0
+SignalExport.triggerPortCount=1
+SignalExport.triggerPortIsData<0000>=true
+SignalExport.triggerPortWidth<0000>=256
+SignalExport.type=ila
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf
new file mode 100644
index 000000000..b458eed9c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_256 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/chipscope_ila_256.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise
new file mode 100644
index 000000000..6305e6288
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_ila_256.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_ila_256.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_ila_256.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ncf
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc
new file mode 100644
index 000000000..e6dfef4e8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$0746~4<%8;0<95?0126?5678920<=>?0123=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0133?56789:;<=>?012351=789>?7=><F39351=799;:<6>>1023546688:;<<??;10;E10DM892N89KF593B74339LM<<5>2:330>768O;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ<FLMXI0<=50?37?40=AGZ^X7JFAEK?50<768>0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766>28>:7GAPTV9PWO@858>97>11591>LHW]]0OE]OKIQ>2>586<281EC^ZT;FJTGBNX5;1<3?<;38JJUSS2^OJ[HB31;2=56=52@D[YY4XECUFQ97=87;>7?4@UURVP?BHXHND\1?50?36?7<H]]Z^X7J@PCFLT97=87;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ<CGK68=7>117902?OIG\^1OB@[RNN?70<7681?86:>0132?1<NFY__6IG_A=194;763=0BB][[:EKSF95=87;;794FNQWW>RCF4:0;2<>44;KMTPR=_LH7?7>11397>JSSX\^1HB^N<283:44<<3E^X][[:EMSF95=87209:;:0323a>3=AGZ^X7O34;2=5>>53H:97L?=;@01?D533H6;295N<0<7?D:56=1J0>09;@>7>5833H6?255NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB8449j2KOH_O31283:<=FLMXJ0<=18:CG@WG;9720MIJ]A=0=<>GCL[K7?364AEFQE92902KOH_O35?:8EABUI5<546OKDSC?3;><IMNYM1618:CG@WG;17o0MIJ]AVPB@AT;87l0MIJ]AVPB@AT;994m7LJKR@UQEABU48;5=<5NDEPBSWGCL[6:>7>1f:CG@WGPZHNO^1?=>d9B@ATF_[KOH_2>>d9B@ATF_[KOH_2=>d9B@ATF_[KOH_2<>d9B@ATF_[KOH_2;>d9B@ATF_[KOH_2:>d9B@ATF_[KOH_29>d9B@ATF_[KOH_28>d9B@ATF_[KOH_27>d9B@ATF_[KOH_26>99B@ATE49427LJKRC>24;?<IMNYN1?>>89B@ATE4885n6OKDS@?56<7601JHI\M<01=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;><IMNYN1818:CG@WD;?720MIJ]B=:=<>GCL[H753k4AEFQFWBFLMX7<3h4AEFQFWBFLMX7==0i;@FGVGTCIMNY0<?1109B@ATEZMKOH_2>2;2=b>GCL[HYHLJKR=31:`=FLMXI^IOKDS>2:`=FLMXI^IOKDS>1:`=FLMXI^IOKDS>0:`=FLMXI^IOKDS>7:`=FLMXI^IOKDS>6:`=FLMXI^IOKDS>5:`=FLMXI^IOKDS>4:`=FLMXI^IOKDS>;:`=FLMXI^IOKDS>::46<JOHIMHON3610416702H^_RGAFN38G7=DI;1HI<<4CIG@OZJNKLDXIRZVPD08GL5<KEA?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K889@HNGPZJF@86MCKC78GIME901H@FL]DBNH1>EKCJ;>7NBDD078GIMC^j1H@FJY_EKUMAC23JF@JU64CMIE\4>7;2IG^45LOLOJPQCCi2IDBY\@L=2=f>EHF]XD@1??>c9@KKRUGE6:=3l4CNLWVJJ;9;4i7NAATSMO8459j2IDBY\@L=37:g=DGG^YCA2>5?`8GJHSZFF7=;0m;BMMPWIK48=5n6M@NUPLH97?6k1HCCZ]OM>2=;g<KFD_^BB31?`8GJHSZFF7>=0m;BMMPWIK4;;5n6M@NUPLH9456k1HCCZ]OM>17;d<KFD_^BB325<a?FII\[EG0?;1b:ALJQTHD58=2o5LOOVQKI:5?7h0OB@[RNN?6=8e3JEEX_AC<3;=e>EHF]XD@1<1b:ALJQTHD59;2o5LOOVQKI:497h0OB@[RNN?778e3JEEX_AC<21=f>EHF]XD@1=;>e9@KKRUGE6897>1b:ALJQTHD59>2l5LOOVQKI:46h1HCCZ]OM>7:d=DGG^YCA2:>`9@KKRUGE6=2l5LOOVQKI:06h1HCCZ]OM>;:d=DGG^YCA26>068GJTBWNOEI_O[INL\MK@H?2IYILZJD09G<>B799I;<=:4D0A3g>BF\HU\EIZG_@a8@DRFW^COXEQM2:FJ2>BNI5:5;6JFA=33:2=CAH6:=394DHC?57803MCJ0<=17:FJE9736>1OEL2>5?58@LG;9?4<7IGN<05=3>BNI5;32:5KI@>2=;0<L@K7=394DHC?65803MCJ0??17:FJE9456>1OEL2=3?58@LG;:=4<7IGN<37=3>BNI58=2:5KI@>13;1<L@K7>508;EKB87?9>2NBM1<17:FJE957601OEL2<1;2=3>BNI59:2;5KI@>0:3=CAH6?2;5KI@>6:3=CAH6=2;5KI@>4:3=CAH632;5KI@>::==CAHNB0=06;EKB@L:68730HDOKI=32:<=CAHNB0<<19:FJEAO;9:427IGNDH>20;d<L@KOE1?::1<:?AOFL@6:9364DHCGM97902NBMIG32?:8@LGCA59546JFAEK?0;><L@KOE1;18:FJEAO;>720HDOKI=5=<>BNIMC74364DHCGM9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;1<L@H7=;08;EKA8419?2NBN1?7>69GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1<L@H7>908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>611OEOJF<1<:?AOEL@6:<374DH@GM976601OEOJF<00==>BNJMC7=>06;EKA@L:6<7h0HDLKI=36>58>3MCIHD2>5?:8@LDCA5;546JFBEK?6;><L@HOE1=18:FJFAO;<720HDLKI=7=<>BNJMC7:364DH@GM91902NBNIG38?:8@LDCA535;6JFP@>3:2=CAYK7=394DHRB878>3MC[M1=50?58@LVF4:4j7IG_AEKS858d3MC[MIG_<083:d=CAYKOE]2>>69GMUD;87=0HD^M<0<4?AOWJ58556JFPC>0>5803MC[N1=1a:FJTGBNX5:5o6JFPCFJT97=87k0HD^MDHR?5;0<LFK7<394DNC?55803MEJ0<?17:FLE9756>1OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;1<LFK7=508;EMB84?9>2NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1<LFK7>;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;1<LFK7?<09;EMB86813MEJ0909;EMB80813MEJ0;09;EMB82813MEJ0509;EMB8<8?3MEJHB2?>89GKDBH48:556J@AEM?548>3MEJHB2>2?;8@JGCG5;8245KO@FL8429j2NDMIA31483:<=CGHND0<;18:FLEAI;9720HBOKO=0=<>BHIME7?364DNCGK92902NDMIA35?:8@JGCG5<546J@AEM?3;><LFKOC1618:FLEAI;17=0HBOPRDE5?AIE494<7IAM<02=3>BHJ5;:2:5KOC>26;1<LFH7=>08;EMA8429?2NDN1?:>69GKG:6>7=0HBL316<4?AIE4825;6J@B=3::3=CGK6:2:5KOC>14;1<LFH7><08;EMA8749?2NDN1<<>69GKG:5<7=0HBL324<4?AIE4;<5;6J@B=04:2=CGK694394DN@?6<813MEI0?08;EMA866912NDN1=>:1<4?AIE4:;5:6J@B=1=2>BHJ5>5:6J@B=7=2>BHJ5<5:6J@B=5=2>BHJ525:6J@B=;=<>BHJME7<374DN@GK977601OCOJ@<03==>BHJME7=?06;EMA@J:6;730HBLKO=37:g=CGKND0<;50?;8@JDCG5;>255KOCFL848?3MEIHB2=>99GKGBH4:437IAMDN>7:==CGKND0807;EMA@J:1611OCOJ@<6<;?AIELF63255KOCFL8<803MEIS_KH7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;1<LFZJ0>0n;EMSEAIW494h7IA_AEMS84<76h1OC]OKOQ>2:2=CGYH7<394DNRA84803ME[N1<19:FLTG:4294<7IA_B=1=e>BHXKND\1>1c:FLTGBHX5;1<3o4DNRA@JV;97;0I?5J459F05453LL87H@N7:GMERTBF:1NBO94EO@Q@@He3LDT^^Z]AL]Bf>CIW[Y_^LCPB59E45@682L;H=K?C1@355G789>0J;??119EEC6EIK:NMH>NA@26?CGK[L80JO<4FE33?CB0=K38=HM94@:146=ALJ>0JIMJ3:DGA==ALO23H56<;GFS7>@CZ=1MH_K<;GFP55=ANLOMIHKIEDGEA@C?3OLNJIHLF59EBC633OLMI<>4FGDEE7>7I;2;M?6?119EBC@BMJOMJKHJCBA24>@ANOLNJKHIFDDFB@773OLMJKHIF476103388:0JKHIFGDE<D>?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G1<AGC_S=M8;HLJPZ6C?2CEEYQ?E69JJLRX8O=0ECG[_024?LHN\V;:;6GAIU]262=NF@^T=>94IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1<AGC_S<M8;HLJPZ7C?2CEEYQ>E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G1<AGC_S?M8;HLJPZ4C?2CEEYQ=E69JJLRX:O=0ECG[_224?LHN\V9:;6GAIU]062=NF@^T?>94IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1<AGC_S>M8;HLJPZ5C?2CEEYQ<E69JJLRX;O<0ECG[_@48MKOSWK30ECG[_GKOA6=NF_90@IM=;MK1?II13EEHGHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP02<D\^=;6CZXB[\H0=J]QL<96CZXG:1?K743G;??6@>529M525<F82<7CK[WNPH0>HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y=0\#:6d^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0]>5^1418U6763[k0^LCM17@TAW?<ZHGI4OYJR99QEHYJGMO=7_KHCDC5?WC@KLHi7_KHCD@QABEB<2XXXL:4RRVA3>TT\KXXX:5]SUVZT@c<ZZ^T\_G@RHVZ[Dc<ZZ^T\_G@RHVZ[G7<[8;0_E\JG^G[PWGD\VDLOh5\HSGD[HOIWZCQI<=4SHO\GJJKAZCDBRMGEBIb?VOJWMO]ENK9;RMVVFC?3ZZJ^YOA649PVI71>2YY@<8J7:QQHF71M>1X^AM<2D58WWPFDVK<7^\YAM]A2>USI]_X86]VNB18PAV?3]XBK=2?>`9WVLA748:;2l5[RHE384666h1_^DI?<021:d=SZ@M;0<><>`9WVLA748:?2l5[RHE384626h1_^DI?<025:d=SZ@M;0<>8>`9WVLA748:32l5[RHE3846>601_^DI?<02=e>RUAN:7=<>1a:VQMB6;98;5m6Z]IF2?5449i2^YEJ>3101=e>RUAN:7=<:1a:VQMB6;98?5m6Z]IF2?5409i2^YEJ>3105=e>RUAN:7=<61a:VQMB6;983556Z]IF2?548f3]XBK=2>21<b?QTNO96:><0n;UPJC5:6:;4j7Y\FG1>2668f3]XBK=2>25<b?QTNO96:>80n;UPJC5:6:?4j7Y\FG1>2628f3]XBK=2>29<b?QTNO96:>406;UPJC5:6:7k0X_GH0=304;g<\[CL<1?<1?c8PWO@85;8>3o4TSKD4974;7k0X_GH0=300;g<\[CL<1?<5?c8PWO@85;8:3o4TSKD4974?7k0X_GH0=30<;g<\[CL<1?<9?;8PWO@85;82l5[RHE384276h1_^DI?<062:d=SZ@M;0<:=>`9WVLA748>82l5[RHE384236h1_^DI?<066:d=SZ@M;0<:9>`9WVLA748><2l5[RHE3842?6h1_^DI?<06::<=SZ@M;0<:1a:VQMB6;9<:5m6Z]IF2?5079i2^YEJ>3140=e>RUAN:7=8=1a:VQMB6;9<>5m6Z]IF2?5039i2^YEJ>3144=e>RUAN:7=891a:VQMB6;9<25m6Z]IF2?50?912^YEJ>314<b?QTNO96::=0n;UPJC5:6>84j7Y\FG1>2278f3]XBK=2>62<b?QTNO96::90n;UPJC5:6><4j7Y\FG1>2238f3]XBK=2>66<b?QTNO96::50n;UPJC5:6>0427Y\FG1>22;g<\[CL<1?80?c8PWO@85;<=3o4TSKD4970:7k0X_GH0=347;g<\[CL<1?84?c8PWO@85;<93o4TSKD4970>7k0X_GH0=343;g<\[CL<1?88?c8PWO@85;<5374TSKD49706h1_^DI?<0:3:d=SZ@M;0<6>>`9WVLA748292l5[RHE384>46h1_^DI?<0:7:d=SZ@M;0<6:>`9WVLA7482=2l5[RHE384>06h1_^DI?<0:;:d=SZ@M;0<66>89WVLA74825m6Z]IF2?5<69i2^YEJ>3183=e>RUAN:7=4<1a:VQMB6;9095m6Z]IF2?5<29i2^YEJ>3187=e>RUAN:7=481a:VQMB6;90=5m6Z]IF2?5<>9i2^YEJ>318;==>RUAN:7=407;UPJC5:66h1_^DI?<323:d=SZ@M;0?>>>`9WVLA74;:92l5[RHE387646h1_^DI?<327:d=SZ@M;0?>:>`9WVLA74;:=2l5[RHE387606h1_^DI?<32;:d=SZ@M;0?>6>89WVLA74;:5m6Z]IF2?6469i2^YEJ>3203=e>RUAN:7><<1a:VQMB6;:895m6Z]IF2?6429i2^YEJ>3207=e>RUAN:7><81a:VQMB6;:8=5m6Z]IF2?64>9i2^YEJ>320;==>RUAN:7><0n;UPJC5:5:94j7Y\FG1>1648f3]XBK=2=23<b?QTNO969>>0n;UPJC5:5:=4j7Y\FG1>1608f3]XBK=2=27<b?QTNO969>:0n;UPJC5:5:14j7Y\FG1>16<8>3]XBK=2=2?c8PWO@8588<3o4TSKD494497k0X_GH0=006;g<\[CL<1<<3?c8PWO@858883o4TSKD4944=7k0X_GH0=002;g<\[CL<1<<7?c8PWO@858843o4TSKD49441730X_GH0=00:d=SZ@M;0?:?>`9WVLA74;>:2l5[RHE387256h1_^DI?<360:d=SZ@M;0?:;>`9WVLA74;>>2l5[RHE387216h1_^DI?<364:d=SZ@M;0?:7>`9WVLA74;>2245[RHE38729i2^YEJ>3242=e>RUAN:7>8?1a:VQMB6;:<85m6Z]IF2?6059i2^YEJ>3246=g>RUAN:7>8;50?c8PWO@858>9374TSKD4942601_^DI?<34==>RUAN:7>:06;UPJC5:50730X_GH0=0::==SZ@M;0?06;UPJC5:48730X_GH0=12:<=SZ@M;0><19:VQMB6;;:427Y\FG1>00;?<\[CL<1=:>89WVLA74:<556Z]IF2?728>3]XBK=2<8?;8PWO@8592255[RHE3868>3]XBK=2;0?;8PWO@85>:245[RHE3814912^YEJ>342<:?QTNO96?8374TSKD4922601_^DI?<54==>RUAN:78:06;UPJC5:30730X_GH0=6::==SZ@M;0906;UPJC5:28730X_GH0=72:<=SZ@M;08<19:VQMB6;=:427Y\FG1>60;?<\[CL<1;:>89WVLA74<<556Z]IF2?128>3]XBK=2:8?;8PWO@85?2255[RHE3808>3]XBK=290?;8PWO@85<:245[RHE3834912^YEJ>362<:?QTNO96=8374TSKD4902601_^DI?<74==>RUAN:7::06;UPJC5:10730X_GH0=4::==SZ@M;0;06;UPJC5:08730X_GH0=52:<=SZ@M;0:<19:VQMB6;?:427Y\FG1>40;?<\[CL<19:>89WVLA74><556Z]IF2?328>3]XBK=288?;8PWO@85=2255[RHE3828>3]XBK=270?;8PWO@852:245[RHE38=4912^YEJ>382<:?QTNO9638374TSKD49>2601_^DI?<94==>RUAN:74:06;UPJC5:?0730X_GH0=:::==SZ@M;0506;UPJC5:>8730X_GH0=;2:<=SZ@M;04<19:VQMB6;1:427Y\FG1>:0;?<\[CL<17:>89WVLA740<556Z]IF2?=28>3]XBK=268?;8PWO@8532255[RHE38<833]S[I>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ;Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP<P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<=S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??0\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>00_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ335X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY247[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<9S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??4\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>04_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ331X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY243[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^77>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:<5S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV??8\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>08_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ33=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_477U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<?R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?=]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T100^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[030Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX545Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_473U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\98>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?9]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T104^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[034Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX541Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47?U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\982V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;2Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=<7R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>21_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ314X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY264[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^759T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:>>S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=3\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>25_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ310X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY260[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;9:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:>:S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?=7\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>29_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ31<X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY26<[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^751T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS88V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89;Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>>R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=>]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T123^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[011Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX564Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_454U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:9V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89?Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=:]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T127^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[015Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX560Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_450U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:=V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS893Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=>6R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<=6]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T12;^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[01^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY27X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY205[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^738T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6<8W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?=P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:8?S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?;2\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>42_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ377X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY201[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^73<T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6<<W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?9P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:8;S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?;6\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>46_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ373X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY20=[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^730T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6<0W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?5P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:8P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;?]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T142^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[072Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX507Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_435U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<8V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8?8Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8=R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;;]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T146^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[076Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX503Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_431U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9<<V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8?<Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=89R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;7]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T14:^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[07:Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX50?Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_43Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>9W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=<P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ::<S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?91\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>63_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ356X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY226[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>=W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=8P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ::8S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?95\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>67_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ352X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY222[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^71?T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6>1W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;=4P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ::4S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?99\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[04^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[053Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX526Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_416U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>;V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8=9Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:<R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<9<]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T161^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[057Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX522Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_412U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>?V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8==Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=:8R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<98]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T165^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[05;Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX52>Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_41>U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9>3V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:4=S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?70\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>80_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;5X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<7[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?:T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]60:W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3?P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:49S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?74\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>84_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;1X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<3[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^7?>T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]60>W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;3;P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:45S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?78\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>88_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;=X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4>Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?7U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS83:Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=4?R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<7=]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T180^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[0;0Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<5Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?3U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\90>V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS83>Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=4;R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<79]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T184^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[0;4Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<1Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4??U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\902V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS832Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=47R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<7R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=01_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ034X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY144[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^479T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58;W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;>P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9<>S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<?3\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=05_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ030X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY140[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47=T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58?W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8;:P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9<:S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<?7\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=09_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ03<X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY14<[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^471T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;;Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><>R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??>]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T203^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[331Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX644Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_774U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:89V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;?Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><:R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??:]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T207^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[335Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX640Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_770U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8=V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;3Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP><6R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW??6]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T20;^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[33^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY15X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY165[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^458T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5:8W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89=P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9>?S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=2\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=22_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ017X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY161[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^45<T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5:<W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR899P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9>;S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<=6\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=26_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ013X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY16=[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^450T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5:0W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR895P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?<R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=?]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T222^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[312Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX667Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_755U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::8V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;98Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>=R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=;]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T226^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[316Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX663Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_751U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\::<V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;9<Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>9R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=7]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T22:^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[31:Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX66?Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_75Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<9W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?<P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ98<S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;1\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=43_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ076X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY106[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^43;T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<=W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?8P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ988S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;5\,J[VTK\VDNS= IF528Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=47_-MZUUD]UEIR>!DM[:7`=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ072X(NWZXGXR@J_1,EB16<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY102[)AVYY@YQAE^2-@I_>;l1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^43?T$BS^\CT^LF[5(AN=:0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5<1W%ER]]LU]MAZ6)LES2?h5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR8?4P F_RPOPZHBW9$MJ9>4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ984S!I^QQHQYIMV:%HAW63d9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;9\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[36^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[373Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX606Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_736U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:<;V"DQ\RMV\J@Y7&OL?<6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;?9Q#GPSSNW[KCX8'NGU4=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>8<R.H]PVIRXFLU;"KH;0:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?;<]/K\WWJSWGOT<#JCY81f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T241^*LYTZE^TBHQ?.GD74>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[377Y+OX[[F_SCKP0/FO]<5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX602Z&@UX^AZPND]3*C@382_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_732U'CT__B[_OG\4+BKQ09n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:<?V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;?V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ99P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9:P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?8R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=7\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=8\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[3;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY1Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY04X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_66Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_67Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]49T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS:9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8?P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ88P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>:R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[24^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[25^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY03X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY0<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6>Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_6?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]41T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]4U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]38T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?=P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9<R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW9=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[56^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[57^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY71X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY72X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_10Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_11Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]3?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]30T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS=3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?5P F_RPOPZHBW9$MJ>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ?Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ><P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW8>R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW8?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:1\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:2\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[40^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[41^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY67X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY60X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_02Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_03Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]2>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<<V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS<=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ>4P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW86R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW87R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:9\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU90\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[72^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[73^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY55X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY56X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_34Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_35Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1;T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]1<T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?>V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS??V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=9P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ=:P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;8R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW;9R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU97\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU98\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7:^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[7;^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5=X(NWZXGXR@J_1,EB6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY5Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY44X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_26Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_27Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]09T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>8V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS>9V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ<?P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ<8P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW::R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW:;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU85\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU86\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[64^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[65^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY43X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY4<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2>Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_2?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]01T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]0U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?8T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS1;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3=P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW5<R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW5=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU73\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU74\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[96^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[97^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;1X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY;2X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=0Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_=1Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]??T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]?0T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS12V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS13V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ35P F_RPOPZHBW9$MJ>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ3Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ2<P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4>R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW4?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU61\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU62\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[80^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[81^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:7X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY:0X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<2Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<3Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>=T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]>>T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0<V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS0=V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ2;P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ24P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW46R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW47R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU69\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3113=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:68;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84636;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<02;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9935>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84776;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=<?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>25785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<037:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;98?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>17<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<?7>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?54?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<003:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9;;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>23<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5739:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=313;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48832?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~313;=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6:78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<=?>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5679:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:??0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=307;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw489?2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3127=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6;?4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?<7?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos845?6;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=>71229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>27;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48>;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3153=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6<;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?;3?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84236;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=9;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>20385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;?;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<06;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9=35>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84376;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=8?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>21785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;>?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<077:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9<?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>57<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt972?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<;7>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?50?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:93<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<043:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9?;5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>63<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt971;78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<8;>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5339:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6::;0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=353;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48<32?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~317;=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6>78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<9?>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5279:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:;?0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=347;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48=?2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3167=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6??4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?87?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos841?6;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=:71229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>23;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw482;2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3193=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:60;4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?73?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84>36;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=5;1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2<385<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;3;3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<0:;:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9135>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>8?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84?76;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=4?1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>2=785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;2?3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<0;7:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;90?5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>97<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt97>?78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<77>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5<?9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:53<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<0<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt947878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?>>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6549:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey69<>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=030;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;:>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3214=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:58>4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<?8?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos876>6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>=0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=024;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;;:2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3200=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:59:4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<>4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87726;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7><81259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>15285<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58:43<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<33::75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:84986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<=0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87466;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>?<1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>16685<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58983<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<306:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:;<5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=26<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt945078?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?<6>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6785<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx588<3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<312:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;::85>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=32<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt944<78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?=:>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6609:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey69?:0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=00<;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;922?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~322<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt943878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?:>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6149:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey698>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=070;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;>>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3254=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5<>4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<;8?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos872>6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>90=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=064;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;?:2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3240=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5=:4986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<:4?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos87326;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>80=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=05:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<7>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6<85:2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx585>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<0?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8679::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68>3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<21=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:4<7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0>;1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>02;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4:=5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2<8?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos86?9:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey682?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~341<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9266;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz78?0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=60:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;<=49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1::>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?0385;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5><2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~349<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt92>6;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<42=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:297887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{08<1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>67;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4<>5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2:5?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8009::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6>;3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4:=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:217897X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{080=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=43:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>849?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|18=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?2685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5<?2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~364<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9016;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7::0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=4;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;>049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|181229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>44;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}282?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8259::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<83<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<67=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:0>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0:91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>4<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4>35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}28>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx52:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~383<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9>46;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7490=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=:6:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;0?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|168>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?<=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5222?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<69::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey62=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<80=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:>;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{04:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>:1;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw40<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}267?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6253<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<8<57>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%BSZGKTI]:*pYsk>?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/H]TMAROW0$~SymP0.[FWZJR\?$^SA[[6778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'@U\EIZG_8,v[qeX9<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/sqw1`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$xnQyeb>3:0c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#ymPvda?5;073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,GL:66?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)DA585:=5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&IB0>090:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#NG34?43?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz CH>6:36<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-@M909>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN4>4=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC743;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1>15g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J31?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=0=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?7;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@929=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;=7?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(L5<59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7;3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1616c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,DjM(RWD_SOT89;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV>R.T]E@WC1=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_T@XZ97:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU?]/W\\JTDQ?h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S8W%KcF!U^OV\F_1>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TJI\J649V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT1\,V[ISS>>1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\9T$^SUA]CX4a?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S;W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ^HZJS=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ8Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S:W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ1^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR9V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ6^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY7Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW8S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX1X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP9P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX2X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW;S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VRD^NW9b:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU8]/EmL+SXE\RHU;84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW:S!U^DGV@023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^1Z&\UGYY88;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV9R.T][KWE^>?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\0T$^SKJ]E778Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[9_-QZJR\?=0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S1W%YRV@RB[6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>3:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8482n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+V:56<l0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)T4:4>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6?28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X080:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^29>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<6<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>;:0g<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQ}su4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\8T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]6U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^4Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_6[)]VLO^H8l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGVZBKQ&MT^HIPBHVX0X(RWONYI;m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ[AJ^'NUYIJQMIUY6Y+SXNMXN:n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP\@I_(OVXNKRLFTZ4^*PYAL[O=o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS]GH\)@W[OLSOG[[6_-QZ@CZL<h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR^FO]*AXZLMTNDZT8\,V[CBUM0i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X4X(rW{kfn<6 U^PBIG7?i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y3Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj1`58Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P<P z_scnf4>(]VXJAO?7_hlpqigsm892h6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82j46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^77U'T~lcm19-V[WGJJ82Tec}zl`vf54g03\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[02^*pYuidh:4"[PR@OA5=Ynfzgmyk<9e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:=P z_scnf4>(]VXJAO?7a99V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:=P z_scnf4>(]VXJAO?7_hlpqigsm88j;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^76U'T~lcm19-V[WGJJ82Tec}zl`vf6<b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T13_-qZtfek;3#XQ]AL@2<d1<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T13_-qZtfek;3#XQ]AL@2<Zoi{|fjxh?n8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb9:3o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_45Z&|Uym`l>8.W\VDKE91k<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_45Z&|Uym`l>8.W\VDKE91Ubb~{caug3e==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U>3\,v[wgjj82$YR\NMC3;[lht}eki<:6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5o8;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S8W%yR|nmc3;+PYUIDH:4Rgastnbp`75i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y2Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj28a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>P z_scnf4>(]VXJAO?7a69V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb98k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_7[)}Vxjao?7/T]QEHD60Vcexbntd1:g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V=R.t]qehd60&_T^LCM19c4?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W>S!u^pbig7?'\UYM@L>8^kmwpjf|l;;m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]4U'T~lcm19-V[WGJJ82Tec}zl`vf0<e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T4\,v[wgjj82$YR\NMC3;e3=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U;]/w\vdke91%^S_OBB0:\mkurdh~n9l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\<T$~Sobb0:,QZTFEK;3Sd`|umcwa<?d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[4_-qZtfek;3#XQ]AL@2<d0<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VDK(AVY="XQLS^@QEHYDIZIJHHQ\6.K\@@VS@VF_I\Q>6J,V[VDUIDU;#DQM19IDP5(@W[KFN<6T5\,v[wgjj82$YR\NMC3;[lht}eki;o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S<W%yR|nmc3;+PYUIDH:4Rgastnbp`>>k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z4^*pYuidh:4"[PR@OA5=g13\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[7_-qZtfek;3#XQ]AL@2<Zoi{|fjxh96c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R=V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S>W%yR|nmc3;+PYUIDH:4Rgastnbp`0f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z5^*pYuidh:4"[PR@OA5=Ynfzgmyk79b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q3Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R2V"xQ}al`2<*SXZHGI=5Qfnrwoeqc2i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2<NAS8'MT^LCM19Y;Y+sXzhgi=5!Z_SCNF4>Xagy~`lzj98a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P5P z_scnf4>(]VXJAO?7a69V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q2Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb99k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_<[)}Vxjao?7/T]QEHD60Vcexbntd6;`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO7DGU2-qZtfek3$YR\NMC;:3>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO7DGU2-qZtfek3$YR\NMC;\mkurdh~n<464U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE1BM_<#{Pr`oa=*SXZHGI5Rgastnbp`73=j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L[462k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\573d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N]270b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3050b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3060b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3070b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3000e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^371a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0621a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0611a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0601a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0671a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0661a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0651f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_076`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1436`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1406`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP1416g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP177g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>607`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>74f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?814f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?824f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?834f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?844f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?854a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?75e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS<6>5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS<6>04g8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR?7107f?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ>8006a>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D7!U^OV\075'Dg~tRAP19301`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0:200b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;60b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;70b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;00b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;10b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;20b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;30b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;<0b<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3;=0e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3:1a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0;21`=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G6.T]NQ]36:&GfyuQ@_0;240c<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F9/W\IP^29;%FaxvPO^3:543b3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I8,V[HS_=88$A`{w_N]2=442m2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\5<74=l1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K:*PYJ]Q?:>"Cbuy]L[4?6<<o0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\KZ7>9<?n7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M<(RWD_S9<< Mlw{[JY618<>i6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZIX90;<9h5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YHW83:48k4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV;2=4;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5?;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5>;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:59;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:58;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5;;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:5:;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:55;k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU:54;l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU9<8j4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV8;=8k4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N1'_TAXV:13-Nip~XGV8;==;j;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU9<<?:e:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVET>=?=5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS?>>34g8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<?157g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=037g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=027g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=057g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=047g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=077g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=067g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=097g?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=087`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E4 Z_LW[144(EdsSBQ=14f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>14f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>24f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>34f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>44f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<>54a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR<=5d9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C2"XQBUY726*Kj}qUDS?Qi74`8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B5#[PMTZ657)Je|rTCR=:c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@3%YRCZX431+HkrpVET?<;m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A0$^S@[W500,IhsWFU?9n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O>&\UFYU;>2.Onq}YHW=;>n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L?)]VG^T8?=/Lov|ZIX0<h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\KZ?2k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H;-QZKRP<;9#@czx^M\=42c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?5;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?6;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?7;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?0;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?1;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?2;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?3;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?<;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/BK?=;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>3:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=3=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!K<3<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"J33?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#I2;>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$H1;14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%O0;0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&N7;3:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6329m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L535955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWONYI8<4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR:V"XQCUU77?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU?]/W\\JTDQ<20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&NdC"XQBUYAZ16=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZ@CZL?97X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]6U'_T@XZ:4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP=P Z_YMQG\3?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY1Y+Ai@'_TAXVLY418Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV<R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX6X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S;W%YRV@RB[6<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,DjM(RWD_SOT;<;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ8Q#[PFEPF17=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[2_-QZJR\<>0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^5Z&\USC_MV599V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW9S!GoJ-QZKRPJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\<T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV:R.T]OQQ333\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY7Y+SXPFXHU864U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR?V"J`G.T]NQ]E^=:1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_0[)]VLO^H;=;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ>Q#[PLTV60>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT5\,V[]IUKP?37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'MeD#[PMTZ@]05<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ4^*PYAL[O>>6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\>T$^SA[[559V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW;S!U^ZLVF_202_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(@fA$^S@[WCX70?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\BATB=;1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_2[)]VF^X8:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR=V"XQWOSAZ1==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-CkN)]VG^TNW:3:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_GFQA04<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ:^*PYK]]??7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]?U'_TTB\LY418Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX=X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S0W%YRV@RB[7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]30?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^2>>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$_1<14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%X0>0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&Y783:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'Z6>29m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5<58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T4>4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;07>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:>6=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=2=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0<0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;:7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>0:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~1:1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4<4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep?2;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkoh28>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz5258n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nTobbPaefq[aj~494?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;97>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds]gh|:56=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr^fo}959<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXkffTmij}_enz8183k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|Pdm{?1;2c3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>6:42d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZehdVkohQklx>5:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=5=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<9<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;87>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>01<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<021:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84616=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6814?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo311;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<033:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84736=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>5?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3105=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;32984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=32=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>26583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84456=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:=4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3137=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf488=2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=313;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>50;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?57?9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84576=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?<1?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3121=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489?2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=301;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:?;0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5619<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<=7>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97417>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6<94?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3153=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48>92984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=377;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:890;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5139<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<:9>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe973?7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>49<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9=35885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<06=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48?;2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=365;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:9?0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5059<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<;;>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe972=7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>57<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9<=58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<07;:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;>53::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>21;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6::=0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5379<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<8=>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe971;7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>65<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9??58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<045:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=;3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>22=83>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=;71449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8409<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<9?>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe97097>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>73<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;9>958;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<057:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;<93:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>23383>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=:91479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb841?6=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?89?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6?7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>81<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;91;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0:1:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;3?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2<183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=5;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84>16=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?77?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6014?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo319;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48258;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<0;3:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;2=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2=783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=4=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84?36=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?65?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:61?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3185=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48332984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3:=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:53:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>2:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58;<3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>14483>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>=<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87646=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<?4?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:58<4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3214=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;:<2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=03<;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69<40;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7><>1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb87766=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<>2?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:59:4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3206=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;>2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=022;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69=:0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?64>9<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0??6>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9466=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<=0?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5:84?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3230=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=010;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69>80;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6709<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?<8>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94507>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=28<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3222=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;9:2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=006;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69?>0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6629<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?=:>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe944>7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=36<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;::258;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<31::13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5882984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=074;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h698<0;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6149<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?:<>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe943<7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=44<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:=<58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<364:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58?43:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>10<83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>90;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?6069<?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0?;>>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe942:7>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=52<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;:<>58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<376:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi58>:3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>11283>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7>861479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb873>6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1<:>548Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe94187>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=6?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:5?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=8?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:517>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2=>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9576=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9556=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9536=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9516=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=8>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe95?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1=6>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe959<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8179<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8159<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j09:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8139<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0981449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8119<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0961449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb81?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j090;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79<0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1783=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79>0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1183=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7980;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1383=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k79:0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1=83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7940;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?1;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=<3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>55;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>57;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=83::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>51;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=:3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>53;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=43::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>5=;233\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=53:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=:29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=51:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=829;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=57:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=>29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=55:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=<29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=5;:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5=229:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=5=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41:5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<93=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4185885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<91=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41>5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<97=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf41<5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<95=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4125885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<9;=01=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf414?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo391<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;184?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo393<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1:4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo395<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1<4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo397<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;1>4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo399<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;104?86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo39?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6;2964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_`fgv979<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<3<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7?3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhR|`w^cg`w:36=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcW{e|Sljkr=7=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXimny0;0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;?7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>;:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~171429V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\ak713\:$ER@@_E,V[LJF'\UOCXZ=b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,GHVXZLYNX>;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\MKRDIYUM"XQLAQUF463<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CTECZLAQ]E*PYDIY]N=8l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&@URI^Q@RDE-KPRXZLM><6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#GPNN]QTH(RWD_SJ:;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.H]MKZTWE'_T__B<2^C66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZECGGO$ERWJSMWW2+OXFFUY\@ Z_RPO77YE<>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHHB@J/H]ZAVJR\?$X^AQBUY64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FBHFL%BSTK\LTV5*VTKWX9:855Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; \RM]R858302_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIOCCK I^[FWISS>'YY@R_31?70?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]NQ]@0=<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHA]\JSDV,MZ_B[E__:#GPNN]QTH(RWZXG??QN549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@IUTB[L^$ERWJSMWW2+OXFFUY\@ Z_RPO77YE<h1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UHA]\JSDV,MZ_B[E__:#]]L^S051d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^<1<7f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZEJX[OXIY!F_XGPHPR1&ZXGS\2>>4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$BSTK\_NPFC+IR\VXNK8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#GPNN]QTH(RWD_SJ:QNB438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]@@1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+OXFFUY\@ Z_LW[B=373\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(NWGET^]C!U^QQH64XI<:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'CTBBQ]PL,V[VTK;;UI9=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="DQAO^PSI+SX[[F8>RM:0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&\UX^A==_E6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYJ]Q=7<3:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; \RM]NQ]1;97>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQBUY:7<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-WWJXY:;7<3:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; \RM]R74:66=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'YY@R_<1=0=03=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[9%BSTK\LTV5*VTKWX6;2984U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#]]L^S?5;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ0?0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\U959=01^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_<!F_XGPHPR1&@UECR\_M/K\]@UXG[OL"B[[_SGD14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[8%BSTK\LTV5*LYIGVX[A#[PMTZE3ZGE=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_<!F_XGPHPR1&@UECR\_M/W\IP^A?VIO8h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a:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,WFHSWJG[>i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXVIN>n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/RAMPZEJXV[9o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B SBLW[WCTM]>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6;29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1?1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<3<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?7;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:36=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|5?58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!LAQ]B@ATXflr0;0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.ABTZGCL[Ueiuz37?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+FGWWHNO^R`jxu>;:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p9?9<01^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP<P Z_RGO0d=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW9U_CR8;K/D\W@J\99W%YR]JL5c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZ6X\FU=8F I_RGO_47Z&\UXIA:n;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ31Y+SX[LF?m6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GP0^VL[32L&OUXIAU>3\,V[VCK<h1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP=9S!U^QFH1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS8?V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^7Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ0^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V=R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR>V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^3Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ4^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V9R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR2V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^?Z&\UXIA:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%ER]]L/W\W@J_=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U?]/W\GDVXIMNY985Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY3Y+SXKHZTMIJ]_LW[17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q;Q#[PiBCS[DBCZ<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T1\,V[FGWWHNO^8;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX5X(RWJK[SLJKR^OV\04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP=P Z_hABTZGCL[?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][3_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_7[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW?S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ1^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^5Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V=R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY7Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]3U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U;]/W\mFGWWHNO^8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX1X(RWJK[SLJKR478Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\=T$^SNO__@FGVZKRP<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T5\,V[lEFXVKOH_;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_3[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS?W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][7_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^1Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ5^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]?U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q3Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY;Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\1T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP5P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX=X(RW`IJ\ROKDS71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8482:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5859?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$OD2<>408Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/BK?0;353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*EN4<4>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%HE181539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ CH>4:04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+FO;07?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&IB040:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<1<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G848292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4;4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0>0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<5<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G808292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4?4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0:0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<9<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G8<82l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S9W%KcF!U^OV\F_202_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S9W%YRHKRD74?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX4X(RWE__945Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV>R.T][KWE^=m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR;V"J`G.T]NQ]E^=11^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR;V"XQIDSG63>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_4[)]VF^X874U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU>]/W\\JTDQ<n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ9Q#IaH/W\IP^DQ<20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ9Q#[PFEPF12=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^4Z&\UGYY;6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT2\,V[]IUKP?o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP?P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP?P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]4U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[2_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW9S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW9S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\<T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ6^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV;R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV;R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S<W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY6Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU9]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU9]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR<V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX2X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT7\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT7\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ<Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_2[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[9_-Ck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bE Z_LW[G\2b3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV>R.T]E@WC3l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU?]/W\HPR3n2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU?]/W\\JTDQ<90Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[0_-CkN)]VG^TNW;e:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]6U'_TJI\J4e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9T$^SA[[4g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\9T$^SUA]CX70?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR8V"J`G.T]NQ]E^<l1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT2\,V[CBUM=n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[3_-QZJR\=l0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[3_-QZ^HZJS>?6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY0Y+Ai@'_TAXVLY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S:W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR9V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR9V"XQWOSAZ16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP8P HnI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ6^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY7Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY7Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_0[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ>Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP9P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP9P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV8R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX2X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_3[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_3[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]0U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW:S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV9R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV9R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT8\,DjM(RWD_SOT:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^>Z&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]?U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]?U'_TTB\LY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S0W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR3V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR3V"XQWOSAZ02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7<3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W979<>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]32?64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5958:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?0;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1;1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;>7><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=5=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y743:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W9?9;?1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SO\D0248Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZDUC8im7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%BSD]PTDPNMKGSAFDT_AGLE^LF4+SXE\RMn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPKh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Cj=1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOm4:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd33\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOo:4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGfd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>cc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4i56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858e12_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<a=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0m9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4:4im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969jh1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0k0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWAIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/H]JWZRBZDCEMYG@N^QOMFCXFL:%YRCZXG`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPHh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXC`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPJh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXE`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDHh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LC`6?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDJh>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LE`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]KGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<ae>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1=1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7<3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5no5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7hi7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959l91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^SZMAT^N@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&CTE^Q[ESOJJDRNGGUX@DMJ_OG3*PYJ]QLi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHi96[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAa1>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENin6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^T@NC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:46kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo858ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:gd<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<3<af>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0=0<b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|h6:2>l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4;48n6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpd:46:h0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb818382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:687>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;:29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<<1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm312<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxlQyeb>20;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg9726=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48<5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7=3=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1<13g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm33?1e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=6=7c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?1;5a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg909;o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc\r`e;?79m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk525?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li753=l;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[6;2>m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5;5?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4;48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;;79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:36:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV939;j1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%bOL^PAEFQ8384k2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cHM]QNDEP?3;5d3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`IJ\ROKDS>;:6e<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aJK[SLJKR=;=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_0=0<8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;97937X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>1:6><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aZIEX1=1399V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jWFHS4=4846[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?1;5?3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY29>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5=5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW8=8402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ39?0f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWZIEXRMBP^S1<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]V^YEJ<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[QTNO9827X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_UPJC44a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SZMAT^J@IUYV:o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQXCOV\HFKWWX827X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\G@4e3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPHBOS6`=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'^IEXRFLMQ]@A7b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQGCLR\U7d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQCCLR1a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(_JD_SAMBP^AF6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'^IEXRBLMQ]R6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=2=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=3=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=0=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=1=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=6=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=7=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=4=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=5=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=:=6a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'jllSio{a=;=6f=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'`IJ\RY]_DL1`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(a]XBKJK]_HL1a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(a]XBKJK]_NWW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY3Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R;V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[3_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\;T$^S_][259V4*OXFFUO"XQFL@-V[WUS'NUY_YU;]/W\VVR5<2_;#DQAO^F-QZOKI&_T^^Z G^PPP^3Z&\UY_Y<;;T2,MZHHWM$^SDBN/T]QWQ)@W[Y_W;S!U^PPP72<]9%BSCAPD/W\MIG(]VXXX"IPRRVX3X(RW[Y_>=5Z0.K\JJYC&\UB@L!Z_SQW+MGK\Vz~`~k>9:W3+LYIGVN%YRGCA.W\VVR(XFX9<6[?/H]MKZB)]VCGM"[PRRV,TWCXZLYNX=<?;T2,MZHHWM$^SDBN/T]QWQ)WZLUYI^K[13:8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+PYDDLKY>:5Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^FLQQ4?3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&_THB[[03:8Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+PYCG\^:?95Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^EFJZBBDHSP=P Z_GF00>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWNOESIKCAXY1Y+SXNM9?7X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PGDL\@@JFQR9V"XQID268Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+PY@MGUOIAOV[5_-QZ@C:11^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$YR\IDSG1<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RW]LO^H<l;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.fjjZjf|jbnh?;4U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hAOV7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'`NBB1>1299V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,mAOI4849:6[?/H]MKZB)]VCGM"[PRRV,QZGUEVRMI_!fDNWW6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=2=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=3=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=0=6a=R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aME^XRjcy=6=6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UH@HO]299V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+PYCG\^956[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^FLQQ6512_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YRJ@UU301>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]VMNBRJJL@[X5X(RWON896[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^EFJZBBDHSP>P Z_GF1=>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]VXMH_K=9:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZRAL[O9h6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ dhl\hdrd`ln9:6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ iBNQ6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NBB1>1289V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBNF5;5>:5Z0.K\JJYC&\UB@L!Z_SQW+PYOIE^TTKK]/hFLQQ4b3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%bHB[[_enz8585m2_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$eIAZT^fo}9499k1^<"GPNN]G*PYNDH%^S_][/T]SKW7c3\:$ER@@_E,V[LJF'\UY_Y!Z_QPPP57c3\:$ER@@_E,V[LJF'\UY_Y!Z_QPPP47d3\:$ER@@_E,V[LJF'\UY_Y!Z_SQW44`<]9%BSCAPD/W\MIG(]VXXX"g]ERGW8585=2_;#DQAO^F-QZOKI&_T_YO[/@AWV@UB\Vz~`~k=0:W3+LYIGVN%YRGCA.W\WQGS'HI_Si}{au03?P6(AVDDSI Z_HNB+PYT\H^$M_CPdrvbp73<]9%BSCAPD/W\MIG(]VY_MY!LAQ]QAVCSWmfr=<h4U1-J[KIXL'_TEAO U^QWEQ)CA[^RSI>>f:W3+LYIGVN%YRGCA.W\WQGS'MCYXTQK1328Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_RGO67=R8&CTBBQK.T]JHD)RWZ^JX"JFRU[\`vrf|8l0Y=!F_OM\@+SXAEK$YR][AU-GWQGS4=4986[?/H]MKZB)]VCGM"[PSUCW+AUSI]UnbRjcy007?P6(AVDDSI Z_HNB+PYT\H^$H^ZNT^gm[aj~:;>0Y=!F_OM\@+SXAEK$YR][AU-GWQGSWldThaw<209V4*OXFFUO"XQFL@-V[VRF\&NXXLZPlncg6<=R8&CTBBQK.T]JHD)RWZ^JX"KWTBCS[WCFLPUoyo{209V4*OXFFUO"XQFL@-V[VRF\&L^@AQksucw6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^6Z&@UXXLZ!U^QWEQ573\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\99W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q:Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W?S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[5_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY6Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_3[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS1W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q2Q#GPSUCW*PYT\H^986[?/H]MKZB)]VCGM"[PSUCW+BYI[R:V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[0_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\:T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U<]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^2Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW8S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX2X(RWGYZ>95Z0.K\JJYC&\UB@L!Z_RVBP*AXFZQ<Q#[PNRS10>S7'@UECRJ!U^KOE*SX[]K_#JQASZ:^*PYI[X8?7X> I^LL[A(RW@FJ#XQ\T@V,CZHTS0W%YR@\Q3;8Q5)NWGETH#[PIMC,QZUSI]%FaxvPDHPW]Zbt|h~:=?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz30?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8485:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|585>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2<>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?0;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4<49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx181239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>4:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;07897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k040>e:W3+LYIGVN%YRGCA.W\WQGS'GYT`bok249V4*OXFFUO"XQFL@-V[VRF\&Y_MYKPdrvbp969:<1^<"GPNN]G*PYNDH%^S^ZNT.QWEQCXlz~jx1?11g9V4*OXFFUO"XQFL@-V[VRF\&^OCR`jxu07?P6(AVDDSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8i0Y=!F_OM\@+SXAEK$YR][AU-V[DTJ:<1^<"GPNN]G*PYNDH%^S^ZNT.W\EWKXoenS~k{1c9V4*OXFFUO"XQFL@-V[VRF\&_TO_<<;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPFEAF66=R8&CTBBQK.T]JHD)RWZ^JX"[PDHPW]Z@CXL897X> I^LL[A(RW@FJ#XQ\T@V,QZBNZ]ST@IM<d:W3+LYIGVN%YRGCA.W\WQGS'\UOAXV;/T]@WZKRP&C8"XQBUY:,IhsWFU9Sk9<a:W3+LYIGVN%YRGCA.W\WQGS'\UOAXV;/T]@WZKRP&C8"XQBUY:,IhsWFU8?l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLD_S8"[PCR]NQ])N;'_TAXV7/Lov|ZIX<8i0Y=!F_OM\@+SXAEK$YR][AU-V[AUK:<1^<"GPNN]G*PYNDH%^S^ZNT.W\@VJ6'\UH@HO]259V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/T]GKPR5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VNDYY>=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^FLQQ7492_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VMNBRJJL@[X5X(RWON996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZRAL[O956[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$hd`Pl`v`l`b5:2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aJFY>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=60=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBNF5;5>l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:76;k0Y=!F_OM\@+SXAEK$YR][AU-V[AUK9&cOCXZPdm{?5;7c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH77c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH67d3\:$ER@@_E,V[LJF'\UXXLZ U^FPV4e<]9%BSCAPD/W\MIG(]VY_MY!Z_DAQ60=R8&CTBBQK.T]JHD)RWZ^JX"[PEBP\cisbWzo=i5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FG>;5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FGSjbze^qfp61<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH98927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2555>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101a?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=<QI3c9V4*OXFFUO"XQFL@-V[VRF\&_TAXV U^AP[HS_'@;%YRCZX3-Nip~XG8;:SJ=6;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI69;927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2565>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>151:?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=8=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI6::20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N307==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<:<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9<9h7X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M21ZUP8:i0Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N36[VQ6;11^<"GPNN]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO040<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=:=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI60:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3:5f=R8&CTBBQK.T]JHD)RWZ^JX"[PNRN04>S7'@UECRJ!U^KOE*SX[]K_#XQ]ERGW[@B@M&CTD?B!U^FLQQ4e3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#XQKOTV36g=R8&CTBBQK.T]JHD)RWZ^JX"[PRDQFPZCCOL%^SIAZT00`?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'`NDYY2?>3a8Q5)NWGETH#[PIMC,QZUSI]%^S_K\EU]F@BC(aME^X1?11g9V4*OXFFUO"XQFL@-V[VRF\&_T^D]FNF03?P6(AVDDSI Z_HNB+PYT\H^$YR][AUAN@74<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPFKCWg;m7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]O;=k5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_I<<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M979:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG32?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA595>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?0;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE1;1269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;>78<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=5=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC743<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M9?9:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2?>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;978=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<3<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L595>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>7:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N793<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8385>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$H191279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+A:?6;<0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"J39?11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW=S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ3^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]5U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP?P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[5_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^3Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ=Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT7\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^>Z&\UGYY<j;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_=[)]VRD^NW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^?Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^?Z&\UGYY<j;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_<[)]VRD^NW=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W969:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2>>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;:78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<2<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5>5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>6:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y7:3<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-P8285>2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$_161279V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+V:>68i0Y=!F_OM\@+SXAEK$YR][AU-V[QBH:91^<"GPNN]G*PYNDH%^S^ZNT.W\PWO@OLX956[?/H]MKZB)]VCGM"[PSUCW+PYSZ@MLI_Qhltg\w`r6n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:76;:0Y=!F_OM\@+SXAEK$YR][AU-jWQGS48:5=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7=3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1<11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ33?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=6=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?1;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9099o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;?7;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\525=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^753<=;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXNCK_BG10>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEBWg887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX1>1229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?5;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\585>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ33?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP929::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^793<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=4=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;?7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX161229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?=;413\:$ER@@_E,V[LJF'\U_^DI F^LL[QEJK'_TJI\<2:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPSUMQEBCXY\KG"XQLAQ]A71=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXLES<i6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CT_YA]AFG\UPGK&\UXXB\NGD]RQDJ(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WGI)NWGG^SH_>.T]j@JSS'@URI^BZT7,V[VTKK8<N:55Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(AVE^X_KH.T]GKPR2m2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-j@JSS=h1^<"GPNN]G*PYNDH%^SY\FG.W\PF)NWZ^D^LIJ_PWBH+SX[]EYMJKPQTCO+LYTZE^TBHQ>.H]MIPY6W]ET8#[PTSKDU14<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,MZUSG[KLIR_ZAM,V[VRHZHMNS\[NL.kWVLA@M[=j7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PNDS1*PYSKVOZYLZFOO-J[VTK\VDNS< F_OOV[4YSGV>%YRZLL.K\JHSXMX;%YRgKOTV,MZ_B[E__:#[PSSN@53C1<2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX\ZOZSCK^2/W\PFYBY\K_EB@ I^QQHQYIMV;%ER@BU^3\PJY3&\U_OA!F_NWWV@A)]VNDYY;n;T2,MZHHWM$^SDBN/T]WVLA(]V^H#DQ[SDS\J@W5&\U_ORK^U@VJKK)NWZXGXR@J_0,J[KKRW8U_CR:!U^V@H*oCG\^>:6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CTX^K^_OGR6+SX\JUN]XO[INL,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[RHER7`=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[QUBYVDN]? Z_UA\ATSF\@EE#dZ]IFEFV72<]9%BSCAPD/W\MIG(]V^YEJ!Z_UA,mFGW\\XN>55Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%bOKIPD@VB8584k2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-J[HEXFF$^SCAPMB]QAB513\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)CG\^Tx`~nc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[1_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T112^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[022Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z336X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y246[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X552Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_462U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^77>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\992V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8:2Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;:<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:=<S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=<<R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<?<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?>4\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>14_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T104^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[034Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z32<X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y25<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X54[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X576Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_446U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^75:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6::W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9;>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S88>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?6R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<<6]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?<0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>30_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T120^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[010Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z300X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y270[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X560Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_450U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^740T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6;0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9=:V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8>:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;?>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:8>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=9:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<::]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?;6\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>46_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T15:^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[06:Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ae9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z37Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z364X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y214[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X504Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_434U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^72<T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6=<W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9<<V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8?<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;>4P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:94S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=;>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<8>]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?92\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>62_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T176^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[046Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z352X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y222[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X53>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_40>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^71U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^708T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]6?8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\9>8V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S8=8Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;<8P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:;8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=:8R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<98]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?88\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>78_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<ok;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T16_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T192^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0:2Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z3;6X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y2<6[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X5=2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_4>2U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^7?>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]60>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\912V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S822Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;3Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R;2<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:5<S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=4<R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<7<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?64\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U>94_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T184^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0;4Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z3:<X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y2=<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X5<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X5X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y145[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X657Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_765U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^47;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]58=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:9?V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S;:=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R8;;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9<5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>=7R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W???]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<>1\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U=13_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T201^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[337Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z021X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y153[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X641Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_77?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^461T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]59T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5:9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:;;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S;89Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R89?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9>9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>?;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?<9]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<=7\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U=29_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T23;^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[30^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[313Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z005X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y177[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X665Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_753U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^44=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5;?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\::=V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S;93Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R885P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q98=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P>9?R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W?:=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V<;3\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU<U=45_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU<oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T?T257^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?ne:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[365Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z073X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y10=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X61?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_72Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_737U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^429T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]5=;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\:<9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S;??Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R8>9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q99P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q94P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q95P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R99Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R98Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9?Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9>Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9<Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R93Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R92Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=:V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=;V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=8V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=>V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=?V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=<V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S==V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=2V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=3V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fk2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=9W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=8W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=:W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\==W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=<W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=?W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=>W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=1W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=0W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]18T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]19T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1:T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1;T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1<T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1>T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]10T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5db<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]11T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^17U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^16U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^15U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^14U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^13U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^12U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^11U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^10U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1?U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ea=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1>U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=7Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=4Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=5Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=2Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=3Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=0Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=>Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3b`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=?Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3bg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=5[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=4[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=7[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=6[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=1[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=0[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=3[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=2[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X==[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=<[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV18:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y3Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??0\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<<S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\998V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_464U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z330X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>04_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P==8R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8:<Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^770T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y24<[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T11_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<>R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8;:Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^76:T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y256[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T106^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<?:]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;::P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]69>W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X54>Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[03:Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;9<P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6:8W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X574Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[000Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?=4\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:>8S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9;<V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_440U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z31<X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>28_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9::V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_456U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z306X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>32_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=>:R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S89>Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^74>T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y272[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T12:^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<=6]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;8Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^738T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y204[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T150^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<:<]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;?8P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6<<W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X510Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[064Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?;8\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:84S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9=W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X506Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[072Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?:2\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:9>S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9<>V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_432U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z362X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>56_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=86R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8?2Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^72U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z354X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>60_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=;<R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S8<8Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^71<T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y220[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T174^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<88]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;=4P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6>0W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X53[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T162^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<9>]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;<>P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6?:W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X522Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[056Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?86\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:;:S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\9>2V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_41>U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z34Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?70\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:4<S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\918V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_4>4U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z3;0X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>84_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=58R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S82<Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^7?0T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y2<<[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T19_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=4>R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S83:Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^7>:T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y2=6[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T186^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W<7:]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;2:P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]61>W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X5<>Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[0;:Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?6]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_767U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z035X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=03_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>==R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;:?Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^47=T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y143[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T215^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?>7]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8;5P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]58T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y155[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T203^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W??=]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8:?P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]59=W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X643Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[335Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<>7\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q9=5S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:83V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_77Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[303Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<=1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q9>?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:;9V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_743U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z011X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=27_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>?9R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;83Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^451T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y16X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=31_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>>?R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;99Q#GPIO]QEKAB&\ULMCJPDM[1=d=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^44;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y171[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T227^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?=9]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R88;P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]5;1W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X66?Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[31^*LYNFVXJBJK!U^EBJAYCDP82m6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?:?]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8?=P F_HL\VDH@M'_TKL@K_ENZ6<g<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]5<;W%ERGA_SCMC@(RWNKEHRJCY3;b?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X615Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[367Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<;5\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q98;S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:==V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_72?U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z07=X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=4\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q99=S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\:<;V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_735U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z067X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U=55_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P>8;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;?V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_70Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[35^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?6R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;3V"DQFN^PBJBC)]VMJBIQKLX0:<>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_7[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T31_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?<S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;;W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X76[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T35_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?8S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;?W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X72[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T39_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?4S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y74X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;1\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?>P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3;T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y70X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;5\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?:P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3?T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y7<X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U;9\,J[LHXZHDLI#[PG@LG[AJ^:020Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q?Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^37U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z72Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;=]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?8Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^33U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z76Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;9]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R?<Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^3?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z7:Y+OXAGUYMCIJ.T]DEKBXLES9555Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?:V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_37Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[70^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;=R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?>V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_33Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[74^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;9R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S?2V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_3?Z&@UBBR\NNFG-QZAFFMUO@T<68:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[7_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;=S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?8W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X37[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T72_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;9S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?<W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X33[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T76_-MZOIW[KEKH Z_FCM@ZBKQ;327X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P;5S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?0W%ERGA_SCMC@(RWNKEHRJCY3;;?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U70\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q3=P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?:T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;7X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U74\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q39P F_HL\VDH@M'_TKL@K_ENZ6<?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?>T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;3X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U78\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q35P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]?U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;3Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R39Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?4U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;7Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^?0U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z;;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V76]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R3V"DQFN^PBJBC)]VMJBIQKLX0gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\99W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_46Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[03^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX54[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGab<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPO@`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY27X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_45Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5:5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT12_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh949m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^74U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njk;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=9S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2=>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868cl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Gcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ecl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIDcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEcl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIBb;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_43Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<0<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9<W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1de9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVNde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BMde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLde9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BKe29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?9]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX53[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;=Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT17_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_40Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5;5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;=Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>6\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_40Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ::P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<3<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[04^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Imn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Kmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Lmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKJmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKLl90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6?T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<9R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY23X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?8]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY23X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9>W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?8]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX52[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd585i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;<Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?fg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@fg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCfg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBfg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXEfg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@fg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LCfg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBfg?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LEg0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT19_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=5S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3;Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]60T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]60T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<6R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2<X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S83V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>9\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_4?Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:5P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT18_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_4?Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;2Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT18_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=4S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<f5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_4[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QNoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEHoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=0\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_76Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT21_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_76Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT21_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^47U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNA`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`a=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGa6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ02Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:8W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<>]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX64[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY15X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_77Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme682ij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOij4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9>P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[30^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ01Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ01Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;97o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:;W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?7;bc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Abc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHFbc3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX66[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy5:5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR88Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT22_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^44U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9?P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT22_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_75Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9?P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<0<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[31^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5;T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?:R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY10X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;>V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX61[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;>V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX61[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT25_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^42U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ06Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:<W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ06Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY11X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;?V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>ef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=6\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_70Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9:P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[34^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^41U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9:P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT27_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^41U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>;S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ05Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:>W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<8]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX62[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8<Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX62[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;=V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_71Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9;P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]50T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?6R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1<X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?6R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0;Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<7]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX6=[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR82Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT28_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4>U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>4S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3;^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ95P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3;^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]51T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_7[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_7[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595hi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9;Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT31_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^57U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[22^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^57U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf692h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[22^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]48T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0?0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:46c3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX74[)]VMJBIQ\RM]PHLEBWGET^]C I^KP[QCUE@DJXDAA_RNJG@YIM9$BSX]J_NWWTPRXZLMTBH>!U^MQAB`?3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX74[)]VMJBIQ\RM]PHLEBWGET^]C I^KP[QCUE@DJXDAA_RNJG@YIM9$^S@[WFef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<1\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_67Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h=4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8=P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[23^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^56U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT30_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl868b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_67Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg5>5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT30_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^56U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?<S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=1=`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVKdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ojl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FOi?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv4;4n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<f5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_6[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2>>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\<T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_1[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHDbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY7Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ6^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX0X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\<T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TIjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR?V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S<W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ>Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_3[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Fbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[7_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT6\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ4^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR<V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR=V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\?T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKKmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]0U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_2[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494n=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S>W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ<Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_=[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX<X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY;Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU7]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV6R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT8\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX<X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^?Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_<[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]>U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:d`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?558fn2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}9766hl0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;9;4jj6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5;82lh4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s7=90nf:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-dekbXkhxyu1?:>`d8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+bgilVij~w317<bb>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=34:d`<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?5=8fn2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}97>6ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;97km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4;:5mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp69=3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0?<1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2=3?ce?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<36=ec=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>11;ga3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz8709io1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:5?7km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4;25mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6953oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0?0nf:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-dekbXkhxyu1=?>`d8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+bgilVij~w330<ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)`ignTol|}y=1=e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>7:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?1;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz838fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}919il1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$kl`k_bcqv|:?6ho0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;17kj7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"}}l^s?558fi2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%x~aQ~<03=ed=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1?=>`c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6:?3on;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.qqhZw;9=4jm6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!|rm]r8439ih1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=35:dg<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2>7?cb?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*uudV{7=50na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv4835m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u979ih1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=03:dg<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2=1?cb?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*uudV{7>?0na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv4;95ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9436hk0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>11;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R327<be>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0?91a`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5832lo4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:517k27X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"}}l^s?6;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R331<be>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0>?1a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy595m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u929i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=7=e<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}181a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5=5m45Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9>9i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?4;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=337;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=333;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5977072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;;536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><033:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<?<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><037:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<?8>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><03;:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2847>61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?>1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3133=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5749081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;954<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?:1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3137=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5709081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9;=54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=?61809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?313;=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?578?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6;943=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:?<071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>33<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2768?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6;=43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:?8071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>37<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2728?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6;143=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:?4070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=374;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973972:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?>36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?;3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=370;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?:36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?;7?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=37<;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5973172;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><072:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2843561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648?825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><076:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2843161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i648?<25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;7>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><07::=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28439081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3170=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5359081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3174=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5319081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;9?254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=;71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?317<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2358?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6?843=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:;?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>72<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2318?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6?<43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:;;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>76<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>23=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6?043<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?70?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;5;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;3?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?74?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;1;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;3;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?78?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=3;=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?61;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<7>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;1:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{284?461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<7:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;5:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{284?061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6483325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<76>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><0;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?5;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<?2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=037;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<?6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=033;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5947072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958;536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><333:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0??<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><337:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0??8>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><33;:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2877>61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?>1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3233=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6749081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:;954<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?:1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?3237=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6709081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:;=54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>?61809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?323;=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?678?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:5;943=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869?<071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2=33<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1768?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:5;=43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869?8071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2=37<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1728?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:5;143=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869?4070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2=3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=074;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943972:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?>36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<;3?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=070;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?:36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<;7?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=07<;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5943172;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><372:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2873561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;?825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><376:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28739091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;:?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><3;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7??070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2<3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=17:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28639091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;;?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><2;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?7;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;78?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2;3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=67:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28139091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;<?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86?;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1:7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><5;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?0;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;79?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2:3?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=77:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28039091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;=?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86>;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;7>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><4;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?1;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7:?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<293?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=47:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28339091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;>?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=187>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><7;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?2;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<283?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=57:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28239091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;??43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=197>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><6;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?3;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i641;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;74?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<273?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=:7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28=39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;0?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=167>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><9;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?761:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i640;54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;75?070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<263?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=;7:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{28<39091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;1?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862;36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=177>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><8;=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6969081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3110=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5559081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3114=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5519081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;99254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87==71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<311<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2558?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69843=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>12<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2518?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69<43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>16<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>25=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:69043<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=315;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=4?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=311;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6975>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;9;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?=8?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=31=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<011:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1845461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<015:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1845061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i5489325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=6>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<01=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5169081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=9<1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3151=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5129081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=?54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=981809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3155=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?51>9081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9=354=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=9071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>51<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2148?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6=;43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:9>071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>55<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2108?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6=?43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:9:071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>59<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>21<8?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6=72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=<36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?91?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=356;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6971;72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=836>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?95?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=352;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6971?72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;=436>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1?99?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=35:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841761;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=:25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<9=>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<050:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841361;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=>25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<99>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<054:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1841?61;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=225>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<91809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3192=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=79081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;91854<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=5=1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3196=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=39081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;91<54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=591809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<319:=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5=?9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9143=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:5=071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>90<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=78?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:61:43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:59071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>94<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=38?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:61>43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6:55071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>98<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6979081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=?1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3210=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6559081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3214=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6519081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:9254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>=71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<321<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1558?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:59843=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;69=?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=12<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1518?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:59<43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;69=;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=16<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>15=8?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:59043<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;69=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=0?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=015;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6945:72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:589?36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=4?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=011;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6945>72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:589;36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1<=8?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=01=;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9;25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<311:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1875461;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9?25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=:>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<315:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1875061;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;9325?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=6>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<31=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6169081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=;54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>9<1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3251=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?6129081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=?54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>981809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3255=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?61>9081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:=354=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>9071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=51<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1148?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:5=;43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;699>071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=55<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1108?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:5=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:58=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<329<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>1=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6949091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;68=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1==>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<21=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?718?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:4=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:59=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<339<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>0=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6959091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6?=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1:=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<51=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?018?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:3=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5>=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90991819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<349<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>7=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6929091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6>=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<41=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?118?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:2=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5?=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90891819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<359<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>6=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6939091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6==36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>18=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<71=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?218?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:1=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5<=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<369<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>5=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6909091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;6<=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>19=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<61=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?318?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:0=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5==25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:91819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<379<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>4=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz6919091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;63=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>16=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<91=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:?=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:52=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90591819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<389<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;1943<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq;62=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>17=>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<81=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?=18?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:>=72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:53=25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90491819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<399<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:=;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?9<?1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UD^HI!I^[FWZIUMN$^SB\JG238Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#iazt^vnt77<]9%BSCAPD/W\MIG(]V^YEJ!{rhe@kkbNf;80Y=!F_OM\@+SXAEK$YRZ]IF-wvlaDggnDyy?;;T2,MZHHWM$^SDBN/hCQI4><]9%BSCAPD/W\MIG(aJK[XX\J189V4*OXFFUO"XQFL@-jGDVXLFDN>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]30?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW979::1^<"GPNN]G*PYNDH%bOL^PNTO\WDKWDLY7>3<<;T2,MZHHWM$^SDBN/hABTZHREVYJA]BJS=1=66=R8&CTBBQK.T]JHD)nKHZTBXCPS@OSH@U;<7887X> I^LL[A(RW@FJ#dMNP^LVIZUFEYFN_1;1229V4*OXFFUO"XQFL@-jGDVXF\GT_LC_LDQ?2;443\:$ER@@_E,V[LJF'`IJ\R@ZM^QBIUJB[5=5>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]38?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW9?99m1^<"GPNN]G*PYNDH%bOL^PSUCWA9699m1^<"GPNN]G*PYNDH%bOL^PSUCWA979:91^<"GPNN]G*PYNDH%bOL^PTSKDC@TXG\^:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[6;2<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY0<0>f:W3+LYIGVN%YRGCA.k@EUYPZVKOH_2=>0d8Q5)NWGETH#[PIMC,mFGWW^XTMIJ]<2<2b>S7'@UECRJ!U^KOE*oDIYU\^ROKDS>7:4`<]9%BSCAPD/W\MIG(aJK[SZ\PAEFQ8086n2_;#DQAO^F-QZOKI&cHM]QXR^CG@W:168l0Y=!F_OM\@+SXAEK$eNO__VP\EABU4>4:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[632<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY040>a:W3+LYIGVN%YRGCA.k@EUYPZVOE=55Z0.K\JJYC&\UB@L!fD@VB8586i2_;#DQAO^F-QZOKI&cOMYO3112=5d=R8&CTBBQK.T]JHD)nLH^J0<>>>0c8Q5)NWGETH#[PIMC,mAGSI5;;>3?n;T2,MZHHWM$^SDBN/hFBPD:68:4:m6[?/H]MKZB)]VCGM"gKAUC?55299h1^<"GPNN]G*PYNDH%bHLZN<026:4g<]9%BSCAPD/W\MIG(aMK_M1??6?3b?P6(AVDDSI Z_HNB+lBF\H6:<:0>a:W3+LYIGVN%YRGCA.kGEQG;9925=l5Z0.K\JJYC&\UB@L!fD@VB846>6830Y=!F_OM\@+SXAEK$eIO[A=33:4g<]9%BSCAPD/W\MIG(aMK_M1?>0?3b?P6(AVDDSI Z_HNB+lBF\H6:=<0>a:W3+LYIGVN%YRGCA.kGEQG;9885=l5Z0.K\JJYC&\UB@L!fD@VB847468k0Y=!F_OM\@+SXAEK$eIO[A=320;7f3\:$ER@@_E,V[LJF'`NJXL2>14<2e>S7'@UECRJ!U^KOE*oCI]K7=<811`9V4*OXFFUO"XQFL@-j@DRF48;<2<o4U1-J[KIXL'_TEAO iECWE97607;j7X> I^LL[A(RW@FJ#dJNT@>25<8612_;#DQAO^F-QZOKI&cOMYO310<2e>S7'@UECRJ!U^KOE*oCI]K7=?>11`9V4*OXFFUO"XQFL@-j@DRF488:2<o4U1-J[KIXL'_TEAO iECWE975:7;j7X> I^LL[A(RW@FJ#dJNT@>26686i2_;#DQAO^F-QZOKI&cOMYO3136=5d=R8&CTBBQK.T]JHD)nLH^J0<<:>0c8Q5)NWGETH#[PIMC,mAGSI5;9:3?n;T2,MZHHWM$^SDBN/hFBPD:6:>4:m6[?/H]MKZB)]VCGM"gKAUC?57>99h1^<"GPNN]G*PYNDH%bHLZN<00::4?<]9%BSCAPD/W\MIG(aMK_M1?=>0c8Q5)NWGETH#[PIMC,mAGSI5;8<3?n;T2,MZHHWM$^SDBN/hFBPD:6;84:m6[?/H]MKZB)]VCGM"gKAUC?56499h1^<"GPNN]G*PYNDH%bHLZN<010:4g<]9%BSCAPD/W\MIG(aMK_M1?<4?3b?P6(AVDDSI Z_HNB+lBF\H6:?80>a:W3+LYIGVN%YRGCA.kGEQG;9:<5=l5Z0.K\JJYC&\UB@L!fD@VB845068k0Y=!F_OM\@+SXAEK$eIO[A=30<;7f3\:$ER@@_E,V[LJF'`NJXL2>38<2=>S7'@UECRJ!U^KOE*oCI]K7=>0>a:W3+LYIGVN%YRGCA.kGEQG;9=:5=l5Z0.K\JJYC&\UB@L!fD@VB842668k0Y=!F_OM\@+SXAEK$eIO[A=376;7f3\:$ER@@_E,V[LJF'`NJXL2>42<2e>S7'@UECRJ!U^KOE*oCI]K7=9:11`9V4*OXFFUO"XQFL@-j@DRF48>>2<o4U1-J[KIXL'_TEAO iECWE973>7;j7X> I^LL[A(RW@FJ#dJNT@>20286i2_;#DQAO^F-QZOKI&cOMYO315:=5d=R8&CTBBQK.T]JHD)nLH^J0<:6>0;8Q5)NWGETH#[PIMC,mAGSI5;?2<o4U1-J[KIXL'_TEAO iECWE97287;j7X> I^LL[A(RW@FJ#dJNT@>21486i2_;#DQAO^F-QZOKI&cOMYO3140=5d=R8&CTBBQK.T]JHD)nLH^J0<;<>0c8Q5)NWGETH#[PIMC,mAGSI5;>83?n;T2,MZHHWM$^SDBN/hFBPD:6=<4:m6[?/H]MKZB)]VCGM"gKAUC?50099h1^<"GPNN]G*PYNDH%bHLZN<074:4g<]9%BSCAPD/W\MIG(aMK_M1?:8?3b?P6(AVDDSI Z_HNB+lBF\H6:940>9:W3+LYIGVN%YRGCA.kGEQG;9<4:m6[?/H]MKZB)]VCGM"gKAUC?53699h1^<"GPNN]G*PYNDH%bHLZN<042:4g<]9%BSCAPD/W\MIG(aMK_M1?92?3b?P6(AVDDSI Z_HNB+lBF\H6::>0>a:W3+LYIGVN%YRGCA.kGEQG;9?>5=l5Z0.K\JJYC&\UB@L!fD@VB840268k0Y=!F_OM\@+SXAEK$eIO[A=352;7f3\:$ER@@_E,V[LJF'`NJXL2>66<2e>S7'@UECRJ!U^KOE*oCI]K7=;611`9V4*OXFFUO"XQFL@-j@DRF48<22<74U1-J[KIXL'_TEAO iECWE97168k0Y=!F_OM\@+SXAEK$eIO[A=344;7f3\:$ER@@_E,V[LJF'`NJXL2>70<2e>S7'@UECRJ!U^KOE*oCI]K7=:<11`9V4*OXFFUO"XQFL@-j@DRF48=82<o4U1-J[KIXL'_TEAO iECWE970<7;j7X> I^LL[A(RW@FJ#dJNT@>23086i2_;#DQAO^F-QZOKI&cOMYO3164=5d=R8&CTBBQK.T]JHD)nLH^J0<98>0c8Q5)NWGETH#[PIMC,mAGSI5;<43?n;T2,MZHHWM$^SDBN/hFBPD:6?04:56[?/H]MKZB)]VCGM"gKAUC?5286i2_;#DQAO^F-QZOKI&cOMYO3192=5d=R8&CTBBQK.T]JHD)nLH^J0<6>>0c8Q5)NWGETH#[PIMC,mAGSI5;3>3?n;T2,MZHHWM$^SDBN/hFBPD:60:4:m6[?/H]MKZB)]VCGM"gKAUC?5=299h1^<"GPNN]G*PYNDH%bHLZN<0:6:4g<]9%BSCAPD/W\MIG(aMK_M1?76?3b?P6(AVDDSI Z_HNB+lBF\H6:4:0>a:W3+LYIGVN%YRGCA.kGEQG;9125=l5Z0.K\JJYC&\UB@L!fD@VB84>>6830Y=!F_OM\@+SXAEK$eIO[A=3;:4g<]9%BSCAPD/W\MIG(aMK_M1?60?3b?P6(AVDDSI Z_HNB+lBF\H6:5<0>a:W3+LYIGVN%YRGCA.kGEQG;9085=l5Z0.K\JJYC&\UB@L!fD@VB84?468k0Y=!F_OM\@+SXAEK$eIO[A=3:0;7f3\:$ER@@_E,V[LJF'`NJXL2>94<2e>S7'@UECRJ!U^KOE*oCI]K7=4811`9V4*OXFFUO"XQFL@-j@DRF483<2<o4U1-J[KIXL'_TEAO iECWE97>07;j7X> I^LL[A(RW@FJ#dJNT@>2=<8612_;#DQAO^F-QZOKI&cOMYO318<2<>S7'@UECRJ!U^KOE*oCI]K7=3?n;T2,MZHHWM$^SDBN/hFBPD:5894:m6[?/H]MKZB)]VCGM"gKAUC?65799h1^<"GPNN]G*PYNDH%bHLZN<321:4g<]9%BSCAPD/W\MIG(aMK_M1<?3?3b?P6(AVDDSI Z_HNB+lBF\H69<90>a:W3+LYIGVN%YRGCA.kGEQG;:9?5=l5Z0.K\JJYC&\UB@L!fD@VB876168k0Y=!F_OM\@+SXAEK$eIO[A=033;7f3\:$ER@@_E,V[LJF'`NJXL2=09<2e>S7'@UECRJ!U^KOE*oCI]K7>=71189V4*OXFFUO"XQFL@-j@DRF4;:5=l5Z0.K\JJYC&\UB@L!fD@VB877768k0Y=!F_OM\@+SXAEK$eIO[A=025;7f3\:$ER@@_E,V[LJF'`NJXL2=13<2e>S7'@UECRJ!U^KOE*oCI]K7><=11`9V4*OXFFUO"XQFL@-j@DRF4;;?2<o4U1-J[KIXL'_TEAO iECWE946=7;j7X> I^LL[A(RW@FJ#dJNT@>15386i2_;#DQAO^F-QZOKI&cOMYO3205=5d=R8&CTBBQK.T]JHD)nLH^J0??7>0c8Q5)NWGETH#[PIMC,mAGSI58:53?6;T2,MZHHWM$^SDBN/hFBPD:597;j7X> I^LL[A(RW@FJ#dJNT@>16586i2_;#DQAO^F-QZOKI&cOMYO3233=5d=R8&CTBBQK.T]JHD)nLH^J0?<=>0c8Q5)NWGETH#[PIMC,mAGSI589?3?n;T2,MZHHWM$^SDBN/hFBPD:5:=4:m6[?/H]MKZB)]VCGM"gKAUC?67399h1^<"GPNN]G*PYNDH%bHLZN<305:4g<]9%BSCAPD/W\MIG(aMK_M1<=7?3b?P6(AVDDSI Z_HNB+lBF\H69>50>a:W3+LYIGVN%YRGCA.kGEQG;:;35=45Z0.K\JJYC&\UB@L!fD@VB87499h1^<"GPNN]G*PYNDH%bHLZN<313:4g<]9%BSCAPD/W\MIG(aMK_M1<<1?3b?P6(AVDDSI Z_HNB+lBF\H69??0>a:W3+LYIGVN%YRGCA.kGEQG;::95=l5Z0.K\JJYC&\UB@L!fD@VB875368k0Y=!F_OM\@+SXAEK$eIO[A=001;7f3\:$ER@@_E,V[LJF'`NJXL2=37<2e>S7'@UECRJ!U^KOE*oCI]K7>>911`9V4*OXFFUO"XQFL@-j@DRF4;932<o4U1-J[KIXL'_TEAO iECWE94417;27X> I^LL[A(RW@FJ#dJNT@>17;7f3\:$ER@@_E,V[LJF'`NJXL2=41<2e>S7'@UECRJ!U^KOE*oCI]K7>9?11`9V4*OXFFUO"XQFL@-j@DRF4;>92<o4U1-J[KIXL'_TEAO iECWE943;7;j7X> I^LL[A(RW@FJ#dJNT@>10186i2_;#DQAO^F-QZOKI&cOMYO3257=5d=R8&CTBBQK.T]JHD)nLH^J0?:9>0c8Q5)NWGETH#[PIMC,mAGSI58?;3?n;T2,MZHHWM$^SDBN/hFBPD:5<14:m6[?/H]MKZB)]VCGM"gKAUC?61?9901^<"GPNN]G*PYNDH%bHLZN<36=5d=R8&CTBBQK.T]JHD)nLH^J0?;?>0c8Q5)NWGETH#[PIMC,mAGSI58>=3?n;T2,MZHHWM$^SDBN/hFBPD:5=;4:m6[?/H]MKZB)]VCGM"gKAUC?60599h1^<"GPNN]G*PYNDH%bHLZN<377:4g<]9%BSCAPD/W\MIG(aMK_M1<:5?3:?P6(AVDDSI Z_HNB+lBF\H6993?6;T2,MZHHWM$^SDBN/hFBPD:5>7;27X> I^LL[A(RW@FJ#dJNT@>13;7>3\:$ER@@_E,V[LJF'`NJXL2=8?3:?P6(AVDDSI Z_HNB+lBF\H6953?7;T2,MZHHWM$^SDBN/hFBPD:56830Y=!F_OM\@+SXAEK$eIO[A=13:4?<]9%BSCAPD/W\MIG(aMK_M1=>>0;8Q5)NWGETH#[PIMC,mAGSI5992<74U1-J[KIXL'_TEAO iECWE9546830Y=!F_OM\@+SXAEK$eIO[A=17:4?<]9%BSCAPD/W\MIG(aMK_M1=:>0;8Q5)NWGETH#[PIMC,mAGSI59=2<74U1-J[KIXL'_TEAO iECWE9506830Y=!F_OM\@+SXAEK$eIO[A=1;:4?<]9%BSCAPD/W\MIG(aMK_M1=6>0:8Q5)NWGETH#[PIMC,mAGSI595=45Z0.K\JJYC&\UB@L!fD@VB8169901^<"GPNN]G*PYNDH%bHLZN<53=5<=R8&CTBBQK.T]JHD)nLH^J09<1189V4*OXFFUO"XQFL@-j@DRF4=95=45Z0.K\JJYC&\UB@L!fD@VB8129901^<"GPNN]G*PYNDH%bHLZN<57=5<=R8&CTBBQK.T]JHD)nLH^J0981189V4*OXFFUO"XQFL@-j@DRF4==5=45Z0.K\JJYC&\UB@L!fD@VB81>9901^<"GPNN]G*PYNDH%bHLZN<5;=5==R8&CTBBQK.T]JHD)nLH^J090>9:W3+LYIGVN%YRGCA.kGEQG;=94:56[?/H]MKZB)]VCGM"gKAUC?148612_;#DQAO^F-QZOKI&cOMYO353<2=>S7'@UECRJ!U^KOE*oCI]K79>0>9:W3+LYIGVN%YRGCA.kGEQG;==4:56[?/H]MKZB)]VCGM"gKAUC?108612_;#DQAO^F-QZOKI&cOMYO357<2=>S7'@UECRJ!U^KOE*oCI]K79:0>9:W3+LYIGVN%YRGCA.kGEQG;=14:56[?/H]MKZB)]VCGM"gKAUC?1<8602_;#DQAO^F-QZOKI&cOMYO35?3:?P6(AVDDSI Z_HNB+lBF\H6=<3?6;T2,MZHHWM$^SDBN/hFBPD:197;27X> I^LL[A(RW@FJ#dJNT@>56;7>3\:$ER@@_E,V[LJF'`NJXL293?3:?P6(AVDDSI Z_HNB+lBF\H6=83?6;T2,MZHHWM$^SDBN/hFBPD:1=7;27X> I^LL[A(RW@FJ#dJNT@>52;7>3\:$ER@@_E,V[LJF'`NJXL297?3:?P6(AVDDSI Z_HNB+lBF\H6=43?6;T2,MZHHWM$^SDBN/hFBPD:117;37X> I^LL[A(RW@FJ#dJNT@>5:4?<]9%BSCAPD/W\MIG(aMK_M19?>0;8Q5)NWGETH#[PIMC,mAGSI5=:2<74U1-J[KIXL'_TEAO iECWE9156830Y=!F_OM\@+SXAEK$eIO[A=50:4?<]9%BSCAPD/W\MIG(aMK_M19;>0;8Q5)NWGETH#[PIMC,mAGSI5=>2<74U1-J[KIXL'_TEAO iECWE9116830Y=!F_OM\@+SXAEK$eIO[A=54:4?<]9%BSCAPD/W\MIG(aMK_M197>0;8Q5)NWGETH#[PIMC,mAGSI5=22<64U1-J[KIXL'_TEAO iECWE919901^<"GPNN]G*PYNDH%bHLZN<92=5<=R8&CTBBQK.T]JHD)nLH^J05?1189V4*OXFFUO"XQFL@-j@DRF4185=45Z0.K\JJYC&\UB@L!fD@VB8=59901^<"GPNN]G*PYNDH%bHLZN<96=5<=R8&CTBBQK.T]JHD)nLH^J05;1189V4*OXFFUO"XQFL@-j@DRF41<5=45Z0.K\JJYC&\UB@L!fD@VB8=19901^<"GPNN]G*PYNDH%bHLZN<9:=5<=R8&CTBBQK.T]JHD)nLH^J0571199V4*OXFFUO"XQFL@-j@DRF414:56[?/H]MKZB)]VCGM"gKAUC?=58612_;#DQAO^F-QZOKI&cOMYO390<2=>S7'@UECRJ!U^KOE*oCI]K75?0>9:W3+LYIGVN%YRGCA.kGEQG;1:4:56[?/H]MKZB)]VCGM"gKAUC?=18612_;#DQAO^F-QZOKI&cOMYO394<2=>S7'@UECRJ!U^KOE*oCI]K75;0>9:W3+LYIGVN%YRGCA.kGEQG;1>4:56[?/H]MKZB)]VCGM"gKAUC?==8612_;#DQAO^F-QZOKI&cOMYO398<2<>S7'@UECRJ!U^KOE*oCI]K753?n;T2,MZHHWM$^SDBN/hFBPDYCG\^:56[?/H]MKZB)]VCGM"g]ERGW858612_;#DQAO^F-QZOKI&cYI^K[<0<2=>S7'@UECRJ!U^KOE*oUMZO_0?0>9:W3+LYIGVN%YRGCA.kQAVCS4:4:56[?/H]MKZB)]VCGM"g]ERGW818612_;#DQAO^F-QZOKI&cYI^K[<4<2=>S7'@UECRJ!U^KOE*oUMZO_0;0>9:W3+LYIGVN%YRGCA.kQAVCS4>4:m6[?/H]MKZB)]VCGM"g\T@V\@JSS911^<"GPNN]G*PYNDH%b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`=R8&c_^DIPIO>3:46<]9%bX_GH_HL?5569991^<"g[RHE\MK:6884:<6[?/hVQMBYNF5;;>3??;T2,mQTNOVCE0<><>028Q5)n\[CLSD@3116=55=R8&c_^DIPIO>2408682_;#dZ]IF]JJ977>7;;7X> iUPJCZOI48:<2<>4U1-jPWO@W@D7==61119V4*oSZ@MTEC2>08<e?P6(a]XBKRGA<02=55=R8&c_^DIPIO>2558682_;#dZ]IF]JJ97697;;7X> iUPJCZOI48;92<>4U1-jPWO@W@D7=<=1119V4*oSZ@MTEC2>15<24>S7'`^YEJQFN=321;773\:$eY\FG^KM847168:0Y=!fTSKD[LH;98=5==5Z0.kWVLAXAG6:=50>0:W3+lRUANUBB1?>9?d8Q5)n\[CLSD@310<24>S7'`^YEJQFN=314;773\:$eY\FG^KM844668:0Y=!fTSKD[LH;9;85==5Z0.kWVLAXAG6:>>0>0:W3+lRUANUBB1?=4?33?P6(a]XBKRGA<006:46<]9%bX_GH_HL?5709991^<"g[RHE\MK:6:>4:<6[?/hVQMBYNF5;943??;T2,mQTNOVCE0<<6>g9V4*oSZ@MTEC2>2?33?P6(a]XBKRGA<013:46<]9%bX_GH_HL?5679991^<"g[RHE\MK:6;;4:<6[?/hVQMBYNF5;8?3??;T2,mQTNOVCE0<=;>028Q5)n\[CLSD@3127=55=R8&c_^DIPIO>2738682_;#dZ]IF]JJ974?7;;7X> iUPJCZOI48932<>4U1-jPWO@W@D7=>71f:W3+lRUANUBB1?<>028Q5)n\[CLSD@3152=55=R8&c_^DIPIO>2048682_;#dZ]IF]JJ973:7;;7X> iUPJCZOI48>82<>4U1-jPWO@W@D7=9:1119V4*oSZ@MTEC2>44<24>S7'`^YEJQFN=372;773\:$eY\FG^KM842068:0Y=!fTSKD[LH;9=25==5Z0.kWVLAXAG6:840i;T2,mQTNOVCE0<:1119V4*oSZ@MTEC2>51<24>S7'`^YEJQFN=365;773\:$eY\FG^KM843568:0Y=!fTSKD[LH;9<95==5Z0.kWVLAXAG6:990>0:W3+lRUANUBB1?:5?33?P6(a]XBKRGA<075:46<]9%bX_GH_HL?5019991^<"g[RHE\MK:6=14:<6[?/hVQMBYNF5;>53h4U1-jPWO@W@D7=80>0:W3+lRUANUBB1?90?33?P6(a]XBKRGA<042:46<]9%bX_GH_HL?5349991^<"g[RHE\MK:6>:4:<6[?/hVQMBYNF5;=83??;T2,mQTNOVCE0<8:>028Q5)n\[CLSD@3174=55=R8&c_^DIPIO>2228682_;#dZ]IF]JJ97107;;7X> iUPJCZOI48<22k5Z0.kWVLAXAG6::3??;T2,mQTNOVCE0<9?>028Q5)n\[CLSD@3163=55=R8&c_^DIPIO>2378682_;#dZ]IF]JJ970;7;;7X> iUPJCZOI48=?2<>4U1-jPWO@W@D7=:;1119V4*oSZ@MTEC2>77<24>S7'`^YEJQFN=343;773\:$eY\FG^KM841?68:0Y=!fTSKD[LH;9>35j6[?/hVQMBYNF5;<2<>4U1-jPWO@W@D7=5>1119V4*oSZ@MTEC2>80<24>S7'`^YEJQFN=3;6;773\:$eY\FG^KM84>468:0Y=!fTSKD[LH;91>5==5Z0.kWVLAXAG6:480>0:W3+lRUANUBB1?76?33?P6(a]XBKRGA<0:4:46<]9%bX_GH_HL?5=>9991^<"g[RHE\MK:6004m7X> iUPJCZOI4825==5Z0.kWVLAXAG6:5=0>0:W3+lRUANUBB1?61?33?P6(a]XBKRGA<0;1:46<]9%bX_GH_HL?5<59991^<"g[RHE\MK:61=4:<6[?/hVQMBYNF5;293??;T2,mQTNOVCE0<79>028Q5)n\[CLSD@3185=55=R8&c_^DIPIO>2==8682_;#dZ]IF]JJ97>17l0Y=!fTSKD[LH;904n7X> iUPJCZOI484:<6[?/hVQMBYNF58;<3??;T2,mQTNOVCE0?>>>028Q5)n\[CLSD@3210=55=R8&c_^DIPIO>1468682_;#dZ]IF]JJ947<7;;7X> iUPJCZOI4;:>2<>4U1-jPWO@W@D7>=81119V4*oSZ@MTEC2=06<24>S7'`^YEJQFN=03<;773\:$eY\FG^KM876>6o1^<"g[RHE\MK:587;;7X> iUPJCZOI4;;;2<>4U1-jPWO@W@D7><?1119V4*oSZ@MTEC2=13<24>S7'`^YEJQFN=027;773\:$eY\FG^KM877368:0Y=!fTSKD[LH;:8?5==5Z0.kWVLAXAG69=;0>0:W3+lRUANUBB1<>7?33?P6(a]XBKRGA<33;:46<]9%bX_GH_HL?64?9n2_;#dZ]IF]JJ94668:0Y=!fTSKD[LH;:;:5==5Z0.kWVLAXAG69><0>0:W3+lRUANUBB1<=2?33?P6(a]XBKRGA<300:46<]9%bX_GH_HL?6729991^<"g[RHE\MK:5:<4:<6[?/hVQMBYNF589:3??;T2,mQTNOVCE0?<8>028Q5)n\[CLSD@323:=55=R8&c_^DIPIO>16<8a3\:$eY\FG^KM8749991^<"g[RHE\MK:5;94:<6[?/hVQMBYNF588=3??;T2,mQTNOVCE0?==>028Q5)n\[CLSD@3221=55=R8&c_^DIPIO>1718682_;#dZ]IF]JJ944=7;;7X> iUPJCZOI4;9=2<>4U1-jPWO@W@D7>>91119V4*oSZ@MTEC2=39<24>S7'`^YEJQFN=00=;`<]9%bX_GH_HL?668682_;#dZ]IF]JJ94387;;7X> iUPJCZOI4;>:2<>4U1-jPWO@W@D7>9<1119V4*oSZ@MTEC2=42<24>S7'`^YEJQFN=070;773\:$eY\FG^KM872268:0Y=!fTSKD[LH;:=<5==5Z0.kWVLAXAG698:0>0:W3+lRUANUBB1<;8?33?P6(a]XBKRGA<36::c=R8&c_^DIPIO>10;773\:$eY\FG^KM873768:0Y=!fTSKD[LH;:<;5==5Z0.kWVLAXAG699?0>0:W3+lRUANUBB1<:3?33?P6(a]XBKRGA<377:46<]9%bX_GH_HL?6039n2_;#dZ]IF]JJ9426o1^<"g[RHE\MK:5>7l0Y=!fTSKD[LH;:>4m7X> iUPJCZOI4;25j6[?/hVQMBYNF5822h5Z0.kWVLAXAG692k5Z0.kWVLAXAG68<3h4U1-jPWO@W@D7?<0i;T2,mQTNOVCE0><1f:W3+lRUANUBB1=<>g9V4*oSZ@MTEC2<4?d8Q5)n\[CLSD@334<e?P6(a]XBKRGA<24=b>S7'`^YEJQFN=14:c=R8&c_^DIPIO>0<;`<]9%bX_GH_HL?7<8b3\:$eY\FG^KM868a3\:$eY\FG^KM8169n2_;#dZ]IF]JJ9266o1^<"g[RHE\MK:3:7l0Y=!fTSKD[LH;<:4m7X> iUPJCZOI4=>5j6[?/hVQMBYNF5>>2k5Z0.kWVLAXAG6?:3h4U1-jPWO@W@D78:0i;T2,mQTNOVCE0961f:W3+lRUANUBB1:6>d9V4*oSZ@MTEC2;>g9V4*oSZ@MTEC2:0?d8Q5)n\[CLSD@350<e?P6(a]XBKRGA<40=b>S7'`^YEJQFN=70:c=R8&c_^DIPIO>60;`<]9%bX_GH_HL?108a3\:$eY\FG^KM8009n2_;#dZ]IF]JJ9306o1^<"g[RHE\MK:207l0Y=!fTSKD[LH;=04n7X> iUPJCZOI4<4m7X> iUPJCZOI4?:5j6[?/hVQMBYNF5<:2k5Z0.kWVLAXAG6=>3h4U1-jPWO@W@D7:>0i;T2,mQTNOVCE0;:1f:W3+lRUANUBB18:>g9V4*oSZ@MTEC296?d8Q5)n\[CLSD@366<e?P6(a]XBKRGA<7:=b>S7'`^YEJQFN=4::`=R8&c_^DIPIO>5:c=R8&c_^DIPIO>44;`<]9%bX_GH_HL?348a3\:$eY\FG^KM8249n2_;#dZ]IF]JJ9146o1^<"g[RHE\MK:0<7l0Y=!fTSKD[LH;?<4m7X> iUPJCZOI4><5j6[?/hVQMBYNF5=<2k5Z0.kWVLAXAG6<43h4U1-jPWO@W@D7;40j;T2,mQTNOVCE0:0i;T2,mQTNOVCE05>1f:W3+lRUANUBB16>>g9V4*oSZ@MTEC272?d8Q5)n\[CLSD@382<e?P6(a]XBKRGA<96=b>S7'`^YEJQFN=:6:c=R8&c_^DIPIO>;2;`<]9%bX_GH_HL?<28a3\:$eY\FG^KM8=>9n2_;#dZ]IF]JJ9>>6l1^<"g[RHE\MK:?6o1^<"g[RHE\MK:>87l0Y=!fTSKD[LH;184m7X> iUPJCZOI4085j6[?/hVQMBYNF5382k5Z0.kWVLAXAG6283h4U1-jPWO@W@D7580i;T2,mQTNOVCE0481f:W3+lRUANUBB178>g9V4*oSZ@MTEC268?d8Q5)n\[CLSD@398<f?P6(a]XBKRGA<8<`?PUBZV\B_DLCE29UGF?<^@O\SYW_Eb9TEWHNFNUDBAW9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:4601\ILYJL=2=f>QBI^OG0<4?>89TADQBD5;5:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;?<_LH\IX2?>c9TAGQB]5;1<374WD@TAP:66k1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct:h1TSRVCNL]\[5YXW\:$ER@@_E,V[LJF'\UXXLZ U^LPH12<WVUS@CCP_^3\[ZS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A;>h5P_^ZOJHYXW;UTSX> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFH?l4_^][HKKXWV9TSR[?/H]MKZB)]VCGM"[PRRV,QZVU[]:=m6QP_YNMIZYX<VUTY=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J=+SXE\R>=?!Bmtz\KZ73;:1TSRVCNL]\[0YXW\:$ER@@_E,V[LJF'\UXXLZ U^CQIZak}lUxiyl4ar{mgZkrpV;i7l}vnb]nq}Y5j2kxucmPmtz\746<izseoRz}aoqeawY6991jt`l_upbjv`bzV8:<6o|yoa\pwgi{ooyS>j4cikswfiwmVcgm<?4cikswfiwmVcgmR<:6238gmow{je{iRgca^062)eoayyhc}kPimc\r4Y7=Vk'BB@J,OMMA44?k2ice}}loqg\migX:<<'oegsbmsaZokiV|:S=;Pa-y`[}ehWecxx0M`mqmmakrXFhgn1nffpralt`YndhU99;5Aul`fvZIaW]xbkjk}_Qmqpv;63DksS^k~udl`aZJb~lfx1<5Zsd]QTHu:nhfxi6Kaacnf[QtnonoySB{{ptv\Tjts5okgh5\alroaZIi5[cxeci4S`osh`YCi}kTHh~{h<3361=BfhhgiR]{oscdaZWriecmeno{inl>pwsb3MkmR]nmd]BwZRuanmn~0z}ud9GeqgXXfxSZgkti?3?QtnonoyS]a}t^Uj`qnX948>:6Cntbj\Qkos{V;6=6M`uovfvZQnl}bT=0Jfs``oaa=Ji}icSYwe^3>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ>=gcow`=Sz`mliQ_osv\Slbs`V8646Cntbj\Qkos{V86=6M`uovfvZQnl}bT>0Jfs``oaa=Ji}icSYwe^0>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ==gcow`=Sz`mliQ_osv\Slbs`V9646Cntbj\Qkos{V96=6M`uovfvZQnl}bT?0Jfs``oaa=Ji}icSYwe^1>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ<=gcow`=Sz`mliQ_osv\Slbs`V>646Cntbj\Qkos{V>6=6M`uovfvZQnl}bT80Jfs``oaa=Ji}icSYwe^6>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ;=gcow`=Sz`mliQ_osv\Slbs`V?646Cntbj\Qkos{V?6=6M`uovfvZQnl}bT90Jfs``oaa=Ji}icSYwe^7>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ:=gcow`=Sz`mliQ_osv\Slbs`V<646Cntbj\Qkos{V<6=6M`uovfvZQnl}bT:0Jfs``oaa=Ji}icSYwe^4>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ9=gcow`=Sz`mliQ_osv\Slbs`V=646Cntbj\Qkos{V=6=6M`uovfvZQnl}bT;0Jfs``oaa=Ji}icSYwe^5>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ8=gcow`=Sz`mliQ_osv\Slbs`V2646Cntbj\Qkos{V26=6M`uovfvZQnl}bT40Jfs``oaa=Ji}icSYwe^:>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ7=gcow`=Sz`mliQ_osv\Slbs`V3646Cntbj\Qkos{V36=6M`uovfvZQnl}bT50Jfs``oaa=Ji}icSYwe^;>fdunkV}bxeQjdfgp?@~dd|nnSK|`m^FbpdYT|fxjkhQ6=gcow`=Sz`mliQ_osv\Slbs`V;;155Bauak[Phn|zU:<0?4Cnwmp`tX_`ndR??=Ekpegjbl2GjxnfPTxrf[46:jhyboRyfti]f`bct3Lrh`xjj_GpliZBf|hUXxb|ngd]248`fdzo0Xghgdp\TjtsW^coxeQ>1<:8Idrd`V_eey}P10?2?Firf}oySZgkti]258Bn{hhgii5Bauak[QwmV;:1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX987mma}j;UpjcbcuWYeyxRYfduj\57;?3DkoeQZnhvp[44:92Idyczjr^Uj`qnX9;7Oe~omldf8Idrd`V^r|hQ>2<`bwleX`~cShjher9F|fjrllUM~bcPD`vb[VrhzhmnS<<2f`npa>Ruanmn~R^`ru]TmaroW89646Cntbj\Qkos{V;81<5LotlwawYPam~cS<=2Dhqbficc3DkoeQ[yqg\56;eizchSzg{h^ggc`u<MqigyikPFsmn[AgsiVYcohe^309cgk{l1_~dihes]SkwrX_`ndR?;=99NeqeoW\dbx~Q>4<38Gjsi|lxT[dj{h^379Aotikfnh6Cntbj\P|vbW8>6nl}fc^ujpmYblnox7Hvlltff[CtheVNjxlQ\tnpbc`Y6<4lj`~k4Tskdc`tXXfxSZgkti]218><Eh~hdR[aiuq\50;63Je~byk}_VkgpmY6=4Nbllcee9NeqeoW]s{iR?:=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT=83iamqf?QtnonoyS]a}t^Uj`qnX9?737@o{ci]VjlrtW8<6=6M`uovfvZQnl}bT=;3Kircah`b<Eh~hdRZvpd]228df{`iT{dzg_dfdav=Bpjf~hhQIrno\@drfWZ~d~lij_04>bdjtm%iTtikyibgeehokq4y{mznn7.`[fiumV~r|h3=,b]nbbYnl4;'oRcnjnp\r`ttafd6=9"l_lkmkwYqm{ybcc3;,b]aqljcW{o}e~g`n<2/gZehzlUfmga}_wgq94*dWjeyiRcfnnp\r`t:<%iTob|j_lkmkwYfdybjS{k}=85/gZtfeV~r|h3>,b]pvi71W}s{i0<#c^wpaZoi~Vigg0>#c^wpaZtwe4:'oR{|e^vqmbYh}}7; nQzsd]bpfYddbce1="l_tqf[agsi4:'oR{|e^vqmb6:9%iTy~kPtskd586+kVxiRz}if0>4)eX}zoTxgh3<2/gZstmV~yej:20-a\qvcX|{cl90>#c^wpaZruan<6<!mPurg\pwo`?4:'oR{|e^vqmb>:8%iTy~kPtskd=86+kVxiRz}if3395*dW|ynSy|fg03>4)eX}zoTxgh13?3(fYr{lU~di>3<2/gZstmV~yej?;=1.`[pubW}xbk<;20-a\qvcX|{clhlzn0<3/gZstmV~yejjnt`3>4)eX}zoTxghd`vb686+kVxiRz}iffbpd5:8%iTy~kPtskd`drf<4:'oR{|e^vqmbbf|h?6<!mPurg\pwo`lh~j:0>#c^wpaZruannjxl920-a\qvcX|{clhlzn8<2/gZstmV~yejjnt`;>4)eX}zoTxghd`vb55;7$jU~hQ{rhegeqg694:'oR{|e^vqmbbf|h;91="l_tqf[qtnomkm<=20-a\qvcX|{clhlzn15?3(fYr{lU~dikauc2186+kVnjxlQxievk94*dWmkmRjjpuj>5543$jU~hQhaq?3(fYsadoxxlc_u{sa86+kV~bah}{alr\slbs`499 nQ{ilgppdkwWmo{xe3:13.`[pubWz~d~lij_pwbh87+kV~xi|Q{yqg>4)eXf|gTx~k~_blw95*dW}yn}Rmat0]tmaro58&hSy}jq^amp5Ypam~c1<"l_own[qubyVymyk|=0.`[pubW}iTan`{=1.`[qeXejdSzgkti?2(fYbp}Uhm}Q}aug\ijbb59&hShv{_bcs[uoiWdeoi0>#c^lviZc|Vij|R~fnr?;(fYbp}Uhm}Qzsd]qab;6$jUey`Qbauak[phn|z7: nQ{rhe3[roc|a799;"l_upjc4Ypam~c1<"l_upjc7Ypam~c1<"l_upjc6Ypam~c1<"l_upjc1Ypam~c1<"l_upjc0Ypam~c1<"l_upjc3Ypam~c1<"l_upjc2Ypam~c1<"l_upjc=Ypam~c1<"l_upjc<Ypam~c1<"l_upjc46X`nd0?#c^vqmb76W~coxe3>,b]wvla6:V}bhyf21-a\pwo`9:U|eizg=0.`[qtno8>T{dj{h<3/gZruan;>Szgkti?2(fYj8V~{ei3?,b]n5Zrwam7: nQb2^vsma;5$jUf?Rzie?0(fYj<V~{ei3;,b]n1Zrwam7> nQb6^vsma;1$jUf;Rzie?4(fYj0V~{ei37,b]n=Zrwam72 nQb11]wtlb:99&hS`?>_urj`876$jUf=?Q{phf>57*dWd;8Sy~fd<30(fYj9=U|dj215.`[h72W}zbh0?:,b]n4Zr~xl7: nQb1^vzt`;7$jUf>Rzvpd?3(fYj;V~r|h3?,b]n0Zr~xl7; nQb5^vzt`;7$jUf:Rzvpd?3(fYj?V~r|h3?,b]n<Zr~xl7; nQb9^vzt`;7$jUf==Q{yqg>4)eXe8;Txt~j=1.`[h75W}s{i0>#c^o27Zr~xl7; nQb15]w}uc:8%iTa<;Ptxrf95*dW|ynS`mat1?3(fYr{lUfocz>=1.`[pubWdiex?3?,b]vw`Yjkg~81="l_tqf[hei|=7; nQzsd]ngkr259&hSx}j_lamp3;7$jU~hQbcov495*dW|ynS`mat9?3(fYr{lUfocz6=1.`[pubWdiex<>20-a\qvcXejd=<3?,b]vw`Yjkg~:>0>#c^wpaZkdf};81="l_tqf[hei|8>6<!mPurg\ifhs9<7; nQbcov3[roc|a7: nQbcov2[roc|a7: nQbcov1[roc|a7: nQbcov0[roc|a7: nQbcov7[roc|a7: nQbcov6[roc|a7: nQbcov5[roc|a7: nQbcov4[roc|a7: nQbcov;[roc|a7: nQbcov:[roc|a7: nQbcov24Zqnl}b6=!mPmblw54Ypam~c1<"l_lamp44X`nd0?#c^o`jq74W~coxe3>,b]ngkr6<V}bhyf21-a\ifhs9<U|eizg=0.`[fii{}xjeczPtxrf9`~sm{dj`!mPeycnticXllybkc3iamqf(x563jbb|~m`pd]jhdYq9V:>Sl"/Xhnjj}&DG[O+Kh`jr`vlv%73&=30ob{atdp\5<=dg|diQ=f:ap[gtfeVijnoke008gvYezhgTol}laeg\w3763jyTnob_rknticX{?;37n}Pbscn[vojxeoT;Q}al`2<41<kzUi~lcPshosh`Yt>Vxjao7n;bq\gcak}}>j7n}Pcgeoqq3d3jyTokicuu4\5f=d{Vimka{{6^0b?fuXkomgyy9>b:ap[fii|{egnx}Pxrvtkwmfzfehk5ls^alqkrbzVgdhfl4cr]dekbX{{f:<6m|_fcm`ZuudVy=S<??;bq\cdhcWzxgS~8P2068gvY`ignTbPs7]paqY69=1hRinne]pviYt>VynxR<>d:ap[bgilVyy`R}9_rnjg`YigVx{aR?>e:ap[bgilVyy`R}9_rnjg`YigVx{aR??1d9`wZaffmUx~aQ|6^qomfcXffUy|`Q>10g8gvY`ignTbPs7]phlebWgeT~}cP133f?fuXohdoS~|c_r4\wiodmVddS~b_012a>etWnkehR}}l^q5[vjnklUecR|m^375`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]214c<kzUlmcjPssn\w3Ytd`inScaPrqo\537b3jyTkl`k_rpo[v0X{echiR``_srn[416m2ixSjoad^qqhZu1WzfbohQao^psiZ7?9l1hRinne]pviYt>VygenkPnn]qthY618n0o~Qhaof\wwjX{?Ux`dmj_om\vukX:8o0o~Qhaof\wwjX{?Ux`dmj_om\vukX:9;n7n}Pg`lg[vtkWz<Tagle^ll[wvjW;;:i6m|_fcm`ZuudVy=S~bfcd]mkZtweV89=h5ls^ebjaYtzeUx:R}cibg\jjYuxdU9?<k4cr]dekbX{{fT;Q|lhaf[kiXzygT>9?j;bq\cdhcWzxgS~8Psmk`aZhhW{zfS?;>e:ap[bgilVyy`R}9_rnjg`YigVx{aR<91d9`wZaffmUx~aQ|6^qomfcXffUy|`Q=70g8gvY`ignTbPs7]phlebWgeT~}cP293f?fuXohdoS~|c_r4\wiodmVddS~b_3;2`>etWnkehR}}l^q5[vjnklUecR|m^12a>etWnkehR}}l^q5[vjnklUecR|m^135`=d{VmjbiQ|rm]p2ZukajoTbbQ}pl]054c<kzUlmcjPssn\w3Ytd`inScaPrqo\777b3jyTkl`k_rpo[v0X{echiR``_srn[656l2ixSjoad^qqhZu1WzfbohQao^psiZ26l2ixSjoad^qqhZu1WzfbohQao^psiZ36l2ixSjoad^qqhZu1WzfbohQao^psiZ06l2ixSjoad^qqhZu1WzfbohQao^psiZ16l2ixSjoad^qqhZu1WzfbohQao^psiZ>6l2ixSjoad^qqhZu1WzfbohQao^psiZ?d3jyTkl`kx^qqhc=d{VmjbivPssn\w31<kzUgyy88;bq\ip~512ixS`{w500;?fuXe|r=8:5ls^ov|=><kzUfyuQ>8:ap[hsW;20o~Qbuy]0<>etWdsS9l4cr]qabot|lx87ijbd:ggc`Ycm}ohxb|i;hnb[fgwWhno~jka139jhdYdiyUhxbPg3]puc=ndhUhm}Q|tnpbc`><aekTob|j9:koeZkf|jb:=6gca^obpfnXkfgiecki;hnb[hgskaUhc`l`e:koeZkf|jbTkl`kf:koeZkf|jbTkl`kxd9jhdYumzoSnz}l`9jhdYt|h~~<>4imc\pwgdmVh~jkk}e:koeZruanUfmymgc:koeZruanidbi??;hnb[qtnojeehRbztc9jhdYsz`mli<4nn31?utho{kfallce^ffhd692rh:~bw74/ecb2?<$9vLM~;f558DE~6=831J7<51zQa`?728h09?k4>320b40<3lhqe=8>7:09m506>2?1/=8>8:0735>{T1?0:9=o522d95655i9?19<:4d072=?6=93;p_oj5142b>75a2898>l>::5f`?sR5=k0;6<4>:``fVdc28?;m7<<f;3077g7=3>oo6*>4da967d<,;>;6<;81:`214?=83;9976=0;caa~N6<lk0(>88:072=>\0138p=i4=8;'506128?:o6*8d;365d=#jj0:9<l4$37;>5=#:<=1=8>m;h127?6=,;>96>?=;o075?6<3`9:=7>5$361>6753g8?=7?4;h124?6=,;>96>?=;o075?4<3`9;j7>5$361>6753g8?=7=4;h13a?6=,;>96>?=;o075?2<3`9;h7>5$361>6753g8?=7;4;h13f?6=,;>96>?=;o075?0<3`9;m7>5$361>6753g8?=794;h13=?6=,;>96>?=;o075?><3`9;47>5$361>6753g8?=774;h133?6=,;>96>?=;o075?g<3`9;:7>5$361>6753g8?=7l4;h131?6=,;>96>?=;o075?e<3`9;87>5$361>6753g8?=7j4;h137?6=,;>96>?=;o075?c<3`9;>7>5$361>6753g8?=7h4;h134?6=,;>96>?=;o075?7732c9jk4?:%076?56:2d98<4>1:9j6cc=83.98?4<139m617=9;10e?hk:18'614=;880b?:>:018?l4ak3:1(?:=:231?k4393;?76g=fc83>!43:39:>6`=40821>=n:ok1<7*=438057=i:=;1=;54i3d:>5<#:=81?<<4n362>41<3`8m47>5$361>6753g8?=7?7;:k1b2<72-8?>7=>2:l104<6121b>k;50;&107<49;1e>9?51`98m7`3290/>9<53008j72628h07d<i3;29 7252:;97c<;1;3`?>o5n;0;6)<;2;126>h5<80:h65f2g394?"5<;08=?5a25395`=<a;l;6=4+2509744<f;>:6<h4;h0fb?6=,;>96>?=;o075?4732c9ih4?:%076?56:2d98<4=1:9j6`b=83.98?4<139m617=:;10e?kl:18'614=;880b?:>:318?l4bi3:1(?:=:231?k43938?76g=e883>!43:39:>6`=40811>=n:l21<7*=438057=i:=;1>;54i3g4>5<#:=81?<<4n362>71<3`8n:7>5$361>6753g8?=7<7;:k1a0<72-8?>7=>2:l104<5121b>h:50;&107<49;1e>9?52`98m7c4290/>9<53008j7262;h07d<j2;29 7252:;97c<;1;0`?>o5m80;6)<;2;126>h5<809h65f2ed94?"5<;08=?5a25396`=<a;nn6=4+2509744<f;>:6?h4;h0g`?6=,;>96>?=;o075?5732c9hn4?:%076?56:2d98<4<1:9j6ad=83.98?4<139m617=;;10e?jn:18'614=;880b?:>:218?l4c13:1(?:=:231?k43939?76g=d983>!43:39:>6`=40801>=n:m=1<7*=438057=i:=;1?;54i3f5>5<#:=81?<<4n362>61<3`8o?7>5$361>6753g8?=7=7;:k1`7<72-8?>7=>2:l104<4121b>i?50;&107<49;1e>9?53`98m7b7290/>9<53008j7262:h07d<lf;29 7252:;97c<;1;1`?>o5kl0;6)<;2;126>h5<808h65f2bf94?"5<;08=?5a25397`=<a;ih6=4+2509744<f;>:6>h4;h0`f?6=,;>96>?=;o075?2732c9ol4?:%076?56:2d98<4;1:9j6f>=83.98?4<139m617=<;10e?m8:18'614=;880b?:>:518?l4d>3:1(?:=:231?k4393>?76g=c483>!43:39:>6`=40871>=n:j>1<7*=438057=i:=;18;54i3a0>5<#:=81?<<4n362>11<3`8h>7>5$361>6753g8?=7:7;:k1g4<72-8?>7=>2:l104<3121b>n>50;&107<49;1e>9?54`98m7da290/>9<53008j7262=h07d<md;29 7252:;97c<;1;6`?>o5jj0;6)<;2;126>h5<80?h65f2c`94?"5<;08=?5a25390`=<a;hj6=4+2509744<f;>:69h4;h0a=?6=,;>96>?=;o075?3732c9n54?:%076?56:2d98<4:1:9j6g1=83.98?4<139m617==;10e?l9:18'614=;880b?:>:418?l4e=3:1(?:=:231?k4393??76g=b583>!43:39:>6`=40861>=n:k81<7*=438057=i:=;19;54i3`2>5<#:=81?<<4n362>01<3`8i<7>5$361>6753g8?=7;7;:k1ec<72-8?>7=>2:l104<2121b>lk50;&107<49;1e>9?55`98m7gc290/>9<53008j7262<h07d<nc;29 7252:;97c<;1;7`?>o5ik0;6)<;2;126>h5<80>h65f2`c94?"5<;08=?5a25391`=<a;k26=4+2509744<f;>:68h4;h0b3?6=,;>96>?=;o075?0732c9m;4?:%076?56:2d98<491:9j6d3=83.98?4<139m617=>;10e?o;:18'614=;880b?:>:718?l4f;3:1(?:=:231?k4393<?76g=a383>!43:39:>6`=40851>=n:h;1<7*=438057=i:=;1:;54i3c3>5<#:=81?<<4n362>31<3`82j7>5$361>6753g8?=787;:k1=`<72-8?>7=>2:l104<1121b>4m50;&107<49;1e>9?56`98m7?e290/>9<53008j7262?h07d<6a;29 7252:;97c<;1;4`?>o5100;6)<;2;126>h5<80=h65f28:94?"5<;08=?5a25392`=<a;3<6=4+2509744<f;>:6;h4;h0:2?6=,;>96>?=;o075?1732c9584?:%076?56:2d98<481:9j6<2=83.98?4<139m617=?;10e?7<:18'614=;880b?:>:618?l4>93:1(?:=:231?k4393=?76g=9183>!43:39:>6`=40841>=n:1l1<7*=438057=i:=;1;;54i3:f>5<#:=81?<<4n362>21<3`83h7>5$361>6753g8?=797;:k1<f<72-8?>7=>2:l104<0121b>5l50;&107<49;1e>9?57`98m7>f290/>9<53008j7262>h07d<79;29 7252:;97c<;1;5`?>o5010;6)<;2;126>h5<80<h65f29494?"5<;08=?5a25393`=<a;2>6=4+2509744<f;>:6:h4;h0;0?6=,;>96>?=;o075?>732c94>4?:%076?56:2d98<471:9j6=4=83.98?4<139m617=0;10e?6>:18'614=;880b?:>:918?l4?83:1(?:=:231?k43932?76g=7g83>!43:39:>6`=408;1>=n:>o1<7*=438057=i:=;14;54i35g>5<#:=81?<<4n362>=1<3`8<n7>5$361>6753g8?=767;:k13d<72-8?>7=>2:l104<?121b>:750;&107<49;1e>9?58`98m71?290/>9<53008j72621h07d<87;29 7252:;97c<;1;:`?>o5??0;6)<;2;126>h5<803h65f26794?"5<;08=?5a2539<`=<a;=?6=4+2509744<f;>:65h4;h047?6=,;>96>?=;o075??732c9;?4?:%076?56:2d98<461:9j626=83.98?4<139m617=1;10e?8i:18'614=;880b?:>:818?l41m3:1(?:=:231?k43933?76g=6e83>!43:39:>6`=408:1>=n:?i1<7*=438057=i:=;15;54i34a>5<#:=81?<<4n362><1<3`8=m7>5$361>6753g8?=777;:k12<<72-8?>7=>2:l104<>121b>;650;&107<49;1e>9?59`98m700290/>9<53008j72620h07d=95;29 7252:;97c<;1;;`?>o4>=0;6)<;2;126>h5<802h65f37194?"5<;08=?5a2539=`=<a:<96=4+2509744<f;>:64h4;h155?6=,;>96>?=;o075?g732c8:=4?:%076?56:2d98<4n1:9j70`=83.98?4<139m617=i;10e>;j:18'614=;880b?:>:`18?l52l3:1(?:=:231?k4393k?76g<5b83>!43:39:>6`=408b1>=n;<k1<7*=438057=i:=;1m;54i27:>5<#:=81?<<4n362>d1<3`9>47>5$361>6753g8?=7o7;:k012<72-8?>7=>2:l104<f121b?8850;&107<49;1e>9?5a`98m632290/>9<53008j7262hh07d=:4;29 7252:;97c<;1;c`?>o4=:0;6)<;2;126>h5<80jh65f34094?"5<;08=?5a2539e`=<a:?:6=4+2509744<f;>:6lh4;h17b?6=,;>96>?=;o075?d732c88h4?:%076?56:2d98<4m1:9j71b=83.98?4<139m617=j;10e>:l:18'614=;880b?:>:c18?l53j3:1(?:=:231?k4393h?76g<4`83>!43:39:>6`=408a1>=n;=31<7*=438057=i:=;1n;54i26;>5<#:=81?<<4n362>g1<3`9?;7>5$361>6753g8?=7l7;:k003<72-8?>7=>2:l104<e121b?9:50;&107<49;1e>9?5b`98m624290/>9<53008j7262kh07d=;2;29 7252:;97c<;1;``?>o4<80;6)<;2;126>h5<80ih65f35294?"5<;08=?5a2539f`=<a:9m6=4+2509744<f;>:6oh4;h10a?6=,;>96>?=;o075?e732c8?i4?:%076?56:2d98<4l1:9j76e=83.98?4<139m617=k;10e>=m:18'614=;880b?:>:b18?l5413:1(?:=:231?k4393i?76g<3983>!43:39:>6`=408`1>=n;:=1<7*=438057=i:=;1o;54i215>5<#:=81?<<4n362>f1<3`9897>5$361>6753g8?=7m7;:k071<72-8?>7=>2:l104<d121b?>=50;&107<49;1e>9?5c`98m655290/>9<53008j7262jh07d=<1;29 7252:;97c<;1;a`?>o4;90;6)<;2;126>h5<80hh65f33g94?"5<;08=?5a2539g`=<a:8o6=4+2509744<f;>:6nh4;h11g?6=,;>96>?=;o075?b732c8>o4?:%076?56:2d98<4k1:9j77g=83.98?4<139m617=l;10e><6:18'614=;880b?:>:e18?l5503:1(?:=:231?k4393n?76g<2683>!43:39:>6`=408g1>=n;;<1<7*=438057=i:=;1h;54i206>5<#:=81?<<4n362>a1<3`99?7>5$361>6753g8?=7j7;:k067<72-8?>7=>2:l104<c121b???50;&107<49;1e>9?5d`98m647290/>9<53008j7262mh07d=>f;29 7252:;97c<;1;f`?>o49l0;6)<;2;126>h5<80oh65f30f94?"5<;08=?5a2539``=<a:;h6=4+2509744<f;>:6ih4;h12f?6=,;>96>?=;o075?c732c8=l4?:%076?56:2d98<4j1:9j74>=83.98?4<139m617=m;10e>?8:18'614=;880b?:>:d18?l56>3:1(?:=:231?k4393o?76g<1483>!43:39:>6`=408f1>=n;8>1<7*=438057=i:=;1i;54i22`>5<#:=81?<<4n362>`1<3`9;=7>5$361>6753g8?=7k7;:k1b3<72-8?>7=>2:l104<b121b>hl50;&107<49;1e>9?5e`98m7c7290/>9<53008j7262lh07d<k4;29 7252:;97c<;1;g`?>o5k00;6)<;2;126>h5<80nh65f2cg94?"5<;08=?5a2539a`=<a;h86=4+2509744<f;>:6hh4;h0b<?6=,;>96>?=;o075?`732c95i4?:%076?56:2d98<4i1:9j6<4=83.98?4<139m617=n;10e?68:18'614=;880b?:>:g18?l40k3:1(?:=:231?k4393l?76g=7083>!43:39:>6`=408e1>=n;?<1<7*=438057=i:=;1j;54i27a>5<#:=81?<<4n362>c1<3`9><7>5$361>6753g8?=7h7;:k000<72-8?>7=>2:l104<a121b?>o50;&107<49;1e>9?5f`98m64a290/>9<53008j7262oh07d==4;29 7252:;97c<;1;d`?>o4900;6)<;2;126>h5<80mh65f2e794?"5<;08=?5a2539b`=<a;<=6=4+2509744<f;>:6kh4;*`7>5<#:=81n>5a25394>=,j;0;6)<;2;`0?k4393;07&l>:18'614=j:1e>9?52:9(f5<72-8?>7l<;o075?5<3"km6=4+2509f6=i:=;1865$ad83>!43:3h87c<;1;78?.gd290/>9<5b29m617=>21 mo4?:%076?d43g8?=794;*cb>5<#:=81n>5a2539<>=,i00;6)<;2;`0?k4393307&o7:18'614=j:1e>9?5a:9(e2<72-8?>7l<;o075?d<3"k=6=4+2509f6=i:=;1o65$a483>!43:3h87c<;1;f8?.g3290/>9<5b29m617=m21 m>4?:%076?d43g8?=7h4;*c2>5<#:=81n>5a253955=<#h:1<7*=438a7>h5<80:=65$9g83>!43:3h87c<;1;31?>->m3:1(?:=:c18j72628907&7k:18'614=j:1e>9?51598/<e=83.98?4m3:l104<6=21 5o4?:%076?d43g8?=7?9;:):e?6=,;>96o=4n362>41<3"326=4+2509f6=i:=;1=554+8:94?"5<;0i?6`=4082=>=,jk0;6)<;2;`0?k4393;j76%ma;29 7252k90b?:>:0`8?.d>290/>9<5b29m617=9j10'o650;&107<e;2d98<4>d:9(f2<72-8?>7l<;o075?7b32!i:7>5$361>g5<f;>:6<h4;*cg>5<#:=81n>5a253964=<#h81<7*=438a7>h5<809>65$9683>!43:3h87c<;1;00?>o0n3:17&l::18'614=j:1e>9?52198f437=3:1=7>50z&022<6<lh0D<;?3:J20`g<g;9n6=44}c3641<7280;6=u+37595a4<@8?;?6F>4dc8k4b62900qo899;293?6=8r.8::4=309K50643A;?il5+22f9500a3-;n>794i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk<jn7>53;294~"4>>09>i5G1420?M73mh1/>>j5144e?!7b:3;ji6*=4282146<a8n?6=44i0f6>5<<g;9h6=44}c45e?6=<3:1<v*<66816`=O9<:87E?;e`9'66b=9<<m7)?j2;32?l7c<3:17d?k5;29?l7c>3:17b<<c;29?xd1>10;6>4?:1y'731=:;n0D<;?3:J20`g<,;9o6<;9f:&2a7<4;2c:h94?::k2`0<722e9?n4?::af66=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjk9>6=46:183!51?38>j6F>5118L42bi2.9?i4>57d8 4c52;1b?94?::k01?6=3`9=6=44i2594?=n?j0;66g8f;29?ldb2900c?:9:188k7202900qol=e;296?6=8r.8::4=2b9K50643A;?il5+22f9500a3-;n>7??;h3g0?6=3f88o7>5;|`;e6<72=0;6=u+375967c<@8?;?6F>4dc8 75c28?=j6*>e384f>"5<:0:9<?4i0f7>5<<a8n>6=44i0f5>5<<g;9h6=44}c4f7?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a2`>=83>1<7>t$244>74b3A;><>5G15gb?!7b:3;ih6*=4282146<a8n?6=44i0f6>5<<a8n=6=44o31`>5<<uk<m?7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi:k;50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8i6;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm6g`94?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4f6?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0a?3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e>oi1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=;>7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;=o50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8j4;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4e<?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a355=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg17<3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?9h1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk<n97>57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9?5;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm70494?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c523?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a34c=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0b13:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=857>57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8i9;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm71494?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c533?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a34>=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0b>3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=;47>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;<750;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9>a;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm70d94?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4fe?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg1713:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?8h1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=:o7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?;50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8jb;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c52`?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a370=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg15?3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;n1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk<nj7>57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9<a;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4ee?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a2cb=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0am3:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?9i1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk<n;7>57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8if;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm71f94?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c53a?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a37>=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0bk3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=;<7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;=h50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9>0;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm73;94?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4f`?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg1693:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;k1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=9n7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?k50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8i0;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c50f?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg1793:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?881<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=:?7>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;?m50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo8je;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c520?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a376=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg1593:1;7>50z&022<5;81C=8><;I37ad=#9l81h6g>d583>>o6l<0;66g>d783>>o6l>0;66g>d983>>o6l00;66a=3b83>>{e?;l1<7950;2x 6002;9:7E?:029K51cf3-;n>7j4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk<m=7>57;294~"4>>09?<5G1420?M73mh1/=h<51cd8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9>5;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm73094?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c517?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=l2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::a366=83=1<7>t$244>7563A;><>5G15gb?!7b:3n0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0a:3:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=987>57;294~"4>>09?<5G1420?M73mh1/=h<5d:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wi;>?50;594?6|,:<<6?=>;I3646=O9=oj7)?j2;f8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qo9<2;293?6=8r.8::4=309K50643A;?il5+1d09`>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66sm72194?1=83:p(>88:312?M728:1C=9kn;%3f6?b<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}c4e0?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188yg0b93:1;7>50z&022<5;81C=8><;I37ad=#9l81=oh4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk=887>54;294~"4>>09?>5G1420?M73mh1b=i:50;9j5a3=831b>8850;9l66e=831vno=9:187>5<7s-9=;7?l5:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>oem3:17d<;9;29?j43>3:17plm3083>1<729q/?;951b78L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<ako1<75f25;94?=h:=<1<75rbc10>5<3290;w)=97;3`1>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722cii7>5;h07=?6=3f8?:7>5;|`a7=<72=0;6=u+37595f3<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900eok50;9j61?=831d>9850;9~fg4a290?6=4?{%153?7d=2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66gme;29?l4313:17b<;6;29?xde;j0;694?:1y'731=9j=0D<;?3:J20`g<,;9o6<;9f:&2a7<682c<m7>5;h`f>5<<a;?=6=44o365>5<<ukh9h7>54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi8n>50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6aa?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<ki1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:ma;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0g>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>i:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4c094?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2e83:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8lk50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6bg?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<hk1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:n8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0d0=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>j87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4`094?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2f83:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi84m50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6:e?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<021<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:66;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0<2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>2>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm48294?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2?m3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi85m50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6;e?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<1<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:74;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0=4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>3<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm46g94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg20k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8:o50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c64<?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<><1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:84;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a026=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>=i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm47a94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg21i3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8;650;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c652?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<?>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:92;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a036=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>>i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm44c94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2203:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi88850;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c660?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<<81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo::0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a01c=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>?o7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm45c94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2303:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi89<50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c674?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<:o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:<c;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a06g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>847>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm42494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg24<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8><50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c604?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<;i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:=a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a07>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>9:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm43694?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg25:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8?>50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c62a?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<8i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:>a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a040=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>:87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm40094?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2683:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8=k50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c63g?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<9k1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:?8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a050=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>;87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm41294?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5am3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?km50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1ee?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;o21<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=i6;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7c2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9m>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm3g294?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5bm3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?ho50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1f<?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;l<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=j4;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7`4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9n<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm3eg94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5ck3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?io50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1g<?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;m>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=k2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7a6=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9hi7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm3ba94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5di3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?n650;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1`2?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;j>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=l2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7gc=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9io7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm3cc94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5e03:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?o850;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1a0?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;k81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=m0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7dc=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9jo7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm3`:94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5f>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?l:50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1b6?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;h:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=6e;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7<e=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk92m7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm38:94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5>>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?4<50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1:4?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;1o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=7c;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7=g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9347>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm39494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5?<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?5<50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1;4?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;>i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=8a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a72>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9<:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm36694?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg50:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?:>50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c15a?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;?i1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=9a;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a132=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk?=>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm57294?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg32m3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi98m50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c76e?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=<21<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo;:6;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a102=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk?>>7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm55g94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg33k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi99o50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c77<?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e==<1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo;;4;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a114=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk??<7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm52g94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg34k3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9>650;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c702?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=:>1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo;<2;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a166=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk?9i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm53a94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg35i3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9?650;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c712?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=;81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo;=0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a14c=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk?:o7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm50c94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg3603:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9<850;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c720?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=881<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo;>0;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a15e=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk?;m7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm51:94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg37>3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9=:50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c736?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=9:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:ie;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0ce=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>mm7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4g494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2a<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8k<50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6e4?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<lo1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:jc;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0`g=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>n47>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4d494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2b<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8h>50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6ga?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<mi1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:ka;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0a>=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>o:7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4e694?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2c:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8i>50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6`a?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<jk1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:l8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0f0=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>h87>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm4b094?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg2e<3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi84k50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c6;<?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e<>81<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo::c;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a012=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>9i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm40:94?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg27:3:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi?hm50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c1g2?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e;j:1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo=na;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a7<2=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk9<i7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm57494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg3283:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi9>o50;594?6|,:<<6?;k;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<j7>5;h`f>5<<g;>=6=44}c710?6=?3:1<v*<66811a=O9<:87E?;e`9'66b=9<<m7)?j2;08m62=831b?84?::k02?6=3`9<6=44i6d94?=njl0;66a=4783>>{e=9o1<7950;2x 6002;?o7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0n3:17dlj:188k7212900qo:i8;293?6=8r.8::4=5e9K50643A;?il5+22f9500a3-;n>7<4i2694?=n;<0;66g<6;29?l502900e:h50;9jf`<722e98;4?::a0`4=83=1<7>t$244>73c3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4b?6=3`hn6=44o365>5<<uk>ho7>57;294~"4>>099i5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>l1<75fbd83>>i5<?0;66sm45494?1=83:p(>88:37g?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8f;29?ldb2900c?:9:188yg5103:1;7>50z&022<5=m1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2`=831bnh4?::m103<722wi8n?50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2en3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm4cf94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>in7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0g?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:m7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<k91<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6a5?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8lh50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2fl3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm4``94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>j57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0d1=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:n5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<h91<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6b5?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi84j50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2>j3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm48;94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>2;7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0<3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:63;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<0;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6;b?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi85j50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2?j3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm49594?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>397>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0=5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:71;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<>l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c64`?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8:l50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2013:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm46594?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk><97>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a027=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:9f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<?n1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c65f?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8;750;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg21?3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm47794?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>=?7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a037=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo::f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<<h1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c66=?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi88950;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg22=3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm44194?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>>=7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a01`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:;d;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<=h1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c67=?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi89=50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2393:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm42d94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>8h7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a06d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:<9;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<:=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c601?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8>=50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2493:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm43f94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>9n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a07?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:=7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<;?1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c617?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8??50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg26n3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm40f94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>:n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a041=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:>5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<891<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c625?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8=h50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg27l3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm41`94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>;57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a051=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:?5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<9;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1eb?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?kj50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5aj3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3g;94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9m;7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7c3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=i3;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;o;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1fb?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?hl50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5b13:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3d594?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9n97>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7`5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=j1;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;ml1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1g`?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?il50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5c13:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3e794?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9o?7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7a7=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=lf;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;jn1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1`f?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?n750;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5d?3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3b794?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9h?7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7g`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=md;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;kh1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1a=?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?o950;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5e=3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3c194?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9i=7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7d`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=nd;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;h31<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1b3?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?l;50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5f;3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3`394?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk92j7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7<b=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=6b;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;031<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1:3?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?4=50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5>93:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm39d94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk93h7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7=d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=79;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;1=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c1;1?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?5=50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5?93:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm36f94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9<n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a72?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=87;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e;>?1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c147?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?:?50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg51n3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm37f94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9=n7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a133=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;93;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=?;1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c76b?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi98j50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg32j3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm54;94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk?>;7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a103=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;:3;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e==l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c77`?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi99l50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg3313:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm55594?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk??97>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a115=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;;1;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=:l1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c70`?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi9>750;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg34?3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm52794?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk?8?7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a167=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;=f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=;n1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c71f?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi9?750;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg35?3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm53194?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk?9=7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a14`=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;>d;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=8h1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c72=?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi9<950;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg36=3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm50194?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk?:=7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a15b=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo;?b;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=931<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c733?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi9=;50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg37;3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm51394?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>mj7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0cb=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:ib;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<o=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6e1?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8k=50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2a93:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm4dd94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>nh7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0`d=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:j9;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<l=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6f1?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8h?50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2cn3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm4ef94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>on7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0a?=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:k7;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<m?1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6g7?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi8i?50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2dn3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm4b`94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>h57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0f1=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:l5;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<j91<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c6a1?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi84h50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg2?13:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm46194?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>>h7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a013=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:=f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<831<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c637?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi?hj50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg5c?3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm3b394?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk9jn7>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a7<3=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo=8f;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e=?=1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c765?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wi9>l50;194?6|,:<<6<lj;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900c?:9:188yg35=3:1?7>50z&022<6jl1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>i5<?0;66sm51d94?5=83:p(>88:0`f?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44o365>5<<uk>m57>53;294~"4>>0:nh5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722e98;4?::a0`5=8391<7>t$244>4db3A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188k7212900qo:ld;297?6=8r.8::4>bd9K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66a=4783>>{e<==1<7=50;2x 60028hn7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<g;>=6=44}c15=?6=;3:1<v*<6682f`=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::m103<722wih>k50;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdc;o0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cf74?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vni:>:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{el=81<7o50;2x 6002;<;7E?:029K51cf3S=26<u<2;'66b=9<<m7)?j2;68m2`=831bnh4?::k4g?6=3f8?:7>5;n07<?6=3`9o6=4+25097f=i:=;1<65f3c83>!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zjm>86=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<ukn?87>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3tho884?:883>5}#;?=1>8h4H0737>N6<lk0(?=k:075b>"6m;097d=;:188m63=831b?;4?::k03?6=3`=h6=44i6d94?=njl0;66a=4783>>i5<>0;66sme1494?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn<:4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygc7=3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbd2;>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cg3=?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f4d<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa5d=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl:?6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aafe=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pljce83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<ukohn7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`eb290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygcdn3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbl90;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{emm;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnhmn:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{en9<1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`e42<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoh?5;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjo:36=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<ukl;57>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thm<l4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wij=l50;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbg27>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wij<650;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xda900;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cd23?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnk?n:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoh>b;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pli1b83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76smf0f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fc71290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smf3c94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thm>o4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg`513:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbg0`>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cd1`?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`e6`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:ab7`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjo836=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::ab6e=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pli3e83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<ukl8n7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fc5b290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598yg`4n3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xda<90;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{en=;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnk=n:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{en=o1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`e0c<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoh;d;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjo?;6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<ukl>=7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thm9?4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wij8=50;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbg6`>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wij;>50;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xda>80;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cd6b?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnk8=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoh93;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pli6583>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76smf7794??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fc3b290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smf6094?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thm;>4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg`093:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbg57>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cd41?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`e33<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:ab21=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjo=;6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a`04=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plk5283>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<ukn>=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fa33290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygb2=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdc=?0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{el<=1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vni;?:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{el?>1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`g20<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoj93;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjm<=6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<ukn=;7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3tho:54?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wih;750;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbe41>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wih:850;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdc?>0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cf41?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vni97:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoj89;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plk7`83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76smd6`94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fa13290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smd9:94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3tho444?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygb??3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbe:b>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cf;f?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`g<f<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:a`=b=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjm2=6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a`<g=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plk9c83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<ukn257>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fa?d290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygb>l3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdc1l0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{el0l1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vni77:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{elhi1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`gea<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qojnb;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjmkn6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uknjj7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thon=4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wiho?50;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbecb>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wihok50;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdcjo0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cfa`?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnim?:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qojl1;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plkc383>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76smdb194??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~fadd290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smde294?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thoh<4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygbdn3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbef1>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cfg7?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`g`1<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:a`a3=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjmin6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a``4=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plke283>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<uknn=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~fac3290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygbb=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdcm?0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{ell=1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnik?:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{elo>1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`gb0<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoji3;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjml=6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uknm;7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thoj54?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wihk750;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbed1>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wii<650;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdb900;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cg23?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnh?n:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qok>b;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plj1b83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76sme0f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`71290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sme3c94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn>o4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygc513:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbd0`>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cg1`?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f6`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa7`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl836=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aa6e=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plj3e83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<uko8n7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`5b290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygc4n3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdb<90;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{em=;1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnh=n:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{em=o1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`f0c<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qok;d;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjl?;6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uko>=7>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thn9?4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wii8=50;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbd6`>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wii;>50;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdb>80;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cg6b?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnh8=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qok93;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07plj6583>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76sme7794??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`3b290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sme6094?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thn;>4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygc093:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbd57>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cg41?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`f33<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aa21=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjl=;6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aa=2=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17plj8483>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<uko3?7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`>1290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygc??3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdb010;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{em131<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnh6=:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{em0<1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`f=2<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qok65;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjl336=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uko257>5a;294~"4>>09:=5G1420?M73mh1Q;44>{209y!44l3;>:k5+1d090>o0n3:17dlj:188m2e=831d>9850;9l61>=831b?i4?:%076?5d3g8?=7>4;h1a>5<#:=81?n5a25395>=n;h0;6)<;2;1`?k4393807d=6:18'614=;j1e>9?53:9j7=<72-8?>7=l;o075?2<3thn5l4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wii4l50;;94?6|,:<<6?;i;I3646=O9=oj7)<<d;362c=#9l81>6g<4;29?l522900e>850;9j72<722c<o7>5;h5e>5<<ako1<75`25494?=h:==1<75rbd;7>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wiil650;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdbi00;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cgb3?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vnhon:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qoknb;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pljab83>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76sme`f94??=83:p(>88:37e?M728:1C=9kn;%00`?72>o1/=h<52:k00?6=3`9>6=44i2494?=n;>0;66g8c;29?l1a2900eok50;9l610=831d>9950;9~f`g1290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66smecc94?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3thnno4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188ygce13:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rbd``>5<f290;w)=97;054>N6=990D<:ja:X4=?7|;;0v(?=k:075b>"6m;0?7d9i:188mgc=831b;n4?::m103<722e9854?::k0`?6=,;>96>m4n362>5=<a:h1<7*=4380g>h5<80:76g<a;29 7252:i0b?:>:398m6?=83.98?4<c:l104<432c847>5$361>6e<f;>:6954}cga`?6=i3:1<v*<668125=O9<:87E?;e`9Y3<<6s:81q)<<d;362c=#9l8186g8f;29?ldb2900e:m50;9l610=831d>9650;9j7a<72-8?>7=l;o075?6<3`9i6=4+25097f=i:=;1=65f3`83>!43:39h7c<;1;08?l5>290/>9<53b9m617=;21b?54?:%076?5d3g8?=7:4;|`ff`<72h0;6=u+3759636<@8?;?6F>4dc8^2?=9r996p*=3e8213`<,8o9695f7g83>>oem3:17d9l:188k7212900c?:7:188m6b=83.98?4<c:l104<732c8n7>5$361>6e<f;>:6<54i2c94?"5<;08o6`=4081?>o413:1(?:=:2a8j7262:10e>650;&107<4k2d98<4;;:aag`=8331<7>t$244>73a3A;><>5G15gb?!44l3;>:k5+1d096>o4<3:17d=::188m60=831b?:4?::k4g?6=3`=m6=44icg94?=h:=<1<75`25594?=zjlh36=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aaac=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pljdg83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<ukooh7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f`c7290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygcb93:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbm;0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{eml91<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vnhjl:187>5<7s-9=;7<<2:J2155<@8>nm6*=3e8213`<a>o1<75fc283>>o5=?0;66a=2883>>{emll1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`fb7<72=0;6=u+3759664<@8?;?6F>4dc8 75c28?=j6g8e;29?le42900e?;9:188k74>2900qoki3;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zjll:6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::aac2=83k1<7>t$244>7073A;><>5G15gb?_1>28q8>7s+22f9500a3-;n>7:4i6d94?=njl0;66g8c;29?j43>3:17b<;8;29?l5c290/>9<53b9m617=821b?o4?:%076?5d3g8?=7?4;h1b>5<#:=81?n5a25396>=n;00;6)<;2;1`?k4393907d=7:18'614=;j1e>9?54:9~f``2290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598ygca>3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xdbn>0;644?:1y'731=:<l0D<;?3:J20`g<,;9o6<;9f:&2a7<53`9?6=44i2794?=n;?0;66g<7;29?l1d2900e:h50;9jf`<722e98;4?::m102<722wiik>50;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xdbml0;694?:1y'731=9j=0D<;?3:J20`g<,;9o6<;9f:&2a7<682c<m7>5;h`f>5<<a;?=6=44o365>5<<ukk2o7>53;294~"4>>09>i5G1420?M73mh1/>>j5144e?!7b:3;i7)<;3;364`=n9m>1<75f1e794?=h::i1<75rb`4g>5<2290;w)=97;01b>N6=990D<:ja:&17a<6=?l0(<k=:c9j5a2=831b=i;50;9j5a0=831b=i950;9l66e=831vnl8i:186>5<7s-9=;7<=f:J2155<@8>nm6*=3e8213`<,8o96<l?;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00g?6=3thj;=4?:483>5}#;?=1>?h4H0737>N6<lk0(?=k:075b>"6m;09m6g>d583>>o6l<0;66g>d783>>o6l>0;66a=3b83>>{ei>;1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=9kk0e<j;:188m4b22900e<j9:188m4b02900c?=l:188ygg0:3:197>50z&022<5:o1C=8><;I37ad=#::n1=88i;%3f6?7e02c:h94?::k2`0<722c:h;4?::k2`2<722e9?n4?::ae25=83?1<7>t$244>74a3A;><>5G15gb?!44l3;>:k5+1d09=>o6l=0;66g>d483>>o6l?0;66g>d683>>i5;j0;66sma6694?3=83:p(>88:30e?M728:1C=9kn;%00`?72>o1/=h<52:k2`1<722c:h84?::k2`3<722c:h:4?::m17f<722wim:;50;794?6|,:<<6?<i;I3646=O9=oj7)<<d;362c=#9l8146g>d583>>o6l<0;66g>d783>>o6l>0;66a=3b83>>{ei><1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=9jk0e<j;:188m4b22900e<j9:188m4b02900c?=l:188ygg0?3:197>50z&022<5:o1C=8><;I37ad=#::n1=88i;%3f6?753`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3f88o7>5;|`b2`<72<0;6=u+375967`<@8?;?6F>4dc8 75c28?=j6*>e38e?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44k3:17plncb83>7<729q/?;952348L437;2B:8ho4$31g>431n2c:h>4?::m17f<722wimll50;194?6|,:<<6?<k;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=n9m?1<75`22a94?=zjh3i6=4<:183!51?389h6F>5118L42bi2.9?i4>57d8 4c52;30(?:<:073g>o6l=0;66g>d483>>i5;j0;66smab`94?5=83:p(>88:30g?M728:1C=9kn;%00`?72>o1/=h<51`g8 72428?;i6g>d583>>o6l<0;66a=3b83>>{eij>1<7;50;2x 6002;8m7E?:029K51cf3-88h7?:6g9'5`4=m2.98>4>51a8m4b32900e<j::188m4b12900e<j8:188k75d2900qoo69;293?6=8r.8::4=309K50643A;?il5+1d095g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44i0f:>5<<g;9h6=44}cc:e?6=?3:1<v*<668174=O9<:87E?;e`9'5`4=9kl0e<j;:188m4b22900e<j9:188m4b02900e<j7:188m4b>2900c?=l:188ygg>03:187>50z&022<5;:1C=8><;I37ad=n9m>1<75f1e794?=n:<<1<75`22a94?=zjhi=6=4;:183!51?3;h>6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44o365>5<<ukkh;7>54;294~"4>>0:o?5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;n072?6=3thjo84?:583>5}#;?=1=n;4H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188mgc=831b>9750;9l610=831vnlo=:186>5<7s-9=;7?l1:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17d7::188mgc=831d>9850;9~fd?c290?6=4?{%153?7d=2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66gme;29?l4313:17b<;6;29?xdfi80;684?:1y'731=9j;0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=n1<0;66gme;29?j43>3:17plna183>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>i5<?0;66smb0194?2=83:p(>88:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb`;4>5<3290;w)=97;013>N6=990D<:ja:&17a<6=?l0(<k=:09j=0<722cii7>5;h3g5?6=3f8?:7>5;|`bga<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wimnk50;794?6|,:<<6<m9;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?l1d2900eok50;9j61?=831d>9850;9~fd1?290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qoo89;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xdf?h0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i5<?0;66sma6`94?3=83:p(>88:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zjh=h6=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}cc4`?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3thj;h4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae2`=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vnl6?:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188ygg?93:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17d<;9;29?j43>3:17pln9583>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>i5<?0;66sma`c94?2=83:p(>88:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb`c:>5<3290;w)=97;3`6>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722c<o7>5;h`f>5<<g;>=6=44}ccf1?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfm;0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}ccf=?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfm?0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}ccf`?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfmh0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cce5?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfml0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cce1?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfn;0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cce=?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfn?0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}cce`?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfnh0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}c`35?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfnl0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}c`31?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xde8;0;694?:1y'731=::80D<;?3:J20`g<,;9o6<;9f:k4a?6=3`i86=44i375>5<<g;826=44}c`3<?6=;3:1<v*<6682154<@8?;?6F>4dc8 75c28?=j6g8e;29?l4503:17b<<c;29?xdfm=0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wimh650;094?6|,:<<6?<l;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=h::i1<75rb`g`>5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(<k=:0`8m4b32900c?=l:188ygga83:1>7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3thjj94?:383>5}#;?=1>?m4H0737>N6<lk0(?=k:075b>"6m;0:n6g>d583>>i5;j0;66smag:94?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~fd`d29096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d<a8n?6=44o31`>5<<ukh;<7>52;294~"4>>09>n5G1420?M73mh1/>>j5144e?!7b:3;i7d?k4;29?j44k3:17plm0583>7<729q/?;9523a8L437;2B:8ho4$31g>431n2.:i?4>b:k2`1<722e9?n4?::af51=8381<7>t$244>74d3A;><>5G15gb?!44l3;>:k5+1d095g=n9m>1<75`22a94?=zjho86=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}ccf3?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3thjio4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae``=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vnlh<:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188ygga?3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17d<;9;29?j43>3:17plnfc83>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>o5<00;66a=4783>>{eiol1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75f25;94?=h:=<1<75rbc20>5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722c<o7>5;h`f>5<<a;>26=44o365>5<<ukh;:7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`417<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?=l1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`413<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<91<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`41d<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<=1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`41`<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<h1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`427<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<l1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`423<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??91<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`42d<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??=1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`42`<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??h1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`437<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e??l1<7:50;2x 6002;997E?:029K51cf3-88h7?:6g9j3`<722ch?7>5;h062?6=3f8957>5;|`430<72:0;6=u+375950653A;><>5G15gb?!44l3;>:k5f7d83>>o5:10;66a=3b83>>{e?<;1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn:;::181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o96<l4i0f7>5<<g;9h6=44}c56=?6=:3:1<v*<66816f=O9<:87E?;e`9'66b=9<<m7)?j2;3a?l7c<3:17b<<c;29?xd0=m0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wi;;?50;094?6|,:<<6?<l;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=h::i1<75rb646>5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(<k=:0`8m4b32900c?=l:188yg1113:1>7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th<:i4?:383>5}#;?=1>?m4H0737>N6<lk0(?=k:075b>"6m;0:n6g>d583>>i5;j0;66sm76394?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f21329096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d<a8n?6=44o31`>5<<uk=><7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`411<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi;8650;794?6|,:<<6<m9;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?l1d2900eok50;9j61?=831d>9850;9~f23d290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo990;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd0>=0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i5<?0;66sm77:94?3=83:p(>88:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj><h6=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}c544?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3th<;>4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::ae0e=8391<7>t$244>74c3A;><>5G15gb?!44l3;>:k5+1d096<=n9m>1<75f1e794?=h::i1<75rb`7a>5<3290;w)=97;01a>N6=990D<:ja:&17a<6=?l0(<k=:0`2?l7c<3:17d?k5;29?l7c>3:17b<<c;29?xdf=h0;684?:1y'731=:;l0D<;?3:J20`g<,;9o6<;9f:&2a7<6kk1/>9=5142e?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44k3:17pln3383>1<729q/?;951b58L437;2B:8ho4$31g>431n2.:i?4>0:k4e?6=3`hn6=44i375>5<<g;>=6=44}cc05?6=<3:1<v*<6682g2=O9<:87E?;e`9'66b=9<<m7)?j2;33?l1f2900eok50;9j600=831d>9850;9~fd57290?6=4?{%153?7d?2B:9==4H06fe>"5;m0:9;h4$0g1>46<a>k1<75fbd83>>o5=?0;66a=4783>>{ei;l1<7:50;2x 60028i<7E?:029K51cf3-88h7?:6g9'5`4=991b;l4?::kaa?6=3`8>:7>5;n072?6=3thj>h4?:583>5}#;?=1=n94H0737>N6<lk0(?=k:075b>"6m;0:<6g8a;29?ldb2900e?;9:188k7212900qoo=d;290?6=8r.8::4>c69K50643A;?il5+22f9500a3-;n>7??;h5b>5<<ako1<75f24494?=h:=<1<75rb`0`>5<3290;w)=97;3`3>N6=990D<:ja:&17a<6=?l0(<k=:028m2g=831bnh4?::k113<722e98;4?::ae7d=83>1<7>t$244>4e03A;><>5G15gb?!44l3;>:k5+1d0955=n?h0;66gme;29?l42>3:17b<;6;29?xdf<h0;6>4?:1y'731=:;n0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::k2`0<722e9?n4?::ae1`=83>1<7>t$244>4e53A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831d>9850;9~fd2b290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188ygg283:197>50z&022<6k81C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>o>=3:17dlj:188k7212900qoo;b;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i5<?0;66sma4394?3=83:p(>88:0a2?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44i8794?=njl0;66a=4783>>{ei:=1<7=50;2x 6002;8o7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9j5a3=831d>>m50;9~fd5b290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188ygg4l3:187>50z&022<6k;1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17b<;6;29?xdf;j0;694?:1y'731=9j80D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66a=4783>>{ei:h1<7:50;2x 60028i97E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75`25494?=zjh9m6=4::183!51?3;h=6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`3>6=44icg94?=h:=<1<75rb`1;>5<3290;w)=97;3`1>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722cii7>5;h07=?6=3f8?:7>5;|`b05<72<0;6=u+37595f7<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9j=0<722cii7>5;n072?6=3th3hl4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wi4h=50;c94?6|,:<<6?8?;I3646=O9=oj7W96:0y06?{#::n1=88i;%3f6?2<a>l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5k=:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6na;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7a883>d<729q/?;952728L437;2B:8ho4Z6;95~552t.9?i4>57d8 4c52=1b;k4?::kaa?6=3`=h6=44o365>5<<g;>36=44i2f94?"5<;08o6`=4083?>o4j3:1(?:=:2a8j7262810e>o50;&107<4k2d98<4=;:k0=?6=,;>96>m4n362>6=<a:21<7*=4380g>h5<80?76sm8`:94?2=83:p(>88:310?M728:1C=9kn;%00`?72>o1b=i:50;9j5a3=831b>8850;9l66e=831vn5jk:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6kc;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7dc83>1<729q/?;952218L437;2B:8ho4$31g>431n2c:h94?::k2`0<722c99;4?::m17f<722wi4o?50;c94?6|,:<<6?8?;I3646=O9=oj7W96:0y06?{#::n1=88i;%3f6?2<a>l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5l?:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6nf;290?6=8r.8::4=329K50643A;?il5+22f9500a3`;o87>5;h3g1?6=3`8>:7>5;n00g?6=3th3oh4?:`83>5}#;?=1>;>4H0737>N6<lk0V:751z11>x"5;m0:9;h4$0g1>1=n?o0;66gme;29?l1d2900c?:9:188k72?2900e>j50;&107<4k2d98<4?;:k0f?6=,;>96>m4n362>4=<a:k1<7*=4380g>h5<80976g<9;29 7252:i0b?:>:298m6>=83.98?4<c:l104<332wi4nj50;c94?6|,:<<6?8?;I3646=O9=oj7W96:0y06?{#::n1=88i;%3f6?2<a>l1<75fbd83>>o0k3:17b<;6;29?j4303:17d=k:18'614=;j1e>9?50:9j7g<72-8?>7=l;o075?7<3`9j6=4+25097f=i:=;1>65f3883>!43:39h7c<;1;18?l5?290/>9<53b9m617=<21vn5ml:18b>5<7s-9=;7<90:J2155<@8>nm6T89;3x77<z,;9o6<;9f:&2a7<33`=m6=44icg94?=n?j0;66a=4783>>i5<10;66g<d;29 7252:i0b?:>:198m6d=83.98?4<c:l104<632c8m7>5$361>6e<f;>:6?54i2;94?"5<;08o6`=4080?>o403:1(?:=:2a8j7262=10qo6lb;29e?6=8r.8::4=619K50643A;?il5U788264=u-88h7?:6g9'5`4=<2c<j7>5;h`f>5<<a>i1<75`25494?=h:=21<75f3e83>!43:39h7c<;1;28?l5e290/>9<53b9m617=921b?l4?:%076?5d3g8?=7<4;h1:>5<#:=81?n5a25397>=n;10;6)<;2;1`?k4393>07pl7c983>1<729q/?;952218L437;2B:8ho4$31g>431n2c:h94?::k2`0<722c99;4?::m17f<722wi4n750;694?6|,:<<6?=<;I3646=O9=oj7)<<d;362c=n9m>1<75f1e794?=n:<<1<75`22a94?=zj1ij6=4;:183!51?38886F>5118L42bi2.9?i4>57d8m4b32900e<j::188m7312900c?=l:188yg>ek3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd?jk0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{e0kk1<7o50;2x 6002;<;7E?:029K51cf3S=26<u<2;'66b=9<<m7)?j2;68m2`=831bnh4?::k4g?6=3f8?:7>5;n07<?6=3`9o6=4+25097f=i:=;1<65f3c83>!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj1h26=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uk2i:7>54;294~"4>>09?>5G1420?M73mh1/>>j5144e?l7c<3:17d?k5;29?l42>3:17b<<c;29?xd?j>0;694?:1y'731=::90D<;?3:J20`g<,;9o6<;9f:k2`1<722c:h84?::k113<722e9?n4?::a<g>=83>1<7>t$244>7533A;><>5G15gb?!44l3;>:k5f1e694?=n9m?1<75f24494?=h::i1<75rb9g2>5<>290;w)=97;06b>N6=990D<:ja:&17a<6=?l0(<k=:39j71<722c897>5;h15>5<<a:=1<75f7b83>>o0n3:17dlj:188k7212900c?:8:188yg>c13:157>50z&022<5=o1C=8><;I37ad=#::n1=88i;%3f6?4<a:>1<75f3483>>o4>3:17d=8:188m2e=831b;k4?::kaa?6=3f8?:7>5;n073?6=3thj=?4?:583>5}#;?=1>?k4H0737>N6<lk0(?=k:075b>"6m;0:o6g>d583>>o6l<0;66g>d783>>i5;j0;66sm8`594?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<<g;>=6=44}c:`3?6=<3:1<v*<6682g0=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::k10<<722e98;4?::a<g3=83>1<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188ygg6<3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>o5<00;66a=4783>>{ei891<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<a;>26=44o365>5<<uk2j:7>54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi4l;50;694?6|,:<<6<m:;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900e?:6:188k7212900qoo>1;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xdf9?0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i5<?0;66sma0794?3=83:p(>88:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj0?26=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}c;62?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3th29?4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a=1c=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vn4:n:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188yg?3>3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17d<;9;29?j43>3:17pl64383>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>o5<00;66a=4783>>{e1:o1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75f25;94?=h:=<1<75rb81b>5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722c<o7>5;h`f>5<<a;>26=44o365>5<<uk38:7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`:1d<72;0;6=u+375967e<@8?;?6F>4dc8 75c28?=j6*>e382f>o6l=0;66a=3b83>>{e1<=1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn4;<:181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o96<l4i0f7>5<<g;9h6=44}c;7b?6=:3:1<v*<66816f=O9<:87E?;e`9'66b=9<<m7)?j2;3a?l7c<3:17b<<c;29?xd><k0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wi59950;094?6|,:<<6?<l;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=h::i1<75rb860>5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(<k=:0`8m4b32900c?=l:188yg?4n3:1>7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th2?o4?:383>5}#;?=1>?m4H0737>N6<lk0(?=k:075b>"6m;0:n6g>d583>>i5;j0;66sm92594?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f<3e29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3>97>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3>=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3329086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3?h7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<3729086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3?57>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2d29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3?97>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3?=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2329086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk38h7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<2729086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3857>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<5d29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk3897>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<5?29086=4?{%153?728;1C=8><;I37ad=#::n1=88i;h5f>5<<a;836=44o31`>5<<uk32h7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`:=d<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi54850;794?6|,:<<6<m9;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?l1d2900eok50;9j61?=831d>9850;9~f<?5290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo77e;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd>0h0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i5<?0;66sm99494?3=83:p(>88:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj0296=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}c;4a?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3th2;l4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a=<c=8381<7>t$244>74d3A;><>5G15gb?!44l3;>:k5+1d095g=n9m>1<75`22a94?=zj03i6=4=:183!51?389o6F>5118L42bi2.9?i4>57d8 4c528h0e<j;:188k75d2900qo767;296?6=8r.8::4=2b9K50643A;?il5+22f9500a3-;n>7?m;h3g0?6=3f88o7>5;|`:=6<72;0;6=u+375967e<@8?;?6F>4dc8 75c28?=j6*>e382f>o6l=0;66a=3b83>>{e11l1<7<50;2x 6002;8h7E?:029K51cf3-88h7?:6g9'5`4=9k1b=i:50;9l66e=831vn46m:181>5<7s-9=;7<=c:J2155<@8>nm6*=3e8213`<,8o96<l4i0f7>5<<g;9h6=44}c;;3?6=:3:1<v*<66816f=O9<:87E?;e`9'66b=9<<m7)?j2;3a?l7c<3:17b<<c;29?xd>0:0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wi5:h50;094?6|,:<<6?<l;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=h::i1<75rb85a>5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(<k=:0`8m4b32900c?=l:188yg?>n3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2544?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?>k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2584?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?>03:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th25<4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?><3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th24i4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?>83:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2444?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg??k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2484?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg??03:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th24<4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg??<3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2;i4?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg??83:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2;44?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?0k3:1?7>50z&022<6=980D<;?3:J20`g<,;9o6<;9f:k4a?6=3`8947>5;n00g?6=3th2>=4?:583>5}#;?=1>?k4H0737>N6<lk0(?=k:075b>"6m;0<n6g>d583>>o6l<0;66g>d783>>i5;j0;66sm90f94?2=83:p(>88:30f?M728:1C=9kn;%00`?72>o1/=h<57c9j5a2=831b=i;50;9j5a0=831d>>m50;9~f<7f290?6=4?{%153?45m2B:9==4H06fe>"5;m0:9;h4$0g1>2d<a8n?6=44i0f6>5<<a8n=6=44o31`>5<<uk3:;7>54;294~"4>>09>h5G1420?M73mh1/>>j5144e?!7b:3=i7d?k4;29?l7c=3:17d?k6;29?j44k3:17pl61583>1<729q/?;9523g8L437;2B:8ho4$31g>431n2.:i?48b:k2`1<722c:h84?::k2`3<722e9?n4?::a=47=83>1<7>t$244>74b3A;><>5G15gb?!44l3;>:k5+1d093g=n9m>1<75f1e794?=n9m<1<75`22a94?=zj0:n6=4;:183!51?389i6F>5118L42bi2.9?i4>57d8 4c52>h0e<j;:188m4b22900e<j9:188k75d2900qo7?b;290?6=8r.8::4=2d9K50643A;?il5+22f9500a3-;n>79m;h3g0?6=3`;o97>5;h3g2?6=3f88o7>5;|`:4=<72=0;6=u+375967c<@8?;?6F>4dc8 75c28?=j6*>e384f>o6l=0;66g>d483>>o6l?0;66a=3b83>>{e19?1<7:50;2x 6002;8n7E?:029K51cf3-88h7?:6g9'5`4=?k1b=i:50;9j5a3=831b=i850;9l66e=831vn4om:180>5<7s-9=;7<=d:J2155<@8>nm6*=3e8213`<,8o96>=4$360>437l2c:h94?::k2`0<722e9?n4?::a=dg=8391<7>t$244>74c3A;><>5G15gb?!44l3;>:k5+1d0976=#:=91=8>k;h3g0?6=3`;o97>5;n00g?6=3th2<>4?:983>5}#;?=1>8k4H0737>N6<lk0(?=k:075b>"6m;097d=;:188m63=831b?;4?::k03?6=3`=h6=44i6d94?=njl0;66a=4783>>{e0o31<7:50;2x 60028i97E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75`25494?=zj1l36=4;:183!51?3;h>6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44o365>5<<uk2m;7>54;294~"4>>0:o?5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;n072?6=3th3j;4?:583>5}#;?=1=n<4H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::m103<722wi4k;50;694?6|,:<<6<m=;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?l1d2900eok50;9l610=831vn5h;:187>5<7s-9=;7?l2:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188k7212900qo7?2;290?6=8r.8::4>c39K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?j43>3:17pl60083>1<729q/?;951b08L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>i5<?0;66sm91294?2=83:p(>88:0a1?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=h:=<1<75rb9de>5<3290;w)=97;3`6>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722c<o7>5;h`f>5<<g;>=6=44}c:ea?6=<3:1<v*<6682g7=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3f8?:7>5;|`;ba<72=0;6=u+37595f4<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722e98;4?::a<ce=83>1<7>t$244>4e53A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831d>9850;9~f=`e290?6=4?{%153?7d:2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900c?:9:188yg>ai3:187>50z&022<6k;1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17b<;6;29?xd?n:0;694?:1y'731=9j80D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66a=4783>>{e18l1<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<a;>26=44o365>5<<uk39=7>54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5<m50;694?6|,:<<6<m:;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900e?:6:188k7212900qo7>e;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i5<?0;66sm90;94?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<<g;>=6=44}c;2f?6=<3:1<v*<6682g0=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::k10<<722e98;4?::a=40=83>1<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188yg?603:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>o5<00;66a=4783>>{e1891<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<a;>26=44o365>5<<uk3:97>54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5<>50;694?6|,:<<6<m:;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900e?:6:188k7212900qo7>2;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i5<?0;66sm91f94?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<<g;>=6=44}c;3b?6=<3:1<v*<6682g0=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831bnh4?::k10<<722e98;4?::a=5g=83>1<7>t$244>4e23A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17dlj:188m72>2900c?:9:188yg?7k3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>o5<00;66a=4783>>{e19=1<7:50;2x 60028i>7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h`f>5<<a;>26=44o365>5<<uk3;57>54;294~"4>>0:o85G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9jf`<722c9844?::m103<722wi5=:50;694?6|,:<<6<m:;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?ldb2900e?:6:188k7212900qo7?6;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i5<?0;66sm9e694?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3th2h84?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?c>3:187>50z&022<5;;1C=8><;I37ad=#::n1=88i;h5f>5<<aj91<75f24494?=h:;31<75rb8f4>5<3290;w)=97;006>N6=990D<:ja:&17a<6=?l0e:k50;9jg6<722c99;4?::m16<<722wi5i650;694?6|,:<<6?==;I3646=O9=oj7)<<d;362c=n?l0;66gl3;29?l42>3:17b<=9;29?xd>l00;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{e1mk1<7o50;2x 6002;<;7E?:029K51cf3S=26<u<2;'66b=9<<m7)?j2;68m2`=831bnh4?::k4g?6=3f8?:7>5;n07<?6=3`9o6=4+25097f=i:=;1<65f3c83>!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj0ni6=4n:183!51?38=<6F>5118L42bi2P<57?t338~ 75c28?=j6*>e387?l1a2900eok50;9j3f<722e98;4?::m10=<722c8h7>5$361>6e<f;>:6=54i2`94?"5<;08o6`=4082?>o4i3:1(?:=:2a8j7262;10e>750;&107<4k2d98<4<;:k0<?6=,;>96>m4n362>1=<uk3oo7>59;294~"4>>099k5G1420?M73mh1/>>j5144e?!7b:380e>:50;9j70<722c8:7>5;h14>5<<a>i1<75f7g83>>oem3:17b<;6;29?j43?3:17pl6c183>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<uk3h=7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<e5290?6=4?{%153?44:2B:9==4H06fe>"5;m0:9;h4i6g94?=nk:0;66g=5783>>i5:00;66sm9b194?2=83:p(>88:311?M728:1C=9kn;%00`?72>o1b;h4?::k`7?6=3`8>:7>5;n01=?6=3th2o94?:583>5}#;?=1>><4H0737>N6<lk0(?=k:075b>o0m3:17dm<:188m7312900c?<6:188yg?d=3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd>k?0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{e1j=1<7o50;2x 6002;<;7E?:029K51cf3S=26<u<2;'66b=9<<m7)?j2;68m2`=831bnh4?::k4g?6=3f8?:7>5;n07<?6=3`9o6=4+25097f=i:=;1<65f3c83>!43:39h7c<;1;38?l5f290/>9<53b9m617=:21b?44?:%076?5d3g8?=7=4;h1;>5<#:=81?n5a25390>=zj0i36=46:183!51?38>j6F>5118L42bi2.9?i4>57d8 4c52;1b?94?::k01?6=3`9=6=44i2594?=n?j0;66g8f;29?ldb2900c?:9:188k7202900qo7nc;290?6=8r.8::4=339K50643A;?il5+22f9500a3`=n6=44ib194?=n:<<1<75`23;94?=zj0ko6=4;:183!51?388>6F>5118L42bi2.9?i4>57d8m2c=831bo>4?::k113<722e9>44?::a=dc=83>1<7>t$244>7553A;><>5G15gb?!44l3;>:k5f7d83>>od;3:17d<:6;29?j4513:17pl6ag83>1<729q/?;952208L437;2B:8ho4$31g>431n2c<i7>5;ha0>5<<a;?=6=44o30:>5<<uk3i<7>54;294~"4>>09??5G1420?M73mh1/>>j5144e?l1b2900en=50;9j600=831d>?750;9~f<d6290j6=4?{%153?4182B:9==4H06fe>\013;p??4r$31g>431n2.:i?4;;h5e>5<<ako1<75f7b83>>i5<?0;66a=4983>>o4l3:1(?:=:2a8j7262910e>l50;&107<4k2d98<4>;:k0e?6=,;>96>m4n362>7=<a:31<7*=4380g>h5<80876g<8;29 7252:i0b?:>:598yg?e:3:1m7>50z&022<5>91C=8><;I37ad=]?00:w><5}%00`?72>o1/=h<54:k4b?6=3`hn6=44i6a94?=h:=<1<75`25:94?=n;m0;6)<;2;1`?k4393:07d=m:18'614=;j1e>9?51:9j7d<72-8?>7=l;o075?4<3`926=4+25097f=i:=;1?65f3983>!43:39h7c<;1;68?xd>j:0;6l4?:1y'731=:?:0D<;?3:J20`g<R>31=v==:|&17a<6=?l0(<k=:59j3c<722cii7>5;h5`>5<<g;>=6=44o36;>5<<a:n1<7*=4380g>h5<80;76g<b;29 7252:i0b?:>:098m6g=83.98?4<c:l104<532c857>5$361>6e<f;>:6>54i2:94?"5<;08o6`=4087?>{e1k>1<7750;2x 6002;?m7E?:029K51cf3-88h7?:6g9'5`4=:2c887>5;h16>5<<a:<1<75f3683>>o0k3:17d9i:188mgc=831d>9850;9l611=831vn;6=:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c44b?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;69:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4;7?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;6n:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4;3?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;6j:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4;f?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;7=:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4;b?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;79:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4:7?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;7n:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4:3?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;7j:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4:f?6=<3:1<v*<668177=O9<:87E?;e`9'66b=9<<m7d9j:188mf5=831b>8850;9l67?=831vn;o>:180>5<7s-9=;7?:039K50643A;?il5+22f9500a3`=n6=44i30;>5<<g;9h6=44}c4;5?6=:3:1<v*<66816f=O9<:87E?;e`9'66b=9<<m7)?j2;3a?l7c<3:17b<<c;29?xd10<0;6?4?:1y'731=:;i0D<;?3:J20`g<,;9o6<;9f:&2a7<6j2c:h94?::m17f<722wi:5750;094?6|,:<<6?<l;I3646=O9=oj7)<<d;362c=#9l81=o5f1e694?=h::i1<75rb7:g>5<5290;w)=97;01g>N6=990D<:ja:&17a<6=?l0(<k=:0`8m4b32900c?=l:188yg0>93:1>7>50z&022<5:j1C=8><;I37ad=#::n1=88i;%3f6?7e3`;o87>5;n00g?6=3th=584?:383>5}#;?=1>?m4H0737>N6<lk0(?=k:075b>"6m;0:n6g>d583>>i5;j0;66sm68;94?4=83:p(>88:30`?M728:1C=9kn;%00`?72>o1/=h<51c9j5a2=831d>>m50;9~f3?c29096=4?{%153?45k2B:9==4H06fe>"5;m0:9;h4$0g1>4d<a8n?6=44o31`>5<<uk<j<7>52;294~"4>>09>n5G1420?M73mh1/>>j5144e?!7b:3;i7d?k4;29?j44k3:17pl98183>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>o5<00;66a=4783>>{e>1>1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75f25;94?=h:=<1<75rb7:;>5<2290;w)=97;3`2>N6=990D<:ja:&17a<6=?l0(<k=:09j3d<722c<o7>5;h`f>5<<a;>26=44o365>5<<uk<3o7>55;294~"4>>0:o;5G1420?M73mh1/>>j5144e?!7b:3;0e:o50;9j3f<722cii7>5;h07=?6=3f8?:7>5;|`5=5<72<0;6=u+37595f0<@8?;?6F>4dc8 75c28?=j6*>e382?l1f2900e:m50;9jf`<722c9844?::m103<722wi:4:50;794?6|,:<<6<m9;I3646=O9=oj7)<<d;362c=#9l81=6g8a;29?l1d2900eok50;9j61?=831d>9850;9~f3??290>6=4?{%153?7d>2B:9==4H06fe>"5;m0:9;h4$0g1>4=n?h0;66g8c;29?ldb2900e?:6:188k7212900qo86c;291?6=8r.8::4>c79K50643A;?il5+22f9500a3-;n>7?4i6c94?=n?j0;66gme;29?l4313:17b<;6;29?xd11o0;684?:1y'731=9j<0D<;?3:J20`g<,;9o6<;9f:&2a7<63`=j6=44i6a94?=njl0;66g=4883>>i5<?0;66sm6`a94?3=83:p(>88:0a5?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`=h6=44icg94?=n:=31<75`25494?=zj?ko6=4::183!51?3;h:6F>5118L42bi2.9?i4>57d8 4c5281b;l4?::k4g?6=3`hn6=44i36:>5<<g;>=6=44}c4ba?6==3:1<v*<6682g3=O9<:87E?;e`9'66b=9<<m7)?j2;38m2g=831b;n4?::kaa?6=3`8?57>5;n072?6=3th=mk4?:483>5}#;?=1=n84H0737>N6<lk0(?=k:075b>"6m;0:7d9n:188m2e=831bnh4?::k10<<722e98;4?::a2g6=83?1<7>t$244>4e13A;><>5G15gb?!44l3;>:k5+1d095>o0i3:17d9l:188mgc=831b>9750;9l610=831vn;l>:186>5<7s-9=;7?l6:J2155<@8>nm6*=3e8213`<,8o96<5f7`83>>o0k3:17dlj:188m72>2900c?:9:188yg0e:3:197>50z&022<6k?1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75f7b83>>oem3:17d<;9;29?j43>3:17pl9b283>0<729q/?;951b48L437;2B:8ho4$31g>431n2.:i?4>;h5b>5<<a>i1<75fbd83>>o5<00;66a=4783>>{e>k>1<7;50;2x 60028i=7E?:029K51cf3-88h7?:6g9'5`4=92c<m7>5;h5`>5<<ako1<75f25;94?=h:=<1<75rb6:e>5<0290;w)=97;005>N6=990D<:ja:&2a7<6kl1b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~fd>5290?6=4?{%153?45m2B:9==4H06fe>"6m;0:mk5f1e694?=n9m?1<75f1e494?=h::i1<75rb``2>5<0290;w)=97;005>N6=990D<:ja:&2a7<6k11b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~fddf29086=4?{%153?45l2B:9==4H06fe>"6m;0:<6g>d583>>o6l<0;66a=3b83>>{eikh1<7950;2x 6002;9:7E?:029K51cf3-;n>7?m9:k2`1<722c:h84?::k2`3<722c:h:4?::k2`=<722c:h44?::m17f<722wimom50;794?6|,:<<6?<i;I3646=O9=oj7)?j2;a3?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44k3:17plnc083>3<729q/?;952228L437;2B:8ho4$0g1>g`<a8n?6=44i0f6>5<<a8n=6=44i0f4>5<<a8n36=44o31`>5<<ukkh>7>57;294~"4>>09?<5G1420?M73mh1/=h<51e28m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qool3;293?6=8r.8::4=309K50643A;?il5+1d09g>o6l=0;66g>d483>>o6l?0;66g>d683>>o6l10;66g>d883>>i5;j0;66smac494?1=83:p(>88:312?M728:1C=9kn;%3f6?7dn2c:h94?::k2`0<722c:h;4?::k2`2<722c:h54?::k2`<<722e9?n4?::aeg1=83>1<7>t$244>74b3A;><>5G15gb?!7b:3;jj6g>d583>>o6l<0;66g>d783>>i5;j0;66smac:94?0=83:p(>88:313?M728:1C=9kn;%3f6?563`;o87>5;h3g1?6=3`;o:7>5;h3g3?6=3`;o47>5;n00g?6=3thjn44?:683>5}#;?=1>>?4H0737>N6<lk0(<k=:6:8m4b32900e<j::188m4b12900e<j8:188m4b?2900e<j6:188k75d2900qoonc;290?6=8r.8::4>c49K50643A;?il5+22f9500a3-;n>7?4i6c94?=njl0;66g=4883>>i5<?0;66sma`g94?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<<g;>=6=44}ccbb?6=;3:1<v*<66816a=O9<:87E?;e`9'5`4=9ho0(?:<:073b>o6l=0;66g>d483>>i5;j0;66smb0694?2=83:p(>88:0a6?M728:1C=9kn;%00`?72>o1/=h<51:k4e?6=3`hn6=44i36:>5<<g;>=6=44}c`21?6=;3:1<v*<66816a=O9<:87E?;e`9'5`4=9ho0e<j;:188m4b22900c?=l:188ygg>:3:187>50z&022<6k<1C=8><;I37ad=#::n1=88i;%3f6?7<a>k1<75fbd83>>o5<00;66a=4783>>{ei091<7=50;2x 6002;8o7E?:029K51cf3-;n>7?ne:&106<6=8;0e<j;:188m4b22900c?=l:188yggem3:1;7>50z&022<5;81C=8><;I37ad=#9l81=ol4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<ukkij7>56;294~"4>>09?=5G1420?M73mh1/=h<51b;8m4b32900e<j::188m4b12900e<j8:188m4b?2900c?=l:188yggd83:1;7>50z&022<5;81C=8><;I37ad=#9l81=nj4i0f7>5<<a8n>6=44i0f5>5<<a8n<6=44i0f;>5<<a8n26=44o31`>5<<uk;:o=4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qo?<9783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8584?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg741=0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30=6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;85<4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg740o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30<`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;84n4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg740k0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30<d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8454?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg740>0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30<3<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;84>4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg740;0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30<4<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8;k4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74?l0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c303a<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7b83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8;o4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74?00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c303=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8;;4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74?<0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3031<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<7283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8;?4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74?80;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3035<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8:i4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74>j0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c302g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8:44?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74>10;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3022<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8:84?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74>:0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3027<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<6083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8:=4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74=o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c301`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;89n4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74=k0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c301d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;89;4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74=<0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3011<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;89?4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74=80;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3015<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4g83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;88h4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74<j0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c300g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8844?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74<10;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3002<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8884?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74<=0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3006<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<4083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;88=4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74;o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c307`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8?n4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74;k0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c307d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8?54?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74;?0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3070<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8?>4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74;;0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3074<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<3183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8>k4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74:l0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c306a<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2c83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8>l4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74:00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c306=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8>;4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74:<0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3061<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8>?4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74:90;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c305c<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8=i4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg749j0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c305g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8=44?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74910;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3052<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1483>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8=94?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg749:0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3057<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<1083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8==4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg748o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c304`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0e83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8<n4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg748h0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c304<<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8<:4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg748?0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3040<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8<>4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg748;0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3044<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=fg83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9jh4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg75nm0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31bf<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=fc83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9jl4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg75n00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31b=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=f683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9j;4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg75n=0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31b6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=f383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9j<4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg75n90;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31ac<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?=ed83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9ii4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg75mj0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31ag<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<e983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8i:4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74m?0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30a0<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<e583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8i>4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74m;0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30a4<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<e183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8hk4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74lm0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30`f<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<dc83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8hl4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74l00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30`=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<d683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8h;4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74l<0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30`1<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<d383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8h<4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74l90;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30gc<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<cd83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8oi4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74kj0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30gg<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<c`83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8o44?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74k>0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30g3<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<c483>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8o94?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74k:0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30g7<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<c083>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8o=4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74jo0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30f`<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<bb83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8no4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74jh0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30f<<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<b983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8n:4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74j?0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30f0<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<b583>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8n>4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74j80;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30f5<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<ag83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8mh4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74im0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30ef<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<ac83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8ml4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74i00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30e=<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<a783>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8m84?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74i=0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30e6<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<a383>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8m<4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74i90;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30=c<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9d83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;85i4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg741k0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30=d<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<9883>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8554?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg741>0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30=5<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<8483>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8;l4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74>o0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3021<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<5983>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;88i4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74<;0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c3072<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<2b83>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8><4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg749?0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c304g<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<0183>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;9j84?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74m00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30``<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<d283>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;8o54?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74jm0;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c30f7<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qo?<a683>6<729q/?;951cg8L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44o365>5<<uk;85n4?:283>5}#;?=1=ok4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900c?:9:188yg74=00;6>4?:1y'731=9ko0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<g;>=6=44}c31ad<72:0;6=u+37595gc<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188k7212900qom<e;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbbcg>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agc6=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddnk0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukn;:7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vni?>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smd0a94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g62<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb4:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm9o6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio9750;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll5583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca6b?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff0f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek>?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh4=4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom7b;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb;5>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agd7=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddij0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukii47>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnnm<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smcbg94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|```<<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeb<3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjoi6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wiohm50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pllee83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cafa?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffca290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{eko;1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhj?4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qomi3;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbbd7>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agc3=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddn?0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukim;7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnnh7:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smcg;94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``bd<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeak3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjlo6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wiokk50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pllfg83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cf34?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fa66290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{el981<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho<>4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoj?4;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbe26>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`51=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdc810;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukn;57>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vni>n:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smd1`94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g4f<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb7l3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm:n6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih=h50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plk1183>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cf26?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fa74290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{el8>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho=84?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoj>6;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbe34>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`4>=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdc900;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukn:m7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vni?m:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smd0f94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g5`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb6n3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm8;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih??50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plk2383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cf17?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fa43290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{el;?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho>;4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoj=8;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbe0:>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`7g=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdc:k0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukn9o7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vni<k:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smd3g94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`g6c<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygb483:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjm9:6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wih>=50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plk3583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cf01?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fa51290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{el:=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3tho?54?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoj<9;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbe1b>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::a`6d=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdc;j0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki8j7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn:?:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc5394?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``07<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge3;3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj>?6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio9;50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll4783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca73?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff2?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek=k1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh8o4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom;c;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb6g>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag1c=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd<o0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki><7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn;>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc4094?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``16<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge2=3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj?=6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio8950;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll5983>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca6=?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff3f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek<h1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh9n4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom:d;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb7f>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag36=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd>80;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki=>7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn8<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc7694?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``20<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge1>3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj<<6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio;650;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll6883>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca5f?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff0d290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek?n1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh:h4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom9f;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb53>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag27=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd?;0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki<?7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn9;:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc6494?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``32<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge003:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj=26=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio:o50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll7c83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca4g?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff1c290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek>o1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh;k4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom71;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb:1>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag=5=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd0=0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki397>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn69:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc9594?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``<=<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge?13:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj2j6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio5m50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll8e83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca;a?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff>a290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek0:1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh5<4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom62;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb;0>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag<2=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd1<0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki2;7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn77:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc8;94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``=d<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge>j3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj3h6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio4j50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll9d83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca:b?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffg7290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ekh81<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhm>4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qomn4;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbbc6>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agd0=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddi>0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukij47>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnno6:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc`c94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``eg<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygefm3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjkm6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioo>50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pllb083>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}caa6?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffd4290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ekk>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhn84?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qomm6;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb`4>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agg?=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddjh0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukiin7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnnll:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smccf94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``f`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeen3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjji;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wion?50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pllc383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca`0?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffe2290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ekj<1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thho:4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoml8;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbba:>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agfg=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddkk0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukiho7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnnmk:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smcbd94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|```5<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygec93:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjn96=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioi=50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plld583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}cag1?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffb1290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ekm=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhh54?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qomka;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbbfa>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::agae=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xddlm0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukioi7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnnji:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smcd294?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``a4<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygeb:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjjo86=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wioh;50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plle783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}caf3?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ffc?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ekl31<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thhil4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol<e;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbccg>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afc6=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdenk0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki;:7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn?>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc0a94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``62<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge4:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj9o6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win9750;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm5583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`6b?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg0f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej>?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi4=4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol7b;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc;5>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afd7=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdeij0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhi47>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnom<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smbbg94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a`<<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdb<3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkoi6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winhm50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plmee83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`fa?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fgca290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejo;1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thij?4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoli3;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbcd7>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afc3=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xden?0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhm;7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnoh7:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smbg;94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`abd<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdak3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjklo6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winkk50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plmfg83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca34?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff66290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek981<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh<>4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom?4;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb26>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag51=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd810;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki;57>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn>n:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc1`94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``4f<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge7l3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj:n6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio=h50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll1183>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca26?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff74290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek8>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh=84?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom>6;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb34>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag4>=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd900;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki:m7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn?m:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc0f94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``5`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge6n3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj8;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio??50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll2383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca17?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff43290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek;?1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh>;4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom=8;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb0:>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag7g=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd:k0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<uki9o7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnn<k:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smc3g94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|``6c<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188yge483:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjj9:6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wio>=50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17pll3583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}ca01?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~ff51290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ek:=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thh?54?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qom<9;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbb1b>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::ag6d=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdd;j0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh8j7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno:?:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb5394?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a07<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd3;3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk>?6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win9;50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm4783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`73?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg2?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej=k1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi8o4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol;c;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc6g>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af1c=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xde<o0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh><7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno;>:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb4094?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a16<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd2=3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk?=6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win8950;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm5983>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`6=?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg3f290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej<h1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi9n4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol:d;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc7f>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af36=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xde>80;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh=>7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno8<:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb7694?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a20<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd1>3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk<<6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win;650;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm6883>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`5f?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg0d290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej?n1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi:h4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol9f;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc53>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af27=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xde?;0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh<?7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno9;:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb6494?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a32<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd003:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk=26=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win:o50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm7c83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`4g?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg1c290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej>o1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi;k4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol71;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc:1>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af=5=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xde0=0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh397>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno69:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb9594?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a<=<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd?13:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk2j6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win5m50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm8e83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`;a?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fg>a290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ej0:1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thi5<4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qol62;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc;0>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::af<2=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xde1<0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukh2;7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vno77:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb8;94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a=d<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygd>j3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjk3h6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722win4j50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plm9d83>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`:b?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fgg7290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejh81<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thim>4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoln4;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbcc6>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afd0=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdei>0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhj47>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnoo6:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smb`c94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`aeg<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdfm3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkkm6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wino>50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plmb083>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`a6?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fgd4290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejk>1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thin84?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qolm6;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbc`4>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afg?=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdejh0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhin7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnoll:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smbcf94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`af`<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygden3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjki;6=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winn?50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plmc383>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c``0?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fge2290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejj<1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thio:4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoll8;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbca:>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::affg=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdekk0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhho7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnomk:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smbbd94?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`a`5<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdc93:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjkn96=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722wini=50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plmd583>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`g1?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fgb1290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejm=1<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thih54?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qolka;290?6=8r.8::4>c59K50643A;?il5+1d0955=#::n1=88i;h5b>5<<ako1<75f25694?=h:=<1<75rbcfa>5<3290;w)=97;3`0>N6=990D<:ja:&2a7<682.9?i4>57d8m2g=831bnh4?::k101<722e98;4?::afae=83>1<7>t$244>4e33A;><>5G15gb?!7b:3;;7)<<d;362c=n?h0;66gme;29?l43<3:17b<;6;29?xdelm0;694?:1y'731=9j>0D<;?3:J20`g<,8o96<>4$31g>431n2c<m7>5;h`f>5<<a;>?6=44o365>5<<ukhoi7>54;294~"4>>0:o95G1420?M73mh1/=h<5119'66b=9<<m7d9n:188mgc=831b>9:50;9l610=831vnoji:187>5<7s-9=;7?l4:J2155<@8>nm6*>e3824>"5;m0:9;h4i6c94?=njl0;66g=4583>>i5<?0;66smbd294?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`aa4<72=0;6=u+37595f2<@8?;?6F>4dc8 4c528:0(?=k:075b>o0i3:17dlj:188m7232900c?:9:188ygdb:3:187>50z&022<6k=1C=8><;I37ad=#9l81==5+22f9500a3`=j6=44icg94?=n:=>1<75`25494?=zjko86=4;:183!51?3;h86F>5118L42bi2.:i?4>0:&17a<6=?l0e:o50;9jf`<722c9894?::m103<722winh;50;694?6|,:<<6<m;;I3646=O9=oj7)?j2;33?!44l3;>:k5f7`83>>oem3:17d<;4;29?j43>3:17plme783>1<729q/?;951b68L437;2B:8ho4$0g1>46<,;9o6<;9f:k4e?6=3`hn6=44i367>5<<g;>=6=44}c`f3?6=<3:1<v*<6682g1=O9<:87E?;e`9'5`4=991/>>j5144e?l1f2900eok50;9j612=831d>9850;9~fgc?290?6=4?{%153?7d<2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>o5<=0;66a=4783>>{ejl31<7:50;2x 60028i?7E?:029K51cf3-;n>7??;%00`?72>o1b;l4?::kaa?6=3`8?87>5;n072?6=3thiil4?:583>5}#;?=1=n:4H0737>N6<lk0(<k=:028 75c28?=j6g8a;29?ldb2900e?:;:188k7212900qoo6e;290?6=8r.8::4>c19K50643A;?il5+1d095>"5;m0:9;h4i6c94?=n1<0;66gme;29?j43>3:17pln9g83>1<729q/?;951b28L437;2B:8ho4$0g1>4=#::n1=88i;h5b>5<<a0?1<75fbd83>>i5<?0;66sma8494?2=83:p(>88:0a7?M728:1C=9kn;%3f6?773-88h7?:6g9j3d<722cii7>5;h070?6=3f8?:7>5;|`b=0<72=0;6=u+37595f6<@8?;?6F>4dc8 4c5281/>>j5144e?l1f2900e4;50;9jf`<722e98;4?::aefg=83>1<7>t$244>4e73A;><>5G15gb?!7b:3;0(?=k:075b>o0i3:17d7::188mgc=831d>9850;9~fd3>29086=4?{%153?7em2B:9==4H06fe>"6m;0:<6*=3e8213`<a>k1<75fbd83>>i5<?0;66sma5f94?2=83:p(>88:0a3?M728:1C=9kn;%3f6?7<,;9o6<;9f:k4e?6=3`3>6=44icg94?=h:=<1<75rb`6`>5<3290;w)=97;3`4>N6=990D<:ja:&2a7<63-88h7?:6g9j3d<722c297>5;h`f>5<<g;>=6=44}cc0e?6=<3:1<v*<6682g5=O9<:87E?;e`9'5`4=92.9?i4>57d8m2g=831b584?::kaa?6=3f8?:7>5;|`b7<<72=0;6=u+37595f6<@8?;?6F>4dc8 4c5281/>>j5144e?l1f2900e4;50;9jf`<722e98;4?::aeg5=83>1<7>t$244>7543A;><>5G15gb?l7c<3:17d?k5;29?l42>3:17b<<c;29?xdfj=0;6:4?:1y'731=::;0D<;?3:J20`g<,8o96<ml;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;h3g<?6=3`;o57>5;n00g?6=3thjn84?:783>5}#;?=1>>>4H0737>N6<lk0(<k=:0a0?l7c<3:17d?k5;29?l7c>3:17d?k7;29?l7c03:17b<<c;29?xd1ll0;6;k50;2x 6002;>i7E?:029K51cf3S=26nu>3;37>74=::0:j7?j:32964<613;36<951`8~jf7=12dh>774n04b>5=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(<k<:79'5`2=>2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(<h<:79'5c2=>2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?<<:29'672=;2.9>84=3c9'61e=:??0(?;<:347?!42<3=h7)<:5;5`?!4213;?ii5+27397>"5>;087)?;fg820cc<,8?;<7?;fd9j604=831b=o;50;9j61b=831b=o=50;9j<g<722c3i7>5;h07b?6=3`8><7>5;h37b0<72-8?>7?;f59m617=821b=9h<:18'614=9=l?7c<;1;38?j7183:1(?:=:07e?k4393:07b?:e;29 72528?m7c<;1;38?j72l3:1(?:=:07e?k4393807b?:c;29 72528?m7c<;1;18?j72j3:1(?:=:07e?k4393>07b?:a;29 72528?m7c<;1;78?j7113:1(?:=:07e?k4393<07b?98;29 72528?m7c<;1;58?j71?3:1(?:=:07e?k4393207b?96;29 72528?m7c<;1;;8?j71=3:1(?:=:07e?k4393k07b?94;29 72528?m7c<;1;`8?j71;3:1(?:=:07e?k4393i07b?92;29 72528?m7c<;1;f8?j7193:1(?:=:07e?k4393o07b?:9;29 72528?m7c<;1;d8?j7f=3:1(?:=:0c7?k4393:07b?n3;29 72528k?7c<;1;38?j7fl3:1(?:=:0c`?k4393:07b?nb;29 72528kh7c<;1;38?l73nm0;6)<;2;37bf=i:=;1<65f15da>5<#:=81=9hl;o075?7<3`?:6=4+250915=i:=;1<65f4g83>!43:3?;7c<;1;38?l2b290/>9<5519m617=:21b9l4?:%076?373g8?=7=4;h7:>5<#:=819=5a25390>=n=10;6)<;2;73?k4393?07d;8:18'614==91e>9?56:9j13<72-8?>7;?;o075?1<3`?>6=4+250915=i:=;1465f5583>!43:3?;7c<;1;;8?l34290/>9<5519m617=i21b9?4?:%076?373g8?=7l4;h6g>5<#:=819=5a2539g>=n9:=1<7*=438273=i:=;1<65f12794?"5<;0:?;5a25395>=n98o1<7*=43825a=i:=;1<65f10a94?"5<;0:=i5a25395>=n98h1<7*=43825a=i:=;1>65f10c94?"5<;0:=i5a25397>=n9831<7*=43825a=i:=;1865f10:94?"5<;0:=i5a25391>=n9;=1<7*=43825a=i:=;1:65f13494?"5<;0:=i5a25393>=n9;?1<7*=43825a=i:=;1465f13694?"5<;0:=i5a2539=>=n9;91<7*=43825a=i:=;1m65f13094?"5<;0:=i5a2539f>=n9;;1<7*=43825a=i:=;1o65f13294?"5<;0:=i5a2539`>=n98l1<7*=43825a=i:=;1i65f10594?"5<;0:=i5a2539b>=nmj0;6)<;2;ga?k4393:07dkn:18'614=mk1e>9?51:9ja<<72-8?>7km;o075?4<3`o36=4+2509ag=i:=;1?65fe683>!43:3oi7c<;1;68?lc1290/>9<5ec9m617==21bj84?:%076?ce3g8?=784;hd7>5<#:=81io5a25393>=nn:0;6)<;2;ga?k4393207dh=:18'614=mk1e>9?59:9jb4<72-8?>7km;o075?g<3`l;6=4+2509ag=i:=;1n65feg83>!43:3oi7c<;1;a8?lcb290/>9<5ec9m617=l21bii4?:%076?ce3g8?=7k4;hg6>5<#:=81io5a2539b>=n>l0;6)<;2;4g?k4393:07d8l:18'614=>m1e>9?51:9j2g<72-8?>78k;o075?4<3`=<6=4+25092a=i:=;1?65f7783>!43:3<o7c<;1;68?l12290/>9<56e9m617==21b;94?:%076?0c3g8?=784;h50>5<#:=81:i5a25393>=n?;0;6)<;2;4g?k4393207d9>:18'614=>m1e>9?59:9j35<72-8?>78k;o075?g<3`<m6=4+25092a=i:=;1n65f6`83>!43:3<o7c<;1;a8?j7>;3:1(?:=:0;1?k4393:07b?61;29 72528397c<;1;38?j7>83:1(?:=:0;1?k4393807b?7f;29 72528397c<;1;18?j7?m3:1(?:=:0;1?k4393>07b?7d;29 72528397c<;1;78?j7>k3:1(?:=:0;1?k4393<07b?6b;29 72528397c<;1;58?j7>i3:1(?:=:0;1?k4393207b?69;29 72528397c<;1;;8?j7>03:1(?:=:0;1?k4393k07b?67;29 72528397c<;1;`8?j7>>3:1(?:=:0;1?k4393i07b?65;29 72528397c<;1;f8?j7><3:1(?:=:0;1?k4393o07b?7c;29 72528397c<;1;d8?l75n3:1(?:=:00f?k4393:07d?=d;29 725288n7c<;1;38?xd1j<0;6om50;2x 6002;>j7E?:029K51cf3S=26nu>5;35>7d=:o09o7=?:3f963<5=38<6?k5258~ 75c28?=j6`l1;31?ke52880b<8n:19m5<b=82.:n;4>bb9'5g1=9ki0(<jn:79'5ad=>2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m90=7)?j1;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(<km:79'5`e=>2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(<hm:79'5ce=>2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)<?2;48 7642?1/>=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9<i49;%03a?0<,;:m6;5+20292>"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80=7)<=2;48 7442<1/>?:55:&160<5;k1/>8=52768 7332>i0(?;::6a8 73>28>nh6*=5`811f=#:?;196*=6386?!73no0:8kk4$0734?73nl1b>9j50;9j<3<722c:n94?::k114<722c3o7>5;h07a?6=3`8><7>5;h3a6?6=3`;9o7>5$361>44e3g8?=7>4;h31e?6=,;>96<<m;o075?7<3`;957>5$361>44e3g8?=7<4;h31<?6=,;>96<<m;o075?5<3`;?j?4?:%076?73n81e>9?50:9j51`7290/>9<515d2?k4393;07d?;eg83>!43:3;?j<5a25396>=n9=on6=4+250951`63g8?=7=4;n365?6=,;>96<;?;o075?6<3f;?j7>5$361>4373g8?=7?4;n37`?6=,;>96<;?;o075?4<3f;?o7>5$361>4373g8?=7=4;n37f?6=,;>96<;?;o075?2<3f;?m7>5$361>4373g8?=7;4;n37=?6=,;>96<;?;o075?0<3f;?47>5$361>4373g8?=794;n373?6=,;>96<;?;o075?><3f;?:7>5$361>4373g8?=774;n371?6=,;>96<;?;o075?g<3f;?87>5$361>4373g8?=7l4;n376?6=,;>96<;?;o075?e<3f;?=7>5$361>4373g8?=7j4;n374?6=,;>96<;?;o075?c<3f;8j7>5$361>4373g8?=7h4;n30a?6=,;>96<;?;o075?7732e:?i4?:%076?7282d98<4>1:9l56e=83.98?4>519m617=9;10c<=m:18'614=9<:0b?:>:018?j74i3:1(?:=:073?k4393;?76a>3883>!43:3;><6`=40821>=h9<21<7*=438215=i:=;1=;54o074>5<#:=81=8>4n362>41<3f;>:7>5$361>4373g8?=7?7;:m210<72-8?>7?:0:l104<6121d=8:50;&107<6=91e>9?51`98k434290/>9<51428j72628h07b?:2;29 72528?;7c<;1;3`?>i6<l0;6)<;2;364>h5<80:h65`15194?"5<;0:9=5a25395`=<g8936=4+2509506<f;>:6<h4;h60>5<#:=818?5a25394>=n<80;6)<;2;61?k4393;07d:?:18'614=<;1e>9?52:9j7c<72-8?>7:=;o075?5<3`>h6=4+250907=i:=;1865f4c83>!43:3>97c<;1;78?l2f290/>9<5439m617=>21b844?:%076?253g8?=794;h6;>5<#:=818?5a2539<>=n<>0;6)<;2;61?k4393307d:9:18'614=<;1e>9?5a:9j00<72-8?>7:=;o075?d<3`>?6=4+250907=i:=;1o65f3d83>!43:3>97c<;1;f8?l07290/>9<55g9m617=821b9h4?:%076?3a3g8?=7?4;h7g>5<#:=819k5a25396>=n=j0;6)<;2;7e?k4393907d86:18'614==o1e>9?54:9j2=<72-8?>7;i;o075?3<3`<<6=4+25091c=i:=;1:65f6783>!43:3?m7c<;1;58?l02290/>9<55g9m617=021b:94?:%076?3a3g8?=774;h40>5<#:=819k5a2539e>=n>;0;6)<;2;7e?k4393h07d8>:18'614==o1e>9?5c:9j1g<72-8?>7;i;o075?b<3`;;j7>5$361>46b3g8?=7>4;h33`?6=,;>96<>j;o075?7<3`;;n7>5$361>46b3g8?=7<4;h33e?6=,;>96<>j;o075?5<3`;;57>5$361>46b3g8?=7:4;h33<?6=,;>96<>j;o075?3<3`;;;7>5$361>46b3g8?=784;h332?6=,;>96<>j;o075?1<3`;;97>5$361>46b3g8?=764;h330?6=,;>96<>j;o075??<3`;;?7>5$361>46b3g8?=7o4;h336?6=,;>96<>j;o075?d<3`;;<7>5$361>46b3g8?=7m4;hde>5<#:=81==k4n362>a=<aoo1<7*=43824`=i:=;1i65ffe83>!43:3;;i6`=408e?>oak3:1(?:=:02f?k4393;;76gib;29 72528:n7c<;1;32?>oai3:1(?:=:02f?k4393;976gi9;29 72528:n7c<;1;30?>oa03:1(?:=:02f?k4393;?76gi7;29 72528:n7c<;1;36?>o69?0;6)<;2;33a>h5<80::65f10794?"5<;0:<h5a253952=<a8;?6=4+250955c<f;>:6<64;h327?6=,;>96<>j;o075?7>32c:=?4?:%076?77m2d98<4>a:9j547=83.98?4>0d9m617=9k10e<??:18'614=99o0b?:>:0a8?l77k3:1(?:=:02f?k4393;o76g>0083>!43:3;;i6`=4082a>=nn?0;6)<;2;33a>h5<80:j65`1`094?"5<;0:m<5a25394>=h9h:1<7*=4382e4=i:=;1=65`18d94?"5<;0:m<5a25396>=h90o1<7*=4382e4=i:=;1?65f12694?"5<;0:?>5a25394>=n9:81<7*=438276=i:=;1=65f12394?"5<;0:?>5a25396>=n9::1<7*=438276=i:=;1?65`1`c94?"5<;0:m45a25394>=h9h21<7*=4382e<=i:=;1=65`1`594?"5<;0:m45a25396>=h9h<1<7*=4382e<=i:=;1?65`19694?"5<;0:4>5a25394>=h9181<7*=4382<6=i:=;1=65`19294?"5<;0:4>5a25396>=h9>l1<7*=4382<6=i:=;1?65`16g94?"5<;0:4>5a25390>=h9>n1<7*=4382<6=i:=;1965`16a94?"5<;0:4>5a25392>=h9>h1<7*=4382<6=i:=;1;65`16c94?"5<;0:4>5a2539<>=h9>31<7*=4382<6=i:=;1565`16:94?"5<;0:4>5a2539e>=h9>=1<7*=4382<6=i:=;1n65`16794?"5<;0:4>5a2539g>=h9>>1<7*=4382<6=i:=;1h65`16194?"5<;0:4>5a2539a>=h9>81<7*=4382<6=i:=;1j65`16394?"5<;0:4>5a253955=<g8=;6=4+25095=5<f;>:6<?4;n35b?6=,;>96<6<;o075?7532e::h4?:%076?7?;2d98<4>3:9l53b=83.98?4>829m617=9=10c<8l:18'614=9190b?:>:078?j7?j3:1(?:=:0:0?k4393;=76a>8`83>!43:3;3?6`=40823>=h9131<7*=4382<6=i:=;1=554o0:;>5<#:=81=5=4n362>4?<3f;3;7>5$361>4>43g8?=7?n;:m2<3<72-8?>7?73:l104<6j21d=5;50;&107<60:1e>9?51b98k4>6290/>9<51918j72628n07b?86;29 72528287c<;1;3f?>i6>k0;6)<;2;3;7>h5<80:j65f15db>5<#:=81=9h6;o075?6<3`;?j54?:%076?73n01e>9?51:9j51`0290/>9<515d:?k4393807d?;f783>!43:3;?j45a25397>=nlm0;6)<;2;f`?k4393:07djm:18'614=lj1e>9?51:9j`<<72-8?>7jl;o075?4<3`n36=4+2509`f=i:=;1?65fd683>!43:3nh7c<;1;68?lb1290/>9<5db9m617==21bh84?:%076?bd3g8?=784;hf7>5<#:=81hn5a25393>=nl:0;6)<;2;f`?k4393207dj=:18'614=lj1e>9?59:9j`4<72-8?>7jl;o075?g<3`n;6=4+2509`f=i:=;1n65fcd83>!43:3nh7c<;1;a8?lec290/>9<5db9m617=l21bon4?:%076?bd3g8?=7k4;haa>5<#:=81hn5a2539b>=nkh0;6)<;2;f`?k4393;;76gl9;29 7252mi0b?:>:038?le?290/>9<5db9m617=9;10en950;&107<ck2d98<4>3:9jg3<72-8?>7jl;o075?7332ch97>5$361>ae<f;>:6<;4;hg7>5<#:=81hn5a253953=<al91<7*=438gg>h5<80:;65fe383>!43:3nh7c<;1;3;?>ob93:1(?:=:ea8j72628307dk?:18'614=lj1e>9?51`98ma`=83.98?4kc:l104<6j21bhh4?:%076?bd3g8?=7?l;:kge?6=,;>96im4n362>4b<3`im6=4+2509`f=i:=;1=h54ib694?"5<;0oo6`=4082b>=zj?i?6=4mc;294~"4>>098l5G1420?M73mh1Q;44l{07953<5j38m6?m53181`?412;?1>:4=e;07>x"5;m0:9;h4nb3957=ik;0:>6`>6`83?k7>l3:0(<l9:0``?!7e?3;io6*>d`85?!7cj3<0(<jl:79'5ab=>2.:hh49;%3gb?0<,8o;6;5+1d392>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(<kk:79'5`c=>2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(<hk:79'5cc=>2.:jk49;%034?0<,;::6;5+21092>"58:0=7)<?4;48 7622?1/>=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9<k49;%024?0<,;;:6;5+20092>"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:6;5+23092>"5::0>7)<=4;78 7422;9i7)<:3;050>"5==0<o6*=5484g>"5=00:8hj4$37b>73d3-8==7;4$341>0=#9=lm6<:ie:&2156=9=ln7d<;d;29?l>12900e<l;:188m7362900e5m50;9j61c=831b>8>50;9j5g4=831b=?m50;&107<6:k1e>9?50:9j57g=83.98?4>2c9m617=921b=?750;&107<6:k1e>9?52:9j57>=83.98?4>2c9m617=;21b=9h=:18'614=9=l:7c<;1;28?l73n90;6)<;2;37b4=i:=;1=65f15ge>5<#:=81=9h>;o075?4<3`;?ih4?:%076?73n81e>9?53:9l507=83.98?4>519m617=821d=9h50;&107<6=91e>9?51:9l51b=83.98?4>519m617=:21d=9m50;&107<6=91e>9?53:9l51d=83.98?4>519m617=<21d=9o50;&107<6=91e>9?55:9l51?=83.98?4>519m617=>21d=9650;&107<6=91e>9?57:9l511=83.98?4>519m617=021d=9850;&107<6=91e>9?59:9l513=83.98?4>519m617=i21d=9:50;&107<6=91e>9?5b:9l514=83.98?4>519m617=k21d=9?50;&107<6=91e>9?5d:9l516=83.98?4>519m617=m21d=>h50;&107<6=91e>9?5f:9l56c=83.98?4>519m617=9910c<=k:18'614=9<:0b?:>:038?j74k3:1(?:=:073?k4393;976a>3c83>!43:3;><6`=40827>=h9:k1<7*=438215=i:=;1=954o01:>5<#:=81=8>4n362>43<3f;>47>5$361>4373g8?=7?9;:m212<72-8?>7?:0:l104<6?21d=8850;&107<6=91e>9?51998k432290/>9<51428j72628307b?:4;29 72528?;7c<;1;3b?>i6=:0;6)<;2;364>h5<80:n65`14094?"5<;0:9=5a25395f=<g8>n6=4+2509506<f;>:6<j4;n377?6=,;>96<;?;o075?7b32e:?54?:%076?7282d98<4>f:9j06<72-8?>7:=;o075?6<3`>:6=4+250907=i:=;1=65f4183>!43:3>97c<;1;08?l5a290/>9<5439m617=;21b8n4?:%076?253g8?=7:4;h6a>5<#:=818?5a25391>=n<h0;6)<;2;61?k4393<07d:6:18'614=<;1e>9?57:9j0=<72-8?>7:=;o075?><3`><6=4+250907=i:=;1565f4783>!43:3>97c<;1;c8?l22290/>9<5439m617=j21b894?:%076?253g8?=7m4;h1f>5<#:=818?5a2539`>=n>90;6)<;2;7e?k4393:07d;j:18'614==o1e>9?51:9j1a<72-8?>7;i;o075?4<3`?h6=4+25091c=i:=;1?65f6883>!43:3?m7c<;1;68?l0?290/>9<55g9m617==21b::4?:%076?3a3g8?=784;h45>5<#:=819k5a25393>=n><0;6)<;2;7e?k4393207d8;:18'614==o1e>9?59:9j26<72-8?>7;i;o075?g<3`<96=4+25091c=i:=;1n65f6083>!43:3?m7c<;1;a8?l3e290/>9<55g9m617=l21b==h50;&107<68l1e>9?50:9j55b=83.98?4>0d9m617=921b==l50;&107<68l1e>9?52:9j55g=83.98?4>0d9m617=;21b==750;&107<68l1e>9?54:9j55>=83.98?4>0d9m617==21b==950;&107<68l1e>9?56:9j550=83.98?4>0d9m617=?21b==;50;&107<68l1e>9?58:9j552=83.98?4>0d9m617=121b===50;&107<68l1e>9?5a:9j554=83.98?4>0d9m617=j21b==>50;&107<68l1e>9?5c:9jbc<72-8?>7??e:l104<c32cmi7>5$361>46b3g8?=7k4;hdg>5<#:=81==k4n362>c=<aoi1<7*=43824`=i:=;1==54ig`94?"5<;0:<h5a253954=<aok1<7*=43824`=i:=;1=?54ig;94?"5<;0:<h5a253956=<ao21<7*=43824`=i:=;1=954ig594?"5<;0:<h5a253950=<a8;=6=4+250955c<f;>:6<84;h321?6=,;>96<>j;o075?7032c:=94?:%076?77m2d98<4>8:9j545=83.98?4>0d9m617=9010e<?=:18'614=99o0b?:>:0c8?l7693:1(?:=:02f?k4393;i76g>1183>!43:3;;i6`=4082g>=n99i1<7*=43824`=i:=;1=i54i022>5<#:=81==k4n362>4c<3`l=6=4+250955c<f;>:6<h4;n3b6?6=,;>96<o>;o075?6<3f;j<7>5$361>4g63g8?=7?4;n3:b?6=,;>96<o>;o075?4<3f;2i7>5$361>4g63g8?=7=4;h300?6=,;>96<=<;o075?6<3`;8>7>5$361>4543g8?=7?4;h305?6=,;>96<=<;o075?4<3`;8<7>5$361>4543g8?=7=4;n3be?6=,;>96<o6;o075?6<3f;j47>5$361>4g>3g8?=7?4;n3b3?6=,;>96<o6;o075?4<3f;j:7>5$361>4g>3g8?=7=4;n3;0?6=,;>96<6<;o075?6<3f;3>7>5$361>4>43g8?=7?4;n3;4?6=,;>96<6<;o075?4<3f;<j7>5$361>4>43g8?=7=4;n34a?6=,;>96<6<;o075?2<3f;<h7>5$361>4>43g8?=7;4;n34g?6=,;>96<6<;o075?0<3f;<n7>5$361>4>43g8?=794;n34e?6=,;>96<6<;o075?><3f;<57>5$361>4>43g8?=774;n34<?6=,;>96<6<;o075?g<3f;<;7>5$361>4>43g8?=7l4;n341?6=,;>96<6<;o075?e<3f;<87>5$361>4>43g8?=7j4;n347?6=,;>96<6<;o075?c<3f;<>7>5$361>4>43g8?=7h4;n345?6=,;>96<6<;o075?7732e:;=4?:%076?7?;2d98<4>1:9l53`=83.98?4>829m617=9;10c<8j:18'614=9190b?:>:018?j71l3:1(?:=:0:0?k4393;?76a>6b83>!43:3;3?6`=40821>=h91h1<7*=4382<6=i:=;1=;54o0:b>5<#:=81=5=4n362>41<3f;357>5$361>4>43g8?=7?7;:m2<=<72-8?>7?73:l104<6121d=5950;&107<60:1e>9?51`98k4>1290/>9<51918j72628h07b?75;29 72528287c<;1;3`?>i6080;6)<;2;3;7>h5<80:h65`16494?"5<;0:4>5a25395`=<g8<i6=4+25095=5<f;>:6<h4;h37bd<72-8?>7?;f89m617=821b=9h7:18'614=9=l27c<;1;38?l73n>0;6)<;2;37b<=i:=;1>65f15d5>5<#:=81=9h6;o075?5<3`no6=4+2509`f=i:=;1<65fdc83>!43:3nh7c<;1;38?lb>290/>9<5db9m617=:21bh54?:%076?bd3g8?=7=4;hf4>5<#:=81hn5a25390>=nl?0;6)<;2;f`?k4393?07dj::18'614=lj1e>9?56:9j`1<72-8?>7jl;o075?1<3`n86=4+2509`f=i:=;1465fd383>!43:3nh7c<;1;;8?lb6290/>9<5db9m617=i21bh=4?:%076?bd3g8?=7l4;haf>5<#:=81hn5a2539g>=nkm0;6)<;2;f`?k4393n07dml:18'614=lj1e>9?5e:9jgg<72-8?>7jl;o075?`<3`ij6=4+2509`f=i:=;1==54ib;94?"5<;0oo6`=40825>=nk10;6)<;2;f`?k4393;976gl7;29 7252mi0b?:>:018?le1290/>9<5db9m617=9=10en;50;&107<ck2d98<4>5:9ja1<72-8?>7jl;o075?7132cn?7>5$361>ae<f;>:6<94;hg1>5<#:=81hn5a25395==<al;1<7*=438gg>h5<80:565fe183>!43:3nh7c<;1;3b?>ocn3:1(?:=:ea8j72628h07djj:18'614=lj1e>9?51b98mag=83.98?4kc:l104<6l21bok4?:%076?bd3g8?=7?j;:k`0?6=,;>96im4n362>4`<3th=o:4?:ca94?6|,:<<6?:n;I3646=O9=oj7W96:by21?712;h1>k4=c;13>7b=:?0997<8:3g961<z,;9o6<;9f:l`5?753gi96<<4n04b>5=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(<jj:79'5a`=>2.:i=49;%3f5?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(<ki:79'5c6=>2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(<hi:79'656=>2.9<<49;%036?0<,;:86;5+21692>"58<0=7)<?6;48 7602?1/>=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><49;%016?0<,;88685+23691>"5:<09?o5+2419632<,;??6:m4$376>2e<,;?26<:jd:&11d<5=j1/>;?55:&127<23-;?jk4>4gg8 43783;?jh5f25f94?=n0?0;66g>b583>>o5=80;66g7c;29?l43m3:17d<:0;29?l7e:3:17d?=c;29 725288i7c<;1;28?l75i3:1(?:=:00a?k4393;07d?=9;29 725288i7c<;1;08?l7503:1(?:=:00a?k4393907d?;f383>!43:3;?j<5a25394>=n9=l;6=4+250951`63g8?=7?4;h37ac<72-8?>7?;f09m617=:21b=9kj:18'614=9=l:7c<;1;18?j7293:1(?:=:073?k4393:07b?;f;29 72528?;7c<;1;38?j73l3:1(?:=:073?k4393807b?;c;29 72528?;7c<;1;18?j73j3:1(?:=:073?k4393>07b?;a;29 72528?;7c<;1;78?j7313:1(?:=:073?k4393<07b?;8;29 72528?;7c<;1;58?j73?3:1(?:=:073?k4393207b?;6;29 72528?;7c<;1;;8?j73=3:1(?:=:073?k4393k07b?;4;29 72528?;7c<;1;`8?j73:3:1(?:=:073?k4393i07b?;1;29 72528?;7c<;1;f8?j7383:1(?:=:073?k4393o07b?<f;29 72528?;7c<;1;d8?j74m3:1(?:=:073?k4393;;76a>3e83>!43:3;><6`=40825>=h9:i1<7*=438215=i:=;1=?54o01a>5<#:=81=8>4n362>45<3f;8m7>5$361>4373g8?=7?;;:m27<<72-8?>7?:0:l104<6=21d=8650;&107<6=91e>9?51798k430290/>9<51428j72628=07b?:6;29 72528?;7c<;1;3;?>i6=<0;6)<;2;364>h5<80:565`14694?"5<;0:9=5a25395d=<g8?86=4+2509506<f;>:6<l4;n366?6=,;>96<;?;o075?7d32e:8h4?:%076?7282d98<4>d:9l515=83.98?4>519m617=9l10c<=7:18'614=9<:0b?:>:0d8?l24290/>9<5439m617=821b8<4?:%076?253g8?=7?4;h63>5<#:=818?5a25396>=n;o0;6)<;2;61?k4393907d:l:18'614=<;1e>9?54:9j0g<72-8?>7:=;o075?3<3`>j6=4+250907=i:=;1:65f4883>!43:3>97c<;1;58?l2?290/>9<5439m617=021b8:4?:%076?253g8?=774;h65>5<#:=818?5a2539e>=n<<0;6)<;2;61?k4393h07d:;:18'614=<;1e>9?5c:9j7`<72-8?>7:=;o075?b<3`<;6=4+25091c=i:=;1<65f5d83>!43:3?m7c<;1;38?l3c290/>9<55g9m617=:21b9n4?:%076?3a3g8?=7=4;h4:>5<#:=819k5a25390>=n>10;6)<;2;7e?k4393?07d88:18'614==o1e>9?56:9j23<72-8?>7;i;o075?1<3`<>6=4+25091c=i:=;1465f6583>!43:3?m7c<;1;;8?l04290/>9<55g9m617=i21b:?4?:%076?3a3g8?=7l4;h42>5<#:=819k5a2539g>=n=k0;6)<;2;7e?k4393n07d??f;29 72528:n7c<;1;28?l77l3:1(?:=:02f?k4393;07d??b;29 72528:n7c<;1;08?l77i3:1(?:=:02f?k4393907d??9;29 72528:n7c<;1;68?l7703:1(?:=:02f?k4393?07d??7;29 72528:n7c<;1;48?l77>3:1(?:=:02f?k4393=07d??5;29 72528:n7c<;1;:8?l77<3:1(?:=:02f?k4393307d??3;29 72528:n7c<;1;c8?l77:3:1(?:=:02f?k4393h07d??0;29 72528:n7c<;1;a8?l`a290/>9<511g8j7262m10ekk50;&107<68l1e>9?5e:9jba<72-8?>7??e:l104<a32cmo7>5$361>46b3g8?=7??;:kef?6=,;>96<>j;o075?7632cmm7>5$361>46b3g8?=7?=;:ke=?6=,;>96<>j;o075?7432cm47>5$361>46b3g8?=7?;;:ke3?6=,;>96<>j;o075?7232c:=;4?:%076?77m2d98<4>6:9j543=83.98?4>0d9m617=9>10e<?;:18'614=99o0b?:>:0:8?l76;3:1(?:=:02f?k4393;276g>1383>!43:3;;i6`=4082e>=n98;1<7*=43824`=i:=;1=o54i033>5<#:=81==k4n362>4e<3`;;o7>5$361>46b3g8?=7?k;:k244<72-8?>7??e:l104<6m21bj;4?:%076?77m2d98<4>f:9l5d4=83.98?4>a09m617=821d=l>50;&107<6i81e>9?51:9l5<`=83.98?4>a09m617=:21d=4k50;&107<6i81e>9?53:9j562=83.98?4>329m617=821b=><50;&107<6;:1e>9?51:9j567=83.98?4>329m617=:21b=>>50;&107<6;:1e>9?53:9l5dg=83.98?4>a89m617=821d=l650;&107<6i01e>9?51:9l5d1=83.98?4>a89m617=:21d=l850;&107<6i01e>9?53:9l5=2=83.98?4>829m617=821d=5<50;&107<60:1e>9?51:9l5=6=83.98?4>829m617=:21d=:h50;&107<60:1e>9?53:9l52c=83.98?4>829m617=<21d=:j50;&107<60:1e>9?55:9l52e=83.98?4>829m617=>21d=:l50;&107<60:1e>9?57:9l52g=83.98?4>829m617=021d=:750;&107<60:1e>9?59:9l52>=83.98?4>829m617=i21d=:950;&107<60:1e>9?5b:9l523=83.98?4>829m617=k21d=::50;&107<60:1e>9?5d:9l525=83.98?4>829m617=m21d=:<50;&107<60:1e>9?5f:9l527=83.98?4>829m617=9910c<9?:18'614=9190b?:>:038?j71n3:1(?:=:0:0?k4393;976a>6d83>!43:3;3?6`=40827>=h9?n1<7*=4382<6=i:=;1=954o04`>5<#:=81=5=4n362>43<3f;3n7>5$361>4>43g8?=7?9;:m2<d<72-8?>7?73:l104<6?21d=5750;&107<60:1e>9?51998k4>?290/>9<51918j72628307b?77;29 72528287c<;1;3b?>i60?0;6)<;2;3;7>h5<80:n65`19794?"5<;0:4>5a25395f=<g82:6=4+25095=5<f;>:6<j4;n342?6=,;>96<6<;o075?7b32e::o4?:%076?7?;2d98<4>f:9j51`f290/>9<515d:?k4393:07d?;f983>!43:3;?j45a25395>=n9=l<6=4+250951`>3g8?=7<4;h37b3<72-8?>7?;f89m617=;21bhi4?:%076?bd3g8?=7>4;hfa>5<#:=81hn5a25395>=nl00;6)<;2;f`?k4393807dj7:18'614=lj1e>9?53:9j`2<72-8?>7jl;o075?2<3`n=6=4+2509`f=i:=;1965fd483>!43:3nh7c<;1;48?lb3290/>9<5db9m617=?21bh>4?:%076?bd3g8?=764;hf1>5<#:=81hn5a2539=>=nl80;6)<;2;f`?k4393k07dj?:18'614=lj1e>9?5b:9jg`<72-8?>7jl;o075?e<3`io6=4+2509`f=i:=;1h65fcb83>!43:3nh7c<;1;g8?lee290/>9<5db9m617=n21bol4?:%076?bd3g8?=7??;:k`=?6=,;>96im4n362>47<3`i36=4+2509`f=i:=;1=?54ib594?"5<;0oo6`=40827>=nk?0;6)<;2;f`?k4393;?76gl5;29 7252mi0b?:>:078?lc3290/>9<5db9m617=9?10eh=50;&107<ck2d98<4>7:9ja7<72-8?>7jl;o075?7?32cn=7>5$361>ae<f;>:6<74;hg3>5<#:=81hn5a25395d=<aml1<7*=438gg>h5<80:n65fdd83>!43:3nh7c<;1;3`?>oci3:1(?:=:ea8j72628n07dmi:18'614=lj1e>9?51d98mf2=83.98?4kc:l104<6n21vn;mn:18ag?6=8r.8::4=4`9K50643A;?il5U788`43=9?09n7<i:3a975<5l38=6?;52681a?432t.9?i4>57d8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4dd3-;i;7?mc:&2`d<13-;on784$0f`>3=#9mn1:6*>dd85?!7cn3<0(<k?:79'5`7=>2.:i>49;%3f0?0<,8o>6;5+1d492>"6m>0=7)?j8;48 4c>2?1/=ho56:&2ag<13-;no784$0gg>3=#9lo1:6*>eg85?!7a83<0(<h>:79'5c4=>2.:j>49;%3e0?0<,8l>6;5+1g492>"6n>0=7)?i8;48 4`>2?1/=ko56:&2bg<13-;mo784$0dg>3=#9oo1:6*>fg85?!4783<0(?>>:79'654=>2.9<>49;%030?0<,;:>6;5+21492>"58>0=7)<?8;48 76>2?1/>=o56:&14g<13-8;o784$32g>3=#:9o1:6*=0g85?!4683<0(??>:79'644=>2.9=>49;%020?0<,;;>6;5+20492>"59>0=7)<>8;48 77>2?1/><o56:&15g<13-8:o784$33g>3=#:8o1:6*=1g85?!4583<0(?<>:79'674=>2.9>>4:;%010?3<,;8>6?=m;%067?41<2.99948c:&110<0k2.9944>4df8 73f2;?h7)<91;78 7052<1/=9hi:06ea>"6=9:1=9hj;h07`?6=3`2=6=44i0`7>5<<a;?:6=44i9a94?=n:=o1<75f24294?=n9k81<75f13a94?"5<;0:>o5a25394>=n9;k1<7*=43826g=i:=;1=65f13;94?"5<;0:>o5a25396>=n9;21<7*=43826g=i:=;1?65f15d1>5<#:=81=9h>;o075?6<3`;?j=4?:%076?73n81e>9?51:9j51ca290/>9<515d2?k4393807d?;ed83>!43:3;?j<5a25397>=h9<;1<7*=438215=i:=;1<65`15d94?"5<;0:9=5a25395>=h9=n1<7*=438215=i:=;1>65`15a94?"5<;0:9=5a25397>=h9=h1<7*=438215=i:=;1865`15c94?"5<;0:9=5a25391>=h9=31<7*=438215=i:=;1:65`15:94?"5<;0:9=5a25393>=h9==1<7*=438215=i:=;1465`15494?"5<;0:9=5a2539=>=h9=?1<7*=438215=i:=;1m65`15694?"5<;0:9=5a2539f>=h9=81<7*=438215=i:=;1o65`15394?"5<;0:9=5a2539`>=h9=:1<7*=438215=i:=;1i65`12d94?"5<;0:9=5a2539b>=h9:o1<7*=438215=i:=;1==54o01g>5<#:=81=8>4n362>47<3f;8o7>5$361>4373g8?=7?=;:m27g<72-8?>7?:0:l104<6;21d=>o50;&107<6=91e>9?51598k45>290/>9<51428j72628?07b?:8;29 72528?;7c<;1;35?>i6=>0;6)<;2;364>h5<80:;65`14494?"5<;0:9=5a25395==<g8?>6=4+2509506<f;>:6<74;n360?6=,;>96<;?;o075?7f32e:9>4?:%076?7282d98<4>b:9l504=83.98?4>519m617=9j10c<:j:18'614=9<:0b?:>:0f8?j73;3:1(?:=:073?k4393;n76a>3983>!43:3;><6`=4082b>=n<:0;6)<;2;61?k4393:07d:>:18'614=<;1e>9?51:9j05<72-8?>7:=;o075?4<3`9m6=4+250907=i:=;1?65f4b83>!43:3>97c<;1;68?l2e290/>9<5439m617==21b8l4?:%076?253g8?=784;h6:>5<#:=818?5a25393>=n<10;6)<;2;61?k4393207d:8:18'614=<;1e>9?59:9j03<72-8?>7:=;o075?g<3`>>6=4+250907=i:=;1n65f4583>!43:3>97c<;1;a8?l5b290/>9<5439m617=l21b:=4?:%076?3a3g8?=7>4;h7f>5<#:=819k5a25395>=n=m0;6)<;2;7e?k4393807d;l:18'614==o1e>9?53:9j2<<72-8?>7;i;o075?2<3`<36=4+25091c=i:=;1965f6683>!43:3?m7c<;1;48?l01290/>9<55g9m617=?21b:84?:%076?3a3g8?=764;h47>5<#:=819k5a2539=>=n>:0;6)<;2;7e?k4393k07d8=:18'614==o1e>9?5b:9j24<72-8?>7;i;o075?e<3`?i6=4+25091c=i:=;1h65f11d94?"5<;0:<h5a25394>=n99n1<7*=43824`=i:=;1=65f11`94?"5<;0:<h5a25396>=n99k1<7*=43824`=i:=;1?65f11;94?"5<;0:<h5a25390>=n9921<7*=43824`=i:=;1965f11594?"5<;0:<h5a25392>=n99<1<7*=43824`=i:=;1;65f11794?"5<;0:<h5a2539<>=n99>1<7*=43824`=i:=;1565f11194?"5<;0:<h5a2539e>=n9981<7*=43824`=i:=;1n65f11294?"5<;0:<h5a2539g>=nno0;6)<;2;33a>h5<80o76gie;29 72528:n7c<;1;g8?l`c290/>9<511g8j7262o10ekm50;&107<68l1e>9?51198mcd=83.98?4>0d9m617=9810eko50;&107<68l1e>9?51398mc?=83.98?4>0d9m617=9:10ek650;&107<68l1e>9?51598mc1=83.98?4>0d9m617=9<10e<?9:18'614=99o0b?:>:048?l76=3:1(?:=:02f?k4393;<76g>1583>!43:3;;i6`=4082<>=n9891<7*=43824`=i:=;1=454i031>5<#:=81==k4n362>4g<3`;:=7>5$361>46b3g8?=7?m;:k255<72-8?>7??e:l104<6k21b==m50;&107<68l1e>9?51e98m466290/>9<511g8j72628o07dh9:18'614=99o0b?:>:0d8?j7f:3:1(?:=:0c2?k4393:07b?n0;29 72528k:7c<;1;38?j7>n3:1(?:=:0c2?k4393807b?6e;29 72528k:7c<;1;18?l74<3:1(?:=:010?k4393:07d?<2;29 72528987c<;1;38?l7493:1(?:=:010?k4393807d?<0;29 72528987c<;1;18?j7fi3:1(?:=:0c:?k4393:07b?n8;29 72528k27c<;1;38?j7f?3:1(?:=:0c:?k4393807b?n6;29 72528k27c<;1;18?j7?<3:1(?:=:0:0?k4393:07b?72;29 72528287c<;1;38?j7?83:1(?:=:0:0?k4393807b?8f;29 72528287c<;1;18?j70m3:1(?:=:0:0?k4393>07b?8d;29 72528287c<;1;78?j70k3:1(?:=:0:0?k4393<07b?8b;29 72528287c<;1;58?j70i3:1(?:=:0:0?k4393207b?89;29 72528287c<;1;;8?j7003:1(?:=:0:0?k4393k07b?87;29 72528287c<;1;`8?j70=3:1(?:=:0:0?k4393i07b?84;29 72528287c<;1;f8?j70;3:1(?:=:0:0?k4393o07b?82;29 72528287c<;1;d8?j7093:1(?:=:0:0?k4393;;76a>7183>!43:3;3?6`=40825>=h9?l1<7*=4382<6=i:=;1=?54o04f>5<#:=81=5=4n362>45<3f;=h7>5$361>4>43g8?=7?;;:m22f<72-8?>7?73:l104<6=21d=5l50;&107<60:1e>9?51798k4>f290/>9<51918j72628=07b?79;29 72528287c<;1;3;?>i6010;6)<;2;3;7>h5<80:565`19594?"5<;0:4>5a25395d=<g82=6=4+25095=5<f;>:6<l4;n3;1?6=,;>96<6<;o075?7d32e:4<4?:%076?7?;2d98<4>d:9l520=83.98?4>829m617=9l10c<8m:18'614=9190b?:>:0d8?l73nh0;6)<;2;37b<=i:=;1<65f15d;>5<#:=81=9h6;o075?7<3`;?j:4?:%076?73n01e>9?52:9j51`1290/>9<515d:?k4393907djk:18'614=lj1e>9?50:9j`g<72-8?>7jl;o075?7<3`n26=4+2509`f=i:=;1>65fd983>!43:3nh7c<;1;18?lb0290/>9<5db9m617=<21bh;4?:%076?bd3g8?=7;4;hf6>5<#:=81hn5a25392>=nl=0;6)<;2;f`?k4393=07dj<:18'614=lj1e>9?58:9j`7<72-8?>7jl;o075??<3`n:6=4+2509`f=i:=;1m65fd183>!43:3nh7c<;1;`8?leb290/>9<5db9m617=k21boi4?:%076?bd3g8?=7j4;ha`>5<#:=81hn5a2539a>=nkk0;6)<;2;f`?k4393l07dmn:18'614=lj1e>9?51198mf?=83.98?4kc:l104<6921bo54?:%076?bd3g8?=7?=;:k`3?6=,;>96im4n362>45<3`i=6=4+2509`f=i:=;1=954ib794?"5<;0oo6`=40821>=nm=0;6)<;2;f`?k4393;=76gj3;29 7252mi0b?:>:058?lc5290/>9<5db9m617=9110eh?50;&107<ck2d98<4>9:9ja5<72-8?>7jl;o075?7f32coj7>5$361>ae<f;>:6<l4;hff>5<#:=81hn5a25395f=<amk1<7*=438gg>h5<80:h65fcg83>!43:3nh7c<;1;3f?>od<3:1(?:=:ea8j72628l07pl9ce83>ge=83:p(>88:36b?M728:1C=9kn;[5:>f}6=3;=6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=k:075b>hd93;97cm=:008j40f291e=4j50:&2f3<6jj1/=o951ca8 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e185?!7b93<0(<k<:79'5`2=>2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(<h<:79'5c2=>2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)<?a;48 76e2?1/>=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/><m56:&15a<13-8:i784$33e>3=#:;:1:6*=2085?!45:3<0(?<<:49'672==2.9>84=3c9'605=:?>0(?;;:6a8 7322>i0(?;6:06f`>"5=h099n5+27391>"5>;0>7)?;fg820cc<,8?;<7?;fd9j61b=831b4;4?::k2f1<722c99<4?::k;g?6=3`8?i7>5;h064?6=3`;i>7>5;h31g?6=,;>96<<m;o075?6<3`;9m7>5$361>44e3g8?=7?4;h31=?6=,;>96<<m;o075?4<3`;947>5$361>44e3g8?=7=4;h37b7<72-8?>7?;f09m617=821b=9h?:18'614=9=l:7c<;1;38?l73mo0;6)<;2;37b4=i:=;1>65f15gf>5<#:=81=9h>;o075?5<3f;>=7>5$361>4373g8?=7>4;n37b?6=,;>96<;?;o075?7<3f;?h7>5$361>4373g8?=7<4;n37g?6=,;>96<;?;o075?5<3f;?n7>5$361>4373g8?=7:4;n37e?6=,;>96<;?;o075?3<3f;?57>5$361>4373g8?=784;n37<?6=,;>96<;?;o075?1<3f;?;7>5$361>4373g8?=764;n372?6=,;>96<;?;o075??<3f;?97>5$361>4373g8?=7o4;n370?6=,;>96<;?;o075?d<3f;?>7>5$361>4373g8?=7m4;n375?6=,;>96<;?;o075?b<3f;?<7>5$361>4373g8?=7k4;n30b?6=,;>96<;?;o075?`<3f;8i7>5$361>4373g8?=7??;:m27a<72-8?>7?:0:l104<6921d=>m50;&107<6=91e>9?51398k45e290/>9<51428j72628907b?<a;29 72528?;7c<;1;37?>i6;00;6)<;2;364>h5<80:965`14:94?"5<;0:9=5a253953=<g8?<6=4+2509506<f;>:6<94;n362?6=,;>96<;?;o075?7?32e:984?:%076?7282d98<4>9:9l502=83.98?4>519m617=9h10c<;<:18'614=9<:0b?:>:0`8?j72:3:1(?:=:073?k4393;h76a>4d83>!43:3;><6`=4082`>=h9=91<7*=438215=i:=;1=h54o01;>5<#:=81=8>4n362>4`<3`>86=4+250907=i:=;1<65f4083>!43:3>97c<;1;38?l27290/>9<5439m617=:21b?k4?:%076?253g8?=7=4;h6`>5<#:=818?5a25390>=n<k0;6)<;2;61?k4393?07d:n:18'614=<;1e>9?56:9j0<<72-8?>7:=;o075?1<3`>36=4+250907=i:=;1465f4683>!43:3>97c<;1;;8?l21290/>9<5439m617=i21b884?:%076?253g8?=7l4;h67>5<#:=818?5a2539g>=n;l0;6)<;2;61?k4393n07d8?:18'614==o1e>9?50:9j1`<72-8?>7;i;o075?7<3`?o6=4+25091c=i:=;1>65f5b83>!43:3?m7c<;1;18?l0>290/>9<55g9m617=<21b:54?:%076?3a3g8?=7;4;h44>5<#:=819k5a25392>=n>?0;6)<;2;7e?k4393=07d8::18'614==o1e>9?58:9j21<72-8?>7;i;o075??<3`<86=4+25091c=i:=;1m65f6383>!43:3?m7c<;1;`8?l06290/>9<55g9m617=k21b9o4?:%076?3a3g8?=7j4;h33b?6=,;>96<>j;o075?6<3`;;h7>5$361>46b3g8?=7?4;h33f?6=,;>96<>j;o075?4<3`;;m7>5$361>46b3g8?=7=4;h33=?6=,;>96<>j;o075?2<3`;;47>5$361>46b3g8?=7;4;h333?6=,;>96<>j;o075?0<3`;;:7>5$361>46b3g8?=794;h331?6=,;>96<>j;o075?><3`;;87>5$361>46b3g8?=774;h337?6=,;>96<>j;o075?g<3`;;>7>5$361>46b3g8?=7l4;h334?6=,;>96<>j;o075?e<3`lm6=4+250955c<f;>:6i54igg94?"5<;0:<h5a2539a>=nnm0;6)<;2;33a>h5<80m76gic;29 72528:n7c<;1;33?>oaj3:1(?:=:02f?k4393;:76gia;29 72528:n7c<;1;31?>oa13:1(?:=:02f?k4393;876gi8;29 72528:n7c<;1;37?>oa?3:1(?:=:02f?k4393;>76g>1783>!43:3;;i6`=40822>=n98?1<7*=43824`=i:=;1=:54i037>5<#:=81==k4n362>4><3`;:?7>5$361>46b3g8?=7?6;:k257<72-8?>7??e:l104<6i21b=<?50;&107<68l1e>9?51c98m477290/>9<511g8j72628i07d??c;29 72528:n7c<;1;3g?>o6880;6)<;2;33a>h5<80:i65ff783>!43:3;;i6`=4082b>=h9h81<7*=4382e4=i:=;1<65`1`294?"5<;0:m<5a25395>=h90l1<7*=4382e4=i:=;1>65`18g94?"5<;0:m<5a25397>=n9:>1<7*=438276=i:=;1<65f12094?"5<;0:?>5a25395>=n9:;1<7*=438276=i:=;1>65f12294?"5<;0:?>5a25397>=h9hk1<7*=4382e<=i:=;1<65`1`:94?"5<;0:m45a25395>=h9h=1<7*=4382e<=i:=;1>65`1`494?"5<;0:m45a25397>=h91>1<7*=4382<6=i:=;1<65`19094?"5<;0:4>5a25395>=h91:1<7*=4382<6=i:=;1>65`16d94?"5<;0:4>5a25397>=h9>o1<7*=4382<6=i:=;1865`16f94?"5<;0:4>5a25391>=h9>i1<7*=4382<6=i:=;1:65`16`94?"5<;0:4>5a25393>=h9>k1<7*=4382<6=i:=;1465`16;94?"5<;0:4>5a2539=>=h9>21<7*=4382<6=i:=;1m65`16594?"5<;0:4>5a2539f>=h9>?1<7*=4382<6=i:=;1o65`16694?"5<;0:4>5a2539`>=h9>91<7*=4382<6=i:=;1i65`16094?"5<;0:4>5a2539b>=h9>;1<7*=4382<6=i:=;1==54o053>5<#:=81=5=4n362>47<3f;=j7>5$361>4>43g8?=7?=;:m22`<72-8?>7?73:l104<6;21d=;j50;&107<60:1e>9?51598k40d290/>9<51918j72628?07b?7b;29 72528287c<;1;35?>i60h0;6)<;2;3;7>h5<80:;65`19;94?"5<;0:4>5a25395==<g8236=4+25095=5<f;>:6<74;n3;3?6=,;>96<6<;o075?7f32e:4;4?:%076?7?;2d98<4>b:9l5=3=83.98?4>829m617=9j10c<6>:18'614=9190b?:>:0f8?j70>3:1(?:=:0:0?k4393;n76a>6c83>!43:3;3?6`=4082b>=n9=lj6=4+250951`>3g8?=7>4;h37b=<72-8?>7?;f89m617=921b=9h8:18'614=9=l27c<;1;08?l73n?0;6)<;2;37b<=i:=;1?65fde83>!43:3nh7c<;1;28?lbe290/>9<5db9m617=921bh44?:%076?bd3g8?=7<4;hf;>5<#:=81hn5a25397>=nl>0;6)<;2;f`?k4393>07dj9:18'614=lj1e>9?55:9j`0<72-8?>7jl;o075?0<3`n?6=4+2509`f=i:=;1;65fd283>!43:3nh7c<;1;:8?lb5290/>9<5db9m617=121bh<4?:%076?bd3g8?=7o4;hf3>5<#:=81hn5a2539f>=nkl0;6)<;2;f`?k4393i07dmk:18'614=lj1e>9?5d:9jgf<72-8?>7jl;o075?c<3`ii6=4+2509`f=i:=;1j65fc`83>!43:3nh7c<;1;33?>od13:1(?:=:ea8j72628;07dm7:18'614=lj1e>9?51398mf1=83.98?4kc:l104<6;21bo;4?:%076?bd3g8?=7?;;:k`1?6=,;>96im4n362>43<3`o?6=4+2509`f=i:=;1=;54id194?"5<;0oo6`=40823>=nm;0;6)<;2;f`?k4393;376gj1;29 7252mi0b?:>:0;8?lc7290/>9<5db9m617=9h10eih50;&107<ck2d98<4>b:9j``<72-8?>7jl;o075?7d32com7>5$361>ae<f;>:6<j4;hae>5<#:=81hn5a25395`=<aj>1<7*=438gg>h5<80:j65rb7f3>5<ek3:1<v*<66810d=O9<:87E?;e`9Y3<<ds8?1=;4=b;0e>7e=;909h7<9:37962<5m38?6p*=3e8213`<fj;1=?5ac3826>h6>h0;7c?6d;28 4d128hh7)?m7;3ag>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g3>3=#9l;1:6*>e285?!7b<3<0(<k::79'5`0=>2.:i:49;%3f<?0<,8o26;5+1dc92>"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(<h::79'5c0=>2.:j:49;%3e<?0<,8l26;5+1gc92>"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03<?0<,;:26;5+21c92>"58k0=7)<?c;48 76c2?1/>=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02<?0<,;;26;5+20c92>"59k0=7)<>c;48 77c2?1/><k56:&15c<13-89<784$302>3=#:;81:6*=2286?!45<3?0(?<::31a?!42;38=86*=5584g>"5=<0<o6*=58820`b<,;?j6?;l;%055?3<,;<9685+15de>42am2.:9=>515df?l43l3:17d69:188m4d32900e?;>:188m=e=831b>9k50;9j606=831b=o<50;9j57e=83.98?4>2c9m617=821b=?o50;&107<6:k1e>9?51:9j57?=83.98?4>2c9m617=:21b=?650;&107<6:k1e>9?53:9j51`5290/>9<515d2?k4393:07d?;f183>!43:3;?j<5a25395>=n9=om6=4+250951`63g8?=7<4;h37a`<72-8?>7?;f09m617=;21d=8?50;&107<6=91e>9?50:9l51`=83.98?4>519m617=921d=9j50;&107<6=91e>9?52:9l51e=83.98?4>519m617=;21d=9l50;&107<6=91e>9?54:9l51g=83.98?4>519m617==21d=9750;&107<6=91e>9?56:9l51>=83.98?4>519m617=?21d=9950;&107<6=91e>9?58:9l510=83.98?4>519m617=121d=9;50;&107<6=91e>9?5a:9l512=83.98?4>519m617=j21d=9<50;&107<6=91e>9?5c:9l517=83.98?4>519m617=l21d=9>50;&107<6=91e>9?5e:9l56`=83.98?4>519m617=n21d=>k50;&107<6=91e>9?51198k45c290/>9<51428j72628;07b?<c;29 72528?;7c<;1;31?>i6;k0;6)<;2;364>h5<80:?65`12c94?"5<;0:9=5a253951=<g8926=4+2509506<f;>:6<;4;n36<?6=,;>96<;?;o075?7132e:9:4?:%076?7282d98<4>7:9l500=83.98?4>519m617=9110c<;::18'614=9<:0b?:>:0;8?j72<3:1(?:=:073?k4393;j76a>5283>!43:3;><6`=4082f>=h9<81<7*=438215=i:=;1=n54o06f>5<#:=81=8>4n362>4b<3f;??7>5$361>4373g8?=7?j;:m27=<72-8?>7?:0:l104<6n21b8>4?:%076?253g8?=7>4;h62>5<#:=818?5a25395>=n<90;6)<;2;61?k4393807d=i:18'614=<;1e>9?53:9j0f<72-8?>7:=;o075?2<3`>i6=4+250907=i:=;1965f4`83>!43:3>97c<;1;48?l2>290/>9<5439m617=?21b854?:%076?253g8?=764;h64>5<#:=818?5a2539=>=n<?0;6)<;2;61?k4393k07d:::18'614=<;1e>9?5b:9j01<72-8?>7:=;o075?e<3`9n6=4+250907=i:=;1h65f6183>!43:3?m7c<;1;28?l3b290/>9<55g9m617=921b9i4?:%076?3a3g8?=7<4;h7`>5<#:=819k5a25397>=n>00;6)<;2;7e?k4393>07d87:18'614==o1e>9?55:9j22<72-8?>7;i;o075?0<3`<=6=4+25091c=i:=;1;65f6483>!43:3?m7c<;1;:8?l03290/>9<55g9m617=121b:>4?:%076?3a3g8?=7o4;h41>5<#:=819k5a2539f>=n>80;6)<;2;7e?k4393i07d;m:18'614==o1e>9?5d:9j55`=83.98?4>0d9m617=821b==j50;&107<68l1e>9?51:9j55d=83.98?4>0d9m617=:21b==o50;&107<68l1e>9?53:9j55?=83.98?4>0d9m617=<21b==650;&107<68l1e>9?55:9j551=83.98?4>0d9m617=>21b==850;&107<68l1e>9?57:9j553=83.98?4>0d9m617=021b==:50;&107<68l1e>9?59:9j555=83.98?4>0d9m617=i21b==<50;&107<68l1e>9?5b:9j556=83.98?4>0d9m617=k21bjk4?:%076?77m2d98<4k;:kea?6=,;>96<>j;o075?c<3`lo6=4+250955c<f;>:6k54iga94?"5<;0:<h5a253955=<aoh1<7*=43824`=i:=;1=<54igc94?"5<;0:<h5a253957=<ao31<7*=43824`=i:=;1=>54ig:94?"5<;0:<h5a253951=<ao=1<7*=43824`=i:=;1=854i035>5<#:=81==k4n362>40<3`;:97>5$361>46b3g8?=7?8;:k251<72-8?>7??e:l104<6021b=<=50;&107<68l1e>9?51898m475290/>9<511g8j72628k07d?>1;29 72528:n7c<;1;3a?>o6990;6)<;2;33a>h5<80:o65f11a94?"5<;0:<h5a25395a=<a8::6=4+250955c<f;>:6<k4;hd5>5<#:=81==k4n362>4`<3f;j>7>5$361>4g63g8?=7>4;n3b4?6=,;>96<o>;o075?7<3f;2j7>5$361>4g63g8?=7<4;n3:a?6=,;>96<o>;o075?5<3`;887>5$361>4543g8?=7>4;h306?6=,;>96<=<;o075?7<3`;8=7>5$361>4543g8?=7<4;h304?6=,;>96<=<;o075?5<3f;jm7>5$361>4g>3g8?=7>4;n3b<?6=,;>96<o6;o075?7<3f;j;7>5$361>4g>3g8?=7<4;n3b2?6=,;>96<o6;o075?5<3f;387>5$361>4>43g8?=7>4;n3;6?6=,;>96<6<;o075?7<3f;3<7>5$361>4>43g8?=7<4;n34b?6=,;>96<6<;o075?5<3f;<i7>5$361>4>43g8?=7:4;n34`?6=,;>96<6<;o075?3<3f;<o7>5$361>4>43g8?=784;n34f?6=,;>96<6<;o075?1<3f;<m7>5$361>4>43g8?=764;n34=?6=,;>96<6<;o075??<3f;<47>5$361>4>43g8?=7o4;n343?6=,;>96<6<;o075?d<3f;<97>5$361>4>43g8?=7m4;n340?6=,;>96<6<;o075?b<3f;<?7>5$361>4>43g8?=7k4;n346?6=,;>96<6<;o075?`<3f;<=7>5$361>4>43g8?=7??;:m235<72-8?>7?73:l104<6921d=;h50;&107<60:1e>9?51398k40b290/>9<51918j72628907b?9d;29 72528287c<;1;37?>i6>j0;6)<;2;3;7>h5<80:965`19`94?"5<;0:4>5a253953=<g82j6=4+25095=5<f;>:6<94;n3;=?6=,;>96<6<;o075?7?32e:454?:%076?7?;2d98<4>9:9l5=1=83.98?4>829m617=9h10c<69:18'614=9190b?:>:0`8?j7?=3:1(?:=:0:0?k4393;h76a>8083>!43:3;3?6`=4082`>=h9><1<7*=4382<6=i:=;1=h54o04a>5<#:=81=5=4n362>4`<3`;?jl4?:%076?73n01e>9?50:9j51`?290/>9<515d:?k4393;07d?;f683>!43:3;?j45a25396>=n9=l=6=4+250951`>3g8?=7=4;hfg>5<#:=81hn5a25394>=nlk0;6)<;2;f`?k4393;07dj6:18'614=lj1e>9?52:9j`=<72-8?>7jl;o075?5<3`n<6=4+2509`f=i:=;1865fd783>!43:3nh7c<;1;78?lb2290/>9<5db9m617=>21bh94?:%076?bd3g8?=794;hf0>5<#:=81hn5a2539<>=nl;0;6)<;2;f`?k4393307dj>:18'614=lj1e>9?5a:9j`5<72-8?>7jl;o075?d<3`in6=4+2509`f=i:=;1o65fce83>!43:3nh7c<;1;f8?led290/>9<5db9m617=m21boo4?:%076?bd3g8?=7h4;hab>5<#:=81hn5a253955=<aj31<7*=438gg>h5<80:=65fc983>!43:3nh7c<;1;31?>od?3:1(?:=:ea8j72628907dm9:18'614=lj1e>9?51598mf3=83.98?4kc:l104<6=21bi94?:%076?bd3g8?=7?9;:kf7?6=,;>96im4n362>41<3`o96=4+2509`f=i:=;1=554id394?"5<;0oo6`=4082=>=nm90;6)<;2;f`?k4393;j76gkf;29 7252mi0b?:>:0`8?lbb290/>9<5db9m617=9j10eio50;&107<ck2d98<4>d:9jgc<72-8?>7jl;o075?7b32ch87>5$361>ae<f;>:6<h4;|`5`6<72ki1<7>t$244>72f3A;><>5G15gb?_1>2jq:97?9:3`96c<5k39;6?j527811?402;o1>94r$31g>431n2dh=7?=;oa1>44<f8<j6=5a18f94>"6j?0:nn5+1c595ge<,8nj6;5+1e`92>"6lj0=7)?kd;48 4bb2?1/=ih56:&2a5<13-;n=784$0g0>3=#9l>1:6*>e485?!7b>3<0(<k8:79'5`>=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(<h8:79'5c>=>2.:j449;%3ee?0<,8li6;5+1ga92>"6nm0=7)?ie;48 4`a2?1/>=>56:&144<13-8;>784$320>3=#:9>1:6*=0485?!47>3<0(?>8:79'65>=>2.9<449;%03e?0<,;:i6;5+21a92>"58m0=7)<?e;48 76a2?1/><>56:&154<13-8:>784$330>3=#:8>1:6*=1485?!46>3<0(??8:79'64>=>2.9=449;%02e?0<,;;i6;5+20a92>"59m0=7)<>e;48 77a2?1/>?>56:&164<13-89>784$300>0=#:;>196*=24817g=#:<91>;:4$377>2e<,;?>6:m4$37:>42bl2.99l4=5b9'637==2.9:?4:;%37bc<6<oo0(<;?0;37b`=n:=n1<75f8783>>o6j=0;66g=5083>>o?k3:17d<;e;29?l4283:17d?m2;29?l75k3:1(?:=:00a?k4393:07d?=a;29 725288i7c<;1;38?l7513:1(?:=:00a?k4393807d?=8;29 725288i7c<;1;18?l73n;0;6)<;2;37b4=i:=;1<65f15d3>5<#:=81=9h>;o075?7<3`;?ik4?:%076?73n81e>9?52:9j51cb290/>9<515d2?k4393907b?:1;29 72528?;7c<;1;28?j73n3:1(?:=:073?k4393;07b?;d;29 72528?;7c<;1;08?j73k3:1(?:=:073?k4393907b?;b;29 72528?;7c<;1;68?j73i3:1(?:=:073?k4393?07b?;9;29 72528?;7c<;1;48?j7303:1(?:=:073?k4393=07b?;7;29 72528?;7c<;1;:8?j73>3:1(?:=:073?k4393307b?;5;29 72528?;7c<;1;c8?j73<3:1(?:=:073?k4393h07b?;2;29 72528?;7c<;1;a8?j7393:1(?:=:073?k4393n07b?;0;29 72528?;7c<;1;g8?j74n3:1(?:=:073?k4393l07b?<e;29 72528?;7c<;1;33?>i6;m0;6)<;2;364>h5<80:=65`12a94?"5<;0:9=5a253957=<g89i6=4+2509506<f;>:6<=4;n30e?6=,;>96<;?;o075?7332e:?44?:%076?7282d98<4>5:9l50>=83.98?4>519m617=9?10c<;8:18'614=9<:0b?:>:058?j72>3:1(?:=:073?k4393;376a>5483>!43:3;><6`=4082=>=h9<>1<7*=438215=i:=;1=l54o070>5<#:=81=8>4n362>4d<3f;>>7>5$361>4373g8?=7?l;:m20`<72-8?>7?:0:l104<6l21d=9=50;&107<6=91e>9?51d98k45?290/>9<51428j72628l07d:<:18'614=<;1e>9?50:9j04<72-8?>7:=;o075?7<3`>;6=4+250907=i:=;1>65f3g83>!43:3>97c<;1;18?l2d290/>9<5439m617=<21b8o4?:%076?253g8?=7;4;h6b>5<#:=818?5a25392>=n<00;6)<;2;61?k4393=07d:7:18'614=<;1e>9?58:9j02<72-8?>7:=;o075??<3`>=6=4+250907=i:=;1m65f4483>!43:3>97c<;1;`8?l23290/>9<5439m617=k21b?h4?:%076?253g8?=7j4;h43>5<#:=819k5a25394>=n=l0;6)<;2;7e?k4393;07d;k:18'614==o1e>9?52:9j1f<72-8?>7;i;o075?5<3`<26=4+25091c=i:=;1865f6983>!43:3?m7c<;1;78?l00290/>9<55g9m617=>21b:;4?:%076?3a3g8?=794;h46>5<#:=819k5a2539<>=n>=0;6)<;2;7e?k4393307d8<:18'614==o1e>9?5a:9j27<72-8?>7;i;o075?d<3`<:6=4+25091c=i:=;1o65f5c83>!43:3?m7c<;1;f8?l77n3:1(?:=:02f?k4393:07d??d;29 72528:n7c<;1;38?l77j3:1(?:=:02f?k4393807d??a;29 72528:n7c<;1;18?l7713:1(?:=:02f?k4393>07d??8;29 72528:n7c<;1;78?l77?3:1(?:=:02f?k4393<07d??6;29 72528:n7c<;1;58?l77=3:1(?:=:02f?k4393207d??4;29 72528:n7c<;1;;8?l77;3:1(?:=:02f?k4393k07d??2;29 72528:n7c<;1;`8?l7783:1(?:=:02f?k4393i07dhi:18'614=99o0b?:>:e98mcc=83.98?4>0d9m617=m21bji4?:%076?77m2d98<4i;:keg?6=,;>96<>j;o075?7732cmn7>5$361>46b3g8?=7?>;:kee?6=,;>96<>j;o075?7532cm57>5$361>46b3g8?=7?<;:ke<?6=,;>96<>j;o075?7332cm;7>5$361>46b3g8?=7?:;:k253<72-8?>7??e:l104<6>21b=<;50;&107<68l1e>9?51698m473290/>9<511g8j72628207d?>3;29 72528:n7c<;1;3:?>o69;0;6)<;2;33a>h5<80:m65f10394?"5<;0:<h5a25395g=<a8;;6=4+250955c<f;>:6<m4;h33g?6=,;>96<>j;o075?7c32c:<<4?:%076?77m2d98<4>e:9jb3<72-8?>7??e:l104<6n21d=l<50;&107<6i81e>9?50:9l5d6=83.98?4>a09m617=921d=4h50;&107<6i81e>9?52:9l5<c=83.98?4>a09m617=;21b=>:50;&107<6;:1e>9?50:9j564=83.98?4>329m617=921b=>?50;&107<6;:1e>9?52:9j566=83.98?4>329m617=;21d=lo50;&107<6i01e>9?50:9l5d>=83.98?4>a89m617=921d=l950;&107<6i01e>9?52:9l5d0=83.98?4>a89m617=;21d=5:50;&107<60:1e>9?50:9l5=4=83.98?4>829m617=921d=5>50;&107<60:1e>9?52:9l52`=83.98?4>829m617=;21d=:k50;&107<60:1e>9?54:9l52b=83.98?4>829m617==21d=:m50;&107<60:1e>9?56:9l52d=83.98?4>829m617=?21d=:o50;&107<60:1e>9?58:9l52?=83.98?4>829m617=121d=:650;&107<60:1e>9?5a:9l521=83.98?4>829m617=j21d=:;50;&107<60:1e>9?5c:9l522=83.98?4>829m617=l21d=:=50;&107<60:1e>9?5e:9l524=83.98?4>829m617=n21d=:?50;&107<60:1e>9?51198k417290/>9<51918j72628;07b?9f;29 72528287c<;1;31?>i6>l0;6)<;2;3;7>h5<80:?65`17f94?"5<;0:4>5a253951=<g8<h6=4+25095=5<f;>:6<;4;n3;f?6=,;>96<6<;o075?7132e:4l4?:%076?7?;2d98<4>7:9l5=?=83.98?4>829m617=9110c<67:18'614=9190b?:>:0;8?j7??3:1(?:=:0:0?k4393;j76a>8783>!43:3;3?6`=4082f>=h91?1<7*=4382<6=i:=;1=n54o0:2>5<#:=81=5=4n362>4b<3f;<:7>5$361>4>43g8?=7?j;:m22g<72-8?>7?73:l104<6n21b=9hn:18'614=9=l27c<;1;28?l73n10;6)<;2;37b<=i:=;1=65f15d4>5<#:=81=9h6;o075?4<3`;?j;4?:%076?73n01e>9?53:9j`a<72-8?>7jl;o075?6<3`ni6=4+2509`f=i:=;1=65fd883>!43:3nh7c<;1;08?lb?290/>9<5db9m617=;21bh:4?:%076?bd3g8?=7:4;hf5>5<#:=81hn5a25391>=nl<0;6)<;2;f`?k4393<07dj;:18'614=lj1e>9?57:9j`6<72-8?>7jl;o075?><3`n96=4+2509`f=i:=;1565fd083>!43:3nh7c<;1;c8?lb7290/>9<5db9m617=j21boh4?:%076?bd3g8?=7m4;hag>5<#:=81hn5a2539`>=nkj0;6)<;2;f`?k4393o07dmm:18'614=lj1e>9?5f:9jgd<72-8?>7jl;o075?7732ch57>5$361>ae<f;>:6<?4;ha;>5<#:=81hn5a253957=<aj=1<7*=438gg>h5<80:?65fc783>!43:3nh7c<;1;37?>od=3:1(?:=:ea8j72628?07dk;:18'614=lj1e>9?51798m`5=83.98?4kc:l104<6?21bi?4?:%076?bd3g8?=7?7;:kf5?6=,;>96im4n362>4?<3`o;6=4+2509`f=i:=;1=l54ied94?"5<;0oo6`=4082f>=nll0;6)<;2;f`?k4393;h76gka;29 7252mi0b?:>:0f8?lea290/>9<5db9m617=9l10en:50;&107<ck2d98<4>f:9~f3b2290io7>50z&022<5<h1C=8><;I37ad=]?00hw<;51781f?4a2;i1?=4=d;05>73=:>09i7<;:|&17a<6=?l0bn?5139mg7<6:2d::l4?;o3:`?6<,8h=6<ll;%3a3?7ek2.:hl49;%3gf?0<,8nh6;5+1ef92>"6ll0=7)?kf;48 4c72?1/=h?56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(<k6:79'5`g=>2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(<h6:79'5cg=>2.:jo49;%3eg?0<,8lo6;5+1gg92>"6no0=7)<?0;48 7662?1/>=<56:&146<13-8;8784$326>3=#:9<1:6*=0685?!4703<0(?>6:79'65g=>2.9<o49;%03g?0<,;:o6;5+21g92>"58o0=7)<>0;48 7762?1/><<56:&156<13-8:8784$336>3=#:8<1:6*=1685?!4603<0(??6:79'64g=>2.9=o49;%02g?0<,;;o6;5+20g92>"59o0=7)<=0;48 7462?1/>?<56:&166<23-8987;4$306>75e3-8>?7<94:&111<0k2.99848c:&11<<6<ln0(?;n:37`?!4193?0(?8=:49'51`a28>mi6*>512951`b3`8?h7>5;h:5>5<<a8h?6=44i372>5<<a1i1<75f25g94?=n:<:1<75f1c094?=n9;i1<7*=43826g=i:=;1<65f13c94?"5<;0:>o5a25395>=n9;31<7*=43826g=i:=;1>65f13:94?"5<;0:>o5a25397>=n9=l96=4+250951`63g8?=7>4;h37b5<72-8?>7?;f09m617=921b=9ki:18'614=9=l:7c<;1;08?l73ml0;6)<;2;37b4=i:=;1?65`14394?"5<;0:9=5a25394>=h9=l1<7*=438215=i:=;1=65`15f94?"5<;0:9=5a25396>=h9=i1<7*=438215=i:=;1?65`15`94?"5<;0:9=5a25390>=h9=k1<7*=438215=i:=;1965`15;94?"5<;0:9=5a25392>=h9=21<7*=438215=i:=;1;65`15594?"5<;0:9=5a2539<>=h9=<1<7*=438215=i:=;1565`15794?"5<;0:9=5a2539e>=h9=>1<7*=438215=i:=;1n65`15094?"5<;0:9=5a2539g>=h9=;1<7*=438215=i:=;1h65`15294?"5<;0:9=5a2539a>=h9:l1<7*=438215=i:=;1j65`12g94?"5<;0:9=5a253955=<g89o6=4+2509506<f;>:6<?4;n30g?6=,;>96<;?;o075?7532e:?o4?:%076?7282d98<4>3:9l56g=83.98?4>519m617=9=10c<=6:18'614=9<:0b?:>:078?j7203:1(?:=:073?k4393;=76a>5683>!43:3;><6`=40823>=h9<<1<7*=438215=i:=;1=554o076>5<#:=81=8>4n362>4?<3f;>87>5$361>4373g8?=7?n;:m216<72-8?>7?:0:l104<6j21d=8<50;&107<6=91e>9?51b98k42b290/>9<51428j72628n07b?;3;29 72528?;7c<;1;3f?>i6;10;6)<;2;364>h5<80:j65f4283>!43:3>97c<;1;28?l26290/>9<5439m617=921b8=4?:%076?253g8?=7<4;h1e>5<#:=818?5a25397>=n<j0;6)<;2;61?k4393>07d:m:18'614=<;1e>9?55:9j0d<72-8?>7:=;o075?0<3`>26=4+250907=i:=;1;65f4983>!43:3>97c<;1;:8?l20290/>9<5439m617=121b8;4?:%076?253g8?=7o4;h66>5<#:=818?5a2539f>=n<=0;6)<;2;61?k4393i07d=j:18'614=<;1e>9?5d:9j25<72-8?>7;i;o075?6<3`?n6=4+25091c=i:=;1=65f5e83>!43:3?m7c<;1;08?l3d290/>9<55g9m617=;21b:44?:%076?3a3g8?=7:4;h4;>5<#:=819k5a25391>=n>>0;6)<;2;7e?k4393<07d89:18'614==o1e>9?57:9j20<72-8?>7;i;o075?><3`<?6=4+25091c=i:=;1565f6283>!43:3?m7c<;1;c8?l05290/>9<55g9m617=j21b:<4?:%076?3a3g8?=7m4;h7a>5<#:=819k5a2539`>=n99l1<7*=43824`=i:=;1<65f11f94?"5<;0:<h5a25395>=n99h1<7*=43824`=i:=;1>65f11c94?"5<;0:<h5a25397>=n9931<7*=43824`=i:=;1865f11:94?"5<;0:<h5a25391>=n99=1<7*=43824`=i:=;1:65f11494?"5<;0:<h5a25393>=n99?1<7*=43824`=i:=;1465f11694?"5<;0:<h5a2539=>=n9991<7*=43824`=i:=;1m65f11094?"5<;0:<h5a2539f>=n99:1<7*=43824`=i:=;1o65ffg83>!43:3;;i6`=408g?>oam3:1(?:=:02f?k4393o07dhk:18'614=99o0b?:>:g98mce=83.98?4>0d9m617=9910ekl50;&107<68l1e>9?51098mcg=83.98?4>0d9m617=9;10ek750;&107<68l1e>9?51298mc>=83.98?4>0d9m617=9=10ek950;&107<68l1e>9?51498m471290/>9<511g8j72628<07d?>5;29 72528:n7c<;1;34?>o69=0;6)<;2;33a>h5<80:465f10194?"5<;0:<h5a25395<=<a8;96=4+250955c<f;>:6<o4;h325?6=,;>96<>j;o075?7e32c:==4?:%076?77m2d98<4>c:9j55e=83.98?4>0d9m617=9m10e<>>:18'614=99o0b?:>:0g8?l`1290/>9<511g8j72628l07b?n2;29 72528k:7c<;1;28?j7f83:1(?:=:0c2?k4393;07b?6f;29 72528k:7c<;1;08?j7>m3:1(?:=:0c2?k4393907d?<4;29 72528987c<;1;28?l74:3:1(?:=:010?k4393;07d?<1;29 72528987c<;1;08?l7483:1(?:=:010?k4393907b?na;29 72528k27c<;1;28?j7f03:1(?:=:0c:?k4393;07b?n7;29 72528k27c<;1;08?j7f>3:1(?:=:0c:?k4393907b?74;29 72528287c<;1;28?j7?:3:1(?:=:0:0?k4393;07b?70;29 72528287c<;1;08?j70n3:1(?:=:0:0?k4393907b?8e;29 72528287c<;1;68?j70l3:1(?:=:0:0?k4393?07b?8c;29 72528287c<;1;48?j70j3:1(?:=:0:0?k4393=07b?8a;29 72528287c<;1;:8?j7013:1(?:=:0:0?k4393307b?88;29 72528287c<;1;c8?j70?3:1(?:=:0:0?k4393h07b?85;29 72528287c<;1;a8?j70<3:1(?:=:0:0?k4393n07b?83;29 72528287c<;1;g8?j70:3:1(?:=:0:0?k4393l07b?81;29 72528287c<;1;33?>i6?90;6)<;2;3;7>h5<80:=65`17d94?"5<;0:4>5a253957=<g8<n6=4+25095=5<f;>:6<=4;n35`?6=,;>96<6<;o075?7332e::n4?:%076?7?;2d98<4>5:9l5=d=83.98?4>829m617=9?10c<6n:18'614=9190b?:>:058?j7?13:1(?:=:0:0?k4393;376a>8983>!43:3;3?6`=4082=>=h91=1<7*=4382<6=i:=;1=l54o0:5>5<#:=81=5=4n362>4d<3f;397>5$361>4>43g8?=7?l;:m2<4<72-8?>7?73:l104<6l21d=:850;&107<60:1e>9?51d98k40e290/>9<51918j72628l07d?;f`83>!43:3;?j45a25394>=n9=l36=4+250951`>3g8?=7?4;h37b2<72-8?>7?;f89m617=:21b=9h9:18'614=9=l27c<;1;18?lbc290/>9<5db9m617=821bho4?:%076?bd3g8?=7?4;hf:>5<#:=81hn5a25396>=nl10;6)<;2;f`?k4393907dj8:18'614=lj1e>9?54:9j`3<72-8?>7jl;o075?3<3`n>6=4+2509`f=i:=;1:65fd583>!43:3nh7c<;1;58?lb4290/>9<5db9m617=021bh?4?:%076?bd3g8?=774;hf2>5<#:=81hn5a2539e>=nl90;6)<;2;f`?k4393h07dmj:18'614=lj1e>9?5c:9jga<72-8?>7jl;o075?b<3`ih6=4+2509`f=i:=;1i65fcc83>!43:3nh7c<;1;d8?lef290/>9<5db9m617=9910en750;&107<ck2d98<4>1:9jg=<72-8?>7jl;o075?7532ch;7>5$361>ae<f;>:6<=4;ha5>5<#:=81hn5a253951=<aj?1<7*=438gg>h5<80:965fe583>!43:3nh7c<;1;35?>ob;3:1(?:=:ea8j72628=07dk=:18'614=lj1e>9?51998m`7=83.98?4kc:l104<6121bi=4?:%076?bd3g8?=7?n;:kgb?6=,;>96im4n362>4d<3`nn6=4+2509`f=i:=;1=n54iec94?"5<;0oo6`=4082`>=nko0;6)<;2;f`?k4393;n76gl4;29 7252mi0b?:>:0d8?xd1l10;6om50;2x 6002;>j7E?:029K51cf3S=26nu>5;35>7d=:o09o7=?:3f963<5=38<6?k5258~ 75c28?=j6`l1;31?ke52880b<8n:19m5<b=82.:n;4>bb9'5g1=9ki0(<jn:79'5ad=>2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m90=7)?j1;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(<km:79'5`e=>2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(<hm:79'5ce=>2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)<?2;48 7642?1/>=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9<i49;%03a?0<,;:m6;5+20292>"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80=7)<=2;48 7442<1/>?:55:&160<5;k1/>8=52768 7332>i0(?;::6a8 73>28>nh6*=5`811f=#:?;196*=6386?!73no0:8kk4$0734?73nl1b>9j50;9j<3<722c:n94?::k114<722c3o7>5;h07a?6=3`8><7>5;h3a6?6=3`;9o7>5$361>44e3g8?=7>4;h31e?6=,;>96<<m;o075?7<3`;957>5$361>44e3g8?=7<4;h31<?6=,;>96<<m;o075?5<3`;?j?4?:%076?73n81e>9?50:9j51`7290/>9<515d2?k4393;07d?;eg83>!43:3;?j<5a25396>=n9=on6=4+250951`63g8?=7=4;n365?6=,;>96<;?;o075?6<3f;?j7>5$361>4373g8?=7?4;n37`?6=,;>96<;?;o075?4<3f;?o7>5$361>4373g8?=7=4;n37f?6=,;>96<;?;o075?2<3f;?m7>5$361>4373g8?=7;4;n37=?6=,;>96<;?;o075?0<3f;?47>5$361>4373g8?=794;n373?6=,;>96<;?;o075?><3f;?:7>5$361>4373g8?=774;n371?6=,;>96<;?;o075?g<3f;?87>5$361>4373g8?=7l4;n376?6=,;>96<;?;o075?e<3f;?=7>5$361>4373g8?=7j4;n374?6=,;>96<;?;o075?c<3f;8j7>5$361>4373g8?=7h4;n30a?6=,;>96<;?;o075?7732e:?i4?:%076?7282d98<4>1:9l56e=83.98?4>519m617=9;10c<=m:18'614=9<:0b?:>:018?j74i3:1(?:=:073?k4393;?76a>3883>!43:3;><6`=40821>=h9<21<7*=438215=i:=;1=;54o074>5<#:=81=8>4n362>41<3f;>:7>5$361>4373g8?=7?7;:m210<72-8?>7?:0:l104<6121d=8:50;&107<6=91e>9?51`98k434290/>9<51428j72628h07b?:2;29 72528?;7c<;1;3`?>i6<l0;6)<;2;364>h5<80:h65`15194?"5<;0:9=5a25395`=<g8936=4+2509506<f;>:6<h4;h60>5<#:=818?5a25394>=n<80;6)<;2;61?k4393;07d:?:18'614=<;1e>9?52:9j7c<72-8?>7:=;o075?5<3`>h6=4+250907=i:=;1865f4c83>!43:3>97c<;1;78?l2f290/>9<5439m617=>21b844?:%076?253g8?=794;h6;>5<#:=818?5a2539<>=n<>0;6)<;2;61?k4393307d:9:18'614=<;1e>9?5a:9j00<72-8?>7:=;o075?d<3`>?6=4+250907=i:=;1o65f3d83>!43:3>97c<;1;f8?l07290/>9<55g9m617=821b9h4?:%076?3a3g8?=7?4;h7g>5<#:=819k5a25396>=n=j0;6)<;2;7e?k4393907d86:18'614==o1e>9?54:9j2=<72-8?>7;i;o075?3<3`<<6=4+25091c=i:=;1:65f6783>!43:3?m7c<;1;58?l02290/>9<55g9m617=021b:94?:%076?3a3g8?=774;h40>5<#:=819k5a2539e>=n>;0;6)<;2;7e?k4393h07d8>:18'614==o1e>9?5c:9j1g<72-8?>7;i;o075?b<3`;;j7>5$361>46b3g8?=7>4;h33`?6=,;>96<>j;o075?7<3`;;n7>5$361>46b3g8?=7<4;h33e?6=,;>96<>j;o075?5<3`;;57>5$361>46b3g8?=7:4;h33<?6=,;>96<>j;o075?3<3`;;;7>5$361>46b3g8?=784;h332?6=,;>96<>j;o075?1<3`;;97>5$361>46b3g8?=764;h330?6=,;>96<>j;o075??<3`;;?7>5$361>46b3g8?=7o4;h336?6=,;>96<>j;o075?d<3`;;<7>5$361>46b3g8?=7m4;hde>5<#:=81==k4n362>a=<aoo1<7*=43824`=i:=;1i65ffe83>!43:3;;i6`=408e?>oak3:1(?:=:02f?k4393;;76gib;29 72528:n7c<;1;32?>oai3:1(?:=:02f?k4393;976gi9;29 72528:n7c<;1;30?>oa03:1(?:=:02f?k4393;?76gi7;29 72528:n7c<;1;36?>o69?0;6)<;2;33a>h5<80::65f10794?"5<;0:<h5a253952=<a8;?6=4+250955c<f;>:6<64;h327?6=,;>96<>j;o075?7>32c:=?4?:%076?77m2d98<4>a:9j547=83.98?4>0d9m617=9k10e<??:18'614=99o0b?:>:0a8?l77k3:1(?:=:02f?k4393;o76g>0083>!43:3;;i6`=4082a>=nn?0;6)<;2;33a>h5<80:j65`1`094?"5<;0:m<5a25394>=h9h:1<7*=4382e4=i:=;1=65`18d94?"5<;0:m<5a25396>=h90o1<7*=4382e4=i:=;1?65f12694?"5<;0:?>5a25394>=n9:81<7*=438276=i:=;1=65f12394?"5<;0:?>5a25396>=n9::1<7*=438276=i:=;1?65`1`c94?"5<;0:m45a25394>=h9h21<7*=4382e<=i:=;1=65`1`594?"5<;0:m45a25396>=h9h<1<7*=4382e<=i:=;1?65`19694?"5<;0:4>5a25394>=h9181<7*=4382<6=i:=;1=65`19294?"5<;0:4>5a25396>=h9>l1<7*=4382<6=i:=;1?65`16g94?"5<;0:4>5a25390>=h9>n1<7*=4382<6=i:=;1965`16a94?"5<;0:4>5a25392>=h9>h1<7*=4382<6=i:=;1;65`16c94?"5<;0:4>5a2539<>=h9>31<7*=4382<6=i:=;1565`16:94?"5<;0:4>5a2539e>=h9>=1<7*=4382<6=i:=;1n65`16794?"5<;0:4>5a2539g>=h9>>1<7*=4382<6=i:=;1h65`16194?"5<;0:4>5a2539a>=h9>81<7*=4382<6=i:=;1j65`16394?"5<;0:4>5a253955=<g8=;6=4+25095=5<f;>:6<?4;n35b?6=,;>96<6<;o075?7532e::h4?:%076?7?;2d98<4>3:9l53b=83.98?4>829m617=9=10c<8l:18'614=9190b?:>:078?j7?j3:1(?:=:0:0?k4393;=76a>8`83>!43:3;3?6`=40823>=h9131<7*=4382<6=i:=;1=554o0:;>5<#:=81=5=4n362>4?<3f;3;7>5$361>4>43g8?=7?n;:m2<3<72-8?>7?73:l104<6j21d=5;50;&107<60:1e>9?51b98k4>6290/>9<51918j72628n07b?86;29 72528287c<;1;3f?>i6>k0;6)<;2;3;7>h5<80:j65f15db>5<#:=81=9h6;o075?6<3`;?j54?:%076?73n01e>9?51:9j51`0290/>9<515d:?k4393807d?;f783>!43:3;?j45a25397>=nlm0;6)<;2;f`?k4393:07djm:18'614=lj1e>9?51:9j`<<72-8?>7jl;o075?4<3`n36=4+2509`f=i:=;1?65fd683>!43:3nh7c<;1;68?lb1290/>9<5db9m617==21bh84?:%076?bd3g8?=784;hf7>5<#:=81hn5a25393>=nl:0;6)<;2;f`?k4393207dj=:18'614=lj1e>9?59:9j`4<72-8?>7jl;o075?g<3`n;6=4+2509`f=i:=;1n65fcd83>!43:3nh7c<;1;a8?lec290/>9<5db9m617=l21bon4?:%076?bd3g8?=7k4;haa>5<#:=81hn5a2539b>=nkh0;6)<;2;f`?k4393;;76gl9;29 7252mi0b?:>:038?le?290/>9<5db9m617=9;10en950;&107<ck2d98<4>3:9jg3<72-8?>7jl;o075?7332ch97>5$361>ae<f;>:6<;4;hg7>5<#:=81hn5a253953=<al91<7*=438gg>h5<80:;65fe383>!43:3nh7c<;1;3;?>ob93:1(?:=:ea8j72628307dk?:18'614=lj1e>9?51`98ma`=83.98?4kc:l104<6j21bhh4?:%076?bd3g8?=7?l;:kge?6=,;>96im4n362>4b<3`im6=4+2509`f=i:=;1=h54ib694?"5<;0oo6`=4082b>=zj?ni6=4mc;294~"4>>098l5G1420?M73mh1Q;44l{07953<5j38m6?m53181`?412;?1>:4=e;07>x"5;m0:9;h4nb3957=ik;0:>6`>6`83?k7>l3:0(<l9:0``?!7e?3;io6*>d`85?!7cj3<0(<jl:79'5ab=>2.:hh49;%3gb?0<,8o;6;5+1d392>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(<kk:79'5`c=>2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(<hk:79'5cc=>2.:jk49;%034?0<,;::6;5+21092>"58:0=7)<?4;48 7622?1/>=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9<k49;%024?0<,;;:6;5+20092>"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:6;5+23092>"5::0>7)<=4;78 7422;9i7)<:3;050>"5==0<o6*=5484g>"5=00:8hj4$37b>73d3-8==7;4$341>0=#9=lm6<:ie:&2156=9=ln7d<;d;29?l>12900e<l;:188m7362900e5m50;9j61c=831b>8>50;9j5g4=831b=?m50;&107<6:k1e>9?50:9j57g=83.98?4>2c9m617=921b=?750;&107<6:k1e>9?52:9j57>=83.98?4>2c9m617=;21b=9h=:18'614=9=l:7c<;1;28?l73n90;6)<;2;37b4=i:=;1=65f15ge>5<#:=81=9h>;o075?4<3`;?ih4?:%076?73n81e>9?53:9l507=83.98?4>519m617=821d=9h50;&107<6=91e>9?51:9l51b=83.98?4>519m617=:21d=9m50;&107<6=91e>9?53:9l51d=83.98?4>519m617=<21d=9o50;&107<6=91e>9?55:9l51?=83.98?4>519m617=>21d=9650;&107<6=91e>9?57:9l511=83.98?4>519m617=021d=9850;&107<6=91e>9?59:9l513=83.98?4>519m617=i21d=9:50;&107<6=91e>9?5b:9l514=83.98?4>519m617=k21d=9?50;&107<6=91e>9?5d:9l516=83.98?4>519m617=m21d=>h50;&107<6=91e>9?5f:9l56c=83.98?4>519m617=9910c<=k:18'614=9<:0b?:>:038?j74k3:1(?:=:073?k4393;976a>3c83>!43:3;><6`=40827>=h9:k1<7*=438215=i:=;1=954o01:>5<#:=81=8>4n362>43<3f;>47>5$361>4373g8?=7?9;:m212<72-8?>7?:0:l104<6?21d=8850;&107<6=91e>9?51998k432290/>9<51428j72628307b?:4;29 72528?;7c<;1;3b?>i6=:0;6)<;2;364>h5<80:n65`14094?"5<;0:9=5a25395f=<g8>n6=4+2509506<f;>:6<j4;n377?6=,;>96<;?;o075?7b32e:?54?:%076?7282d98<4>f:9j06<72-8?>7:=;o075?6<3`>:6=4+250907=i:=;1=65f4183>!43:3>97c<;1;08?l5a290/>9<5439m617=;21b8n4?:%076?253g8?=7:4;h6a>5<#:=818?5a25391>=n<h0;6)<;2;61?k4393<07d:6:18'614=<;1e>9?57:9j0=<72-8?>7:=;o075?><3`><6=4+250907=i:=;1565f4783>!43:3>97c<;1;c8?l22290/>9<5439m617=j21b894?:%076?253g8?=7m4;h1f>5<#:=818?5a2539`>=n>90;6)<;2;7e?k4393:07d;j:18'614==o1e>9?51:9j1a<72-8?>7;i;o075?4<3`?h6=4+25091c=i:=;1?65f6883>!43:3?m7c<;1;68?l0?290/>9<55g9m617==21b::4?:%076?3a3g8?=784;h45>5<#:=819k5a25393>=n><0;6)<;2;7e?k4393207d8;:18'614==o1e>9?59:9j26<72-8?>7;i;o075?g<3`<96=4+25091c=i:=;1n65f6083>!43:3?m7c<;1;a8?l3e290/>9<55g9m617=l21b==h50;&107<68l1e>9?50:9j55b=83.98?4>0d9m617=921b==l50;&107<68l1e>9?52:9j55g=83.98?4>0d9m617=;21b==750;&107<68l1e>9?54:9j55>=83.98?4>0d9m617==21b==950;&107<68l1e>9?56:9j550=83.98?4>0d9m617=?21b==;50;&107<68l1e>9?58:9j552=83.98?4>0d9m617=121b===50;&107<68l1e>9?5a:9j554=83.98?4>0d9m617=j21b==>50;&107<68l1e>9?5c:9jbc<72-8?>7??e:l104<c32cmi7>5$361>46b3g8?=7k4;hdg>5<#:=81==k4n362>c=<aoi1<7*=43824`=i:=;1==54ig`94?"5<;0:<h5a253954=<aok1<7*=43824`=i:=;1=?54ig;94?"5<;0:<h5a253956=<ao21<7*=43824`=i:=;1=954ig594?"5<;0:<h5a253950=<a8;=6=4+250955c<f;>:6<84;h321?6=,;>96<>j;o075?7032c:=94?:%076?77m2d98<4>8:9j545=83.98?4>0d9m617=9010e<?=:18'614=99o0b?:>:0c8?l7693:1(?:=:02f?k4393;i76g>1183>!43:3;;i6`=4082g>=n99i1<7*=43824`=i:=;1=i54i022>5<#:=81==k4n362>4c<3`l=6=4+250955c<f;>:6<h4;n3b6?6=,;>96<o>;o075?6<3f;j<7>5$361>4g63g8?=7?4;n3:b?6=,;>96<o>;o075?4<3f;2i7>5$361>4g63g8?=7=4;h300?6=,;>96<=<;o075?6<3`;8>7>5$361>4543g8?=7?4;h305?6=,;>96<=<;o075?4<3`;8<7>5$361>4543g8?=7=4;n3be?6=,;>96<o6;o075?6<3f;j47>5$361>4g>3g8?=7?4;n3b3?6=,;>96<o6;o075?4<3f;j:7>5$361>4g>3g8?=7=4;n3;0?6=,;>96<6<;o075?6<3f;3>7>5$361>4>43g8?=7?4;n3;4?6=,;>96<6<;o075?4<3f;<j7>5$361>4>43g8?=7=4;n34a?6=,;>96<6<;o075?2<3f;<h7>5$361>4>43g8?=7;4;n34g?6=,;>96<6<;o075?0<3f;<n7>5$361>4>43g8?=794;n34e?6=,;>96<6<;o075?><3f;<57>5$361>4>43g8?=774;n34<?6=,;>96<6<;o075?g<3f;<;7>5$361>4>43g8?=7l4;n341?6=,;>96<6<;o075?e<3f;<87>5$361>4>43g8?=7j4;n347?6=,;>96<6<;o075?c<3f;<>7>5$361>4>43g8?=7h4;n345?6=,;>96<6<;o075?7732e:;=4?:%076?7?;2d98<4>1:9l53`=83.98?4>829m617=9;10c<8j:18'614=9190b?:>:018?j71l3:1(?:=:0:0?k4393;?76a>6b83>!43:3;3?6`=40821>=h91h1<7*=4382<6=i:=;1=;54o0:b>5<#:=81=5=4n362>41<3f;357>5$361>4>43g8?=7?7;:m2<=<72-8?>7?73:l104<6121d=5950;&107<60:1e>9?51`98k4>1290/>9<51918j72628h07b?75;29 72528287c<;1;3`?>i6080;6)<;2;3;7>h5<80:h65`16494?"5<;0:4>5a25395`=<g8<i6=4+25095=5<f;>:6<h4;h37bd<72-8?>7?;f89m617=821b=9h7:18'614=9=l27c<;1;38?l73n>0;6)<;2;37b<=i:=;1>65f15d5>5<#:=81=9h6;o075?5<3`no6=4+2509`f=i:=;1<65fdc83>!43:3nh7c<;1;38?lb>290/>9<5db9m617=:21bh54?:%076?bd3g8?=7=4;hf4>5<#:=81hn5a25390>=nl?0;6)<;2;f`?k4393?07dj::18'614=lj1e>9?56:9j`1<72-8?>7jl;o075?1<3`n86=4+2509`f=i:=;1465fd383>!43:3nh7c<;1;;8?lb6290/>9<5db9m617=i21bh=4?:%076?bd3g8?=7l4;haf>5<#:=81hn5a2539g>=nkm0;6)<;2;f`?k4393n07dml:18'614=lj1e>9?5e:9jgg<72-8?>7jl;o075?`<3`ij6=4+2509`f=i:=;1==54ib;94?"5<;0oo6`=40825>=nk10;6)<;2;f`?k4393;976gl7;29 7252mi0b?:>:018?le1290/>9<5db9m617=9=10en;50;&107<ck2d98<4>5:9ja1<72-8?>7jl;o075?7132cn?7>5$361>ae<f;>:6<94;hg1>5<#:=81hn5a25395==<al;1<7*=438gg>h5<80:565fe183>!43:3nh7c<;1;3b?>ocn3:1(?:=:ea8j72628h07djj:18'614=lj1e>9?51b98mag=83.98?4kc:l104<6l21bok4?:%076?bd3g8?=7?j;:k`0?6=,;>96im4n362>4`<3th=n54?:ca94?6|,:<<6?:n;I3646=O9=oj7W96:by21?712;h1>k4=c;13>7b=:?0997<8:3g961<z,;9o6<;9f:l`5?753gi96<<4n04b>5=i90n1<6*>b782ff=#9k=1=om4$0fb>3=#9mh1:6*>db85?!7cl3<0(<jj:79'5a`=>2.:i=49;%3f5?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(<ki:79'5c6=>2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(<hi:79'656=>2.9<<49;%036?0<,;:86;5+21692>"58<0=7)<?6;48 7602?1/>=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><49;%016?0<,;88685+23691>"5:<09?o5+2419632<,;??6:m4$376>2e<,;?26<:jd:&11d<5=j1/>;?55:&127<23-;?jk4>4gg8 43783;?jh5f25f94?=n0?0;66g>b583>>o5=80;66g7c;29?l43m3:17d<:0;29?l7e:3:17d?=c;29 725288i7c<;1;28?l75i3:1(?:=:00a?k4393;07d?=9;29 725288i7c<;1;08?l7503:1(?:=:00a?k4393907d?;f383>!43:3;?j<5a25394>=n9=l;6=4+250951`63g8?=7?4;h37ac<72-8?>7?;f09m617=:21b=9kj:18'614=9=l:7c<;1;18?j7293:1(?:=:073?k4393:07b?;f;29 72528?;7c<;1;38?j73l3:1(?:=:073?k4393807b?;c;29 72528?;7c<;1;18?j73j3:1(?:=:073?k4393>07b?;a;29 72528?;7c<;1;78?j7313:1(?:=:073?k4393<07b?;8;29 72528?;7c<;1;58?j73?3:1(?:=:073?k4393207b?;6;29 72528?;7c<;1;;8?j73=3:1(?:=:073?k4393k07b?;4;29 72528?;7c<;1;`8?j73:3:1(?:=:073?k4393i07b?;1;29 72528?;7c<;1;f8?j7383:1(?:=:073?k4393o07b?<f;29 72528?;7c<;1;d8?j74m3:1(?:=:073?k4393;;76a>3e83>!43:3;><6`=40825>=h9:i1<7*=438215=i:=;1=?54o01a>5<#:=81=8>4n362>45<3f;8m7>5$361>4373g8?=7?;;:m27<<72-8?>7?:0:l104<6=21d=8650;&107<6=91e>9?51798k430290/>9<51428j72628=07b?:6;29 72528?;7c<;1;3;?>i6=<0;6)<;2;364>h5<80:565`14694?"5<;0:9=5a25395d=<g8?86=4+2509506<f;>:6<l4;n366?6=,;>96<;?;o075?7d32e:8h4?:%076?7282d98<4>d:9l515=83.98?4>519m617=9l10c<=7:18'614=9<:0b?:>:0d8?l24290/>9<5439m617=821b8<4?:%076?253g8?=7?4;h63>5<#:=818?5a25396>=n;o0;6)<;2;61?k4393907d:l:18'614=<;1e>9?54:9j0g<72-8?>7:=;o075?3<3`>j6=4+250907=i:=;1:65f4883>!43:3>97c<;1;58?l2?290/>9<5439m617=021b8:4?:%076?253g8?=774;h65>5<#:=818?5a2539e>=n<<0;6)<;2;61?k4393h07d:;:18'614=<;1e>9?5c:9j7`<72-8?>7:=;o075?b<3`<;6=4+25091c=i:=;1<65f5d83>!43:3?m7c<;1;38?l3c290/>9<55g9m617=:21b9n4?:%076?3a3g8?=7=4;h4:>5<#:=819k5a25390>=n>10;6)<;2;7e?k4393?07d88:18'614==o1e>9?56:9j23<72-8?>7;i;o075?1<3`<>6=4+25091c=i:=;1465f6583>!43:3?m7c<;1;;8?l04290/>9<55g9m617=i21b:?4?:%076?3a3g8?=7l4;h42>5<#:=819k5a2539g>=n=k0;6)<;2;7e?k4393n07d??f;29 72528:n7c<;1;28?l77l3:1(?:=:02f?k4393;07d??b;29 72528:n7c<;1;08?l77i3:1(?:=:02f?k4393907d??9;29 72528:n7c<;1;68?l7703:1(?:=:02f?k4393?07d??7;29 72528:n7c<;1;48?l77>3:1(?:=:02f?k4393=07d??5;29 72528:n7c<;1;:8?l77<3:1(?:=:02f?k4393307d??3;29 72528:n7c<;1;c8?l77:3:1(?:=:02f?k4393h07d??0;29 72528:n7c<;1;a8?l`a290/>9<511g8j7262m10ekk50;&107<68l1e>9?5e:9jba<72-8?>7??e:l104<a32cmo7>5$361>46b3g8?=7??;:kef?6=,;>96<>j;o075?7632cmm7>5$361>46b3g8?=7?=;:ke=?6=,;>96<>j;o075?7432cm47>5$361>46b3g8?=7?;;:ke3?6=,;>96<>j;o075?7232c:=;4?:%076?77m2d98<4>6:9j543=83.98?4>0d9m617=9>10e<?;:18'614=99o0b?:>:0:8?l76;3:1(?:=:02f?k4393;276g>1383>!43:3;;i6`=4082e>=n98;1<7*=43824`=i:=;1=o54i033>5<#:=81==k4n362>4e<3`;;o7>5$361>46b3g8?=7?k;:k244<72-8?>7??e:l104<6m21bj;4?:%076?77m2d98<4>f:9l5d4=83.98?4>a09m617=821d=l>50;&107<6i81e>9?51:9l5<`=83.98?4>a09m617=:21d=4k50;&107<6i81e>9?53:9j562=83.98?4>329m617=821b=><50;&107<6;:1e>9?51:9j567=83.98?4>329m617=:21b=>>50;&107<6;:1e>9?53:9l5dg=83.98?4>a89m617=821d=l650;&107<6i01e>9?51:9l5d1=83.98?4>a89m617=:21d=l850;&107<6i01e>9?53:9l5=2=83.98?4>829m617=821d=5<50;&107<60:1e>9?51:9l5=6=83.98?4>829m617=:21d=:h50;&107<60:1e>9?53:9l52c=83.98?4>829m617=<21d=:j50;&107<60:1e>9?55:9l52e=83.98?4>829m617=>21d=:l50;&107<60:1e>9?57:9l52g=83.98?4>829m617=021d=:750;&107<60:1e>9?59:9l52>=83.98?4>829m617=i21d=:950;&107<60:1e>9?5b:9l523=83.98?4>829m617=k21d=::50;&107<60:1e>9?5d:9l525=83.98?4>829m617=m21d=:<50;&107<60:1e>9?5f:9l527=83.98?4>829m617=9910c<9?:18'614=9190b?:>:038?j71n3:1(?:=:0:0?k4393;976a>6d83>!43:3;3?6`=40827>=h9?n1<7*=4382<6=i:=;1=954o04`>5<#:=81=5=4n362>43<3f;3n7>5$361>4>43g8?=7?9;:m2<d<72-8?>7?73:l104<6?21d=5750;&107<60:1e>9?51998k4>?290/>9<51918j72628307b?77;29 72528287c<;1;3b?>i60?0;6)<;2;3;7>h5<80:n65`19794?"5<;0:4>5a25395f=<g82:6=4+25095=5<f;>:6<j4;n342?6=,;>96<6<;o075?7b32e::o4?:%076?7?;2d98<4>f:9j51`f290/>9<515d:?k4393:07d?;f983>!43:3;?j45a25395>=n9=l<6=4+250951`>3g8?=7<4;h37b3<72-8?>7?;f89m617=;21bhi4?:%076?bd3g8?=7>4;hfa>5<#:=81hn5a25395>=nl00;6)<;2;f`?k4393807dj7:18'614=lj1e>9?53:9j`2<72-8?>7jl;o075?2<3`n=6=4+2509`f=i:=;1965fd483>!43:3nh7c<;1;48?lb3290/>9<5db9m617=?21bh>4?:%076?bd3g8?=764;hf1>5<#:=81hn5a2539=>=nl80;6)<;2;f`?k4393k07dj?:18'614=lj1e>9?5b:9jg`<72-8?>7jl;o075?e<3`io6=4+2509`f=i:=;1h65fcb83>!43:3nh7c<;1;g8?lee290/>9<5db9m617=n21bol4?:%076?bd3g8?=7??;:k`=?6=,;>96im4n362>47<3`i36=4+2509`f=i:=;1=?54ib594?"5<;0oo6`=40827>=nk?0;6)<;2;f`?k4393;?76gl5;29 7252mi0b?:>:078?lc3290/>9<5db9m617=9?10eh=50;&107<ck2d98<4>7:9ja7<72-8?>7jl;o075?7?32cn=7>5$361>ae<f;>:6<74;hg3>5<#:=81hn5a25395d=<aml1<7*=438gg>h5<80:n65fdd83>!43:3nh7c<;1;3`?>oci3:1(?:=:ea8j72628n07dmi:18'614=lj1e>9?51d98mf2=83.98?4kc:l104<6n21vn;lm:18ag?6=8r.8::4=4`9K50643A;?il5U788`43=9?09n7<i:3a975<5l38=6?;52681a?432t.9?i4>57d8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4dd3-;i;7?mc:&2`d<13-;on784$0f`>3=#9mn1:6*>dd85?!7cn3<0(<k?:79'5`7=>2.:i>49;%3f0?0<,8o>6;5+1d492>"6m>0=7)?j8;48 4c>2?1/=ho56:&2ag<13-;no784$0gg>3=#9lo1:6*>eg85?!7a83<0(<h>:79'5c4=>2.:j>49;%3e0?0<,8l>6;5+1g492>"6n>0=7)?i8;48 4`>2?1/=ko56:&2bg<13-;mo784$0dg>3=#9oo1:6*>fg85?!4783<0(?>>:79'654=>2.9<>49;%030?0<,;:>6;5+21492>"58>0=7)<?8;48 76>2?1/>=o56:&14g<13-8;o784$32g>3=#:9o1:6*=0g85?!4683<0(??>:79'644=>2.9=>49;%020?0<,;;>6;5+20492>"59>0=7)<>8;48 77>2?1/><o56:&15g<13-8:o784$33g>3=#:8o1:6*=1g85?!4583<0(?<>:79'674=>2.9>>4:;%010?3<,;8>6?=m;%067?41<2.99948c:&110<0k2.9944>4df8 73f2;?h7)<91;78 7052<1/=9hi:06ea>"6=9:1=9hj;h07`?6=3`2=6=44i0`7>5<<a;?:6=44i9a94?=n:=o1<75f24294?=n9k81<75f13a94?"5<;0:>o5a25394>=n9;k1<7*=43826g=i:=;1=65f13;94?"5<;0:>o5a25396>=n9;21<7*=43826g=i:=;1?65f15d1>5<#:=81=9h>;o075?6<3`;?j=4?:%076?73n81e>9?51:9j51ca290/>9<515d2?k4393807d?;ed83>!43:3;?j<5a25397>=h9<;1<7*=438215=i:=;1<65`15d94?"5<;0:9=5a25395>=h9=n1<7*=438215=i:=;1>65`15a94?"5<;0:9=5a25397>=h9=h1<7*=438215=i:=;1865`15c94?"5<;0:9=5a25391>=h9=31<7*=438215=i:=;1:65`15:94?"5<;0:9=5a25393>=h9==1<7*=438215=i:=;1465`15494?"5<;0:9=5a2539=>=h9=?1<7*=438215=i:=;1m65`15694?"5<;0:9=5a2539f>=h9=81<7*=438215=i:=;1o65`15394?"5<;0:9=5a2539`>=h9=:1<7*=438215=i:=;1i65`12d94?"5<;0:9=5a2539b>=h9:o1<7*=438215=i:=;1==54o01g>5<#:=81=8>4n362>47<3f;8o7>5$361>4373g8?=7?=;:m27g<72-8?>7?:0:l104<6;21d=>o50;&107<6=91e>9?51598k45>290/>9<51428j72628?07b?:8;29 72528?;7c<;1;35?>i6=>0;6)<;2;364>h5<80:;65`14494?"5<;0:9=5a25395==<g8?>6=4+2509506<f;>:6<74;n360?6=,;>96<;?;o075?7f32e:9>4?:%076?7282d98<4>b:9l504=83.98?4>519m617=9j10c<:j:18'614=9<:0b?:>:0f8?j73;3:1(?:=:073?k4393;n76a>3983>!43:3;><6`=4082b>=n<:0;6)<;2;61?k4393:07d:>:18'614=<;1e>9?51:9j05<72-8?>7:=;o075?4<3`9m6=4+250907=i:=;1?65f4b83>!43:3>97c<;1;68?l2e290/>9<5439m617==21b8l4?:%076?253g8?=784;h6:>5<#:=818?5a25393>=n<10;6)<;2;61?k4393207d:8:18'614=<;1e>9?59:9j03<72-8?>7:=;o075?g<3`>>6=4+250907=i:=;1n65f4583>!43:3>97c<;1;a8?l5b290/>9<5439m617=l21b:=4?:%076?3a3g8?=7>4;h7f>5<#:=819k5a25395>=n=m0;6)<;2;7e?k4393807d;l:18'614==o1e>9?53:9j2<<72-8?>7;i;o075?2<3`<36=4+25091c=i:=;1965f6683>!43:3?m7c<;1;48?l01290/>9<55g9m617=?21b:84?:%076?3a3g8?=764;h47>5<#:=819k5a2539=>=n>:0;6)<;2;7e?k4393k07d8=:18'614==o1e>9?5b:9j24<72-8?>7;i;o075?e<3`?i6=4+25091c=i:=;1h65f11d94?"5<;0:<h5a25394>=n99n1<7*=43824`=i:=;1=65f11`94?"5<;0:<h5a25396>=n99k1<7*=43824`=i:=;1?65f11;94?"5<;0:<h5a25390>=n9921<7*=43824`=i:=;1965f11594?"5<;0:<h5a25392>=n99<1<7*=43824`=i:=;1;65f11794?"5<;0:<h5a2539<>=n99>1<7*=43824`=i:=;1565f11194?"5<;0:<h5a2539e>=n9981<7*=43824`=i:=;1n65f11294?"5<;0:<h5a2539g>=nno0;6)<;2;33a>h5<80o76gie;29 72528:n7c<;1;g8?l`c290/>9<511g8j7262o10ekm50;&107<68l1e>9?51198mcd=83.98?4>0d9m617=9810eko50;&107<68l1e>9?51398mc?=83.98?4>0d9m617=9:10ek650;&107<68l1e>9?51598mc1=83.98?4>0d9m617=9<10e<?9:18'614=99o0b?:>:048?l76=3:1(?:=:02f?k4393;<76g>1583>!43:3;;i6`=4082<>=n9891<7*=43824`=i:=;1=454i031>5<#:=81==k4n362>4g<3`;:=7>5$361>46b3g8?=7?m;:k255<72-8?>7??e:l104<6k21b==m50;&107<68l1e>9?51e98m466290/>9<511g8j72628o07dh9:18'614=99o0b?:>:0d8?j7f:3:1(?:=:0c2?k4393:07b?n0;29 72528k:7c<;1;38?j7>n3:1(?:=:0c2?k4393807b?6e;29 72528k:7c<;1;18?l74<3:1(?:=:010?k4393:07d?<2;29 72528987c<;1;38?l7493:1(?:=:010?k4393807d?<0;29 72528987c<;1;18?j7fi3:1(?:=:0c:?k4393:07b?n8;29 72528k27c<;1;38?j7f?3:1(?:=:0c:?k4393807b?n6;29 72528k27c<;1;18?j7?<3:1(?:=:0:0?k4393:07b?72;29 72528287c<;1;38?j7?83:1(?:=:0:0?k4393807b?8f;29 72528287c<;1;18?j70m3:1(?:=:0:0?k4393>07b?8d;29 72528287c<;1;78?j70k3:1(?:=:0:0?k4393<07b?8b;29 72528287c<;1;58?j70i3:1(?:=:0:0?k4393207b?89;29 72528287c<;1;;8?j7003:1(?:=:0:0?k4393k07b?87;29 72528287c<;1;`8?j70=3:1(?:=:0:0?k4393i07b?84;29 72528287c<;1;f8?j70;3:1(?:=:0:0?k4393o07b?82;29 72528287c<;1;d8?j7093:1(?:=:0:0?k4393;;76a>7183>!43:3;3?6`=40825>=h9?l1<7*=4382<6=i:=;1=?54o04f>5<#:=81=5=4n362>45<3f;=h7>5$361>4>43g8?=7?;;:m22f<72-8?>7?73:l104<6=21d=5l50;&107<60:1e>9?51798k4>f290/>9<51918j72628=07b?79;29 72528287c<;1;3;?>i6010;6)<;2;3;7>h5<80:565`19594?"5<;0:4>5a25395d=<g82=6=4+25095=5<f;>:6<l4;n3;1?6=,;>96<6<;o075?7d32e:4<4?:%076?7?;2d98<4>d:9l520=83.98?4>829m617=9l10c<8m:18'614=9190b?:>:0d8?l73nh0;6)<;2;37b<=i:=;1<65f15d;>5<#:=81=9h6;o075?7<3`;?j:4?:%076?73n01e>9?52:9j51`1290/>9<515d:?k4393907djk:18'614=lj1e>9?50:9j`g<72-8?>7jl;o075?7<3`n26=4+2509`f=i:=;1>65fd983>!43:3nh7c<;1;18?lb0290/>9<5db9m617=<21bh;4?:%076?bd3g8?=7;4;hf6>5<#:=81hn5a25392>=nl=0;6)<;2;f`?k4393=07dj<:18'614=lj1e>9?58:9j`7<72-8?>7jl;o075??<3`n:6=4+2509`f=i:=;1m65fd183>!43:3nh7c<;1;`8?leb290/>9<5db9m617=k21boi4?:%076?bd3g8?=7j4;ha`>5<#:=81hn5a2539a>=nkk0;6)<;2;f`?k4393l07dmn:18'614=lj1e>9?51198mf?=83.98?4kc:l104<6921bo54?:%076?bd3g8?=7?=;:k`3?6=,;>96im4n362>45<3`i=6=4+2509`f=i:=;1=954ib794?"5<;0oo6`=40821>=nm=0;6)<;2;f`?k4393;=76gj3;29 7252mi0b?:>:058?lc5290/>9<5db9m617=9110eh?50;&107<ck2d98<4>9:9ja5<72-8?>7jl;o075?7f32coj7>5$361>ae<f;>:6<l4;hff>5<#:=81hn5a25395f=<amk1<7*=438gg>h5<80:h65fcg83>!43:3nh7c<;1;3f?>od<3:1(?:=:ea8j72628l07pl9bd83>ge=83:p(>88:36b?M728:1C=9kn;[5:>f}6=3;=6?l52g81g?572;n1>;4=5;04>7c=:=0v(?=k:075b>hd93;97cm=:008j40f291e=4j50:&2f3<6jj1/=o951ca8 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e185?!7b93<0(<k<:79'5`2=>2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(<h<:79'5c2=>2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)<?a;48 76e2?1/>=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/><m56:&15a<13-8:i784$33e>3=#:;:1:6*=2085?!45:3<0(?<<:49'672==2.9>84=3c9'605=:?>0(?;;:6a8 7322>i0(?;6:06f`>"5=h099n5+27391>"5>;0>7)?;fg820cc<,8?;<7?;fd9j61b=831b4;4?::k2f1<722c99<4?::k;g?6=3`8?i7>5;h064?6=3`;i>7>5;h31g?6=,;>96<<m;o075?6<3`;9m7>5$361>44e3g8?=7?4;h31=?6=,;>96<<m;o075?4<3`;947>5$361>44e3g8?=7=4;h37b7<72-8?>7?;f09m617=821b=9h?:18'614=9=l:7c<;1;38?l73mo0;6)<;2;37b4=i:=;1>65f15gf>5<#:=81=9h>;o075?5<3f;>=7>5$361>4373g8?=7>4;n37b?6=,;>96<;?;o075?7<3f;?h7>5$361>4373g8?=7<4;n37g?6=,;>96<;?;o075?5<3f;?n7>5$361>4373g8?=7:4;n37e?6=,;>96<;?;o075?3<3f;?57>5$361>4373g8?=784;n37<?6=,;>96<;?;o075?1<3f;?;7>5$361>4373g8?=764;n372?6=,;>96<;?;o075??<3f;?97>5$361>4373g8?=7o4;n370?6=,;>96<;?;o075?d<3f;?>7>5$361>4373g8?=7m4;n375?6=,;>96<;?;o075?b<3f;?<7>5$361>4373g8?=7k4;n30b?6=,;>96<;?;o075?`<3f;8i7>5$361>4373g8?=7??;:m27a<72-8?>7?:0:l104<6921d=>m50;&107<6=91e>9?51398k45e290/>9<51428j72628907b?<a;29 72528?;7c<;1;37?>i6;00;6)<;2;364>h5<80:965`14:94?"5<;0:9=5a253953=<g8?<6=4+2509506<f;>:6<94;n362?6=,;>96<;?;o075?7?32e:984?:%076?7282d98<4>9:9l502=83.98?4>519m617=9h10c<;<:18'614=9<:0b?:>:0`8?j72:3:1(?:=:073?k4393;h76a>4d83>!43:3;><6`=4082`>=h9=91<7*=438215=i:=;1=h54o01;>5<#:=81=8>4n362>4`<3`>86=4+250907=i:=;1<65f4083>!43:3>97c<;1;38?l27290/>9<5439m617=:21b?k4?:%076?253g8?=7=4;h6`>5<#:=818?5a25390>=n<k0;6)<;2;61?k4393?07d:n:18'614=<;1e>9?56:9j0<<72-8?>7:=;o075?1<3`>36=4+250907=i:=;1465f4683>!43:3>97c<;1;;8?l21290/>9<5439m617=i21b884?:%076?253g8?=7l4;h67>5<#:=818?5a2539g>=n;l0;6)<;2;61?k4393n07d8?:18'614==o1e>9?50:9j1`<72-8?>7;i;o075?7<3`?o6=4+25091c=i:=;1>65f5b83>!43:3?m7c<;1;18?l0>290/>9<55g9m617=<21b:54?:%076?3a3g8?=7;4;h44>5<#:=819k5a25392>=n>?0;6)<;2;7e?k4393=07d8::18'614==o1e>9?58:9j21<72-8?>7;i;o075??<3`<86=4+25091c=i:=;1m65f6383>!43:3?m7c<;1;`8?l06290/>9<55g9m617=k21b9o4?:%076?3a3g8?=7j4;h33b?6=,;>96<>j;o075?6<3`;;h7>5$361>46b3g8?=7?4;h33f?6=,;>96<>j;o075?4<3`;;m7>5$361>46b3g8?=7=4;h33=?6=,;>96<>j;o075?2<3`;;47>5$361>46b3g8?=7;4;h333?6=,;>96<>j;o075?0<3`;;:7>5$361>46b3g8?=794;h331?6=,;>96<>j;o075?><3`;;87>5$361>46b3g8?=774;h337?6=,;>96<>j;o075?g<3`;;>7>5$361>46b3g8?=7l4;h334?6=,;>96<>j;o075?e<3`lm6=4+250955c<f;>:6i54igg94?"5<;0:<h5a2539a>=nnm0;6)<;2;33a>h5<80m76gic;29 72528:n7c<;1;33?>oaj3:1(?:=:02f?k4393;:76gia;29 72528:n7c<;1;31?>oa13:1(?:=:02f?k4393;876gi8;29 72528:n7c<;1;37?>oa?3:1(?:=:02f?k4393;>76g>1783>!43:3;;i6`=40822>=n98?1<7*=43824`=i:=;1=:54i037>5<#:=81==k4n362>4><3`;:?7>5$361>46b3g8?=7?6;:k257<72-8?>7??e:l104<6i21b=<?50;&107<68l1e>9?51c98m477290/>9<511g8j72628i07d??c;29 72528:n7c<;1;3g?>o6880;6)<;2;33a>h5<80:i65ff783>!43:3;;i6`=4082b>=h9h81<7*=4382e4=i:=;1<65`1`294?"5<;0:m<5a25395>=h90l1<7*=4382e4=i:=;1>65`18g94?"5<;0:m<5a25397>=n9:>1<7*=438276=i:=;1<65f12094?"5<;0:?>5a25395>=n9:;1<7*=438276=i:=;1>65f12294?"5<;0:?>5a25397>=h9hk1<7*=4382e<=i:=;1<65`1`:94?"5<;0:m45a25395>=h9h=1<7*=4382e<=i:=;1>65`1`494?"5<;0:m45a25397>=h91>1<7*=4382<6=i:=;1<65`19094?"5<;0:4>5a25395>=h91:1<7*=4382<6=i:=;1>65`16d94?"5<;0:4>5a25397>=h9>o1<7*=4382<6=i:=;1865`16f94?"5<;0:4>5a25391>=h9>i1<7*=4382<6=i:=;1:65`16`94?"5<;0:4>5a25393>=h9>k1<7*=4382<6=i:=;1465`16;94?"5<;0:4>5a2539=>=h9>21<7*=4382<6=i:=;1m65`16594?"5<;0:4>5a2539f>=h9>?1<7*=4382<6=i:=;1o65`16694?"5<;0:4>5a2539`>=h9>91<7*=4382<6=i:=;1i65`16094?"5<;0:4>5a2539b>=h9>;1<7*=4382<6=i:=;1==54o053>5<#:=81=5=4n362>47<3f;=j7>5$361>4>43g8?=7?=;:m22`<72-8?>7?73:l104<6;21d=;j50;&107<60:1e>9?51598k40d290/>9<51918j72628?07b?7b;29 72528287c<;1;35?>i60h0;6)<;2;3;7>h5<80:;65`19;94?"5<;0:4>5a25395==<g8236=4+25095=5<f;>:6<74;n3;3?6=,;>96<6<;o075?7f32e:4;4?:%076?7?;2d98<4>b:9l5=3=83.98?4>829m617=9j10c<6>:18'614=9190b?:>:0f8?j70>3:1(?:=:0:0?k4393;n76a>6c83>!43:3;3?6`=4082b>=n9=lj6=4+250951`>3g8?=7>4;h37b=<72-8?>7?;f89m617=921b=9h8:18'614=9=l27c<;1;08?l73n?0;6)<;2;37b<=i:=;1?65fde83>!43:3nh7c<;1;28?lbe290/>9<5db9m617=921bh44?:%076?bd3g8?=7<4;hf;>5<#:=81hn5a25397>=nl>0;6)<;2;f`?k4393>07dj9:18'614=lj1e>9?55:9j`0<72-8?>7jl;o075?0<3`n?6=4+2509`f=i:=;1;65fd283>!43:3nh7c<;1;:8?lb5290/>9<5db9m617=121bh<4?:%076?bd3g8?=7o4;hf3>5<#:=81hn5a2539f>=nkl0;6)<;2;f`?k4393i07dmk:18'614=lj1e>9?5d:9jgf<72-8?>7jl;o075?c<3`ii6=4+2509`f=i:=;1j65fc`83>!43:3nh7c<;1;33?>od13:1(?:=:ea8j72628;07dm7:18'614=lj1e>9?51398mf1=83.98?4kc:l104<6;21bo;4?:%076?bd3g8?=7?;;:k`1?6=,;>96im4n362>43<3`o?6=4+2509`f=i:=;1=;54id194?"5<;0oo6`=40823>=nm;0;6)<;2;f`?k4393;376gj1;29 7252mi0b?:>:0;8?lc7290/>9<5db9m617=9h10eih50;&107<ck2d98<4>b:9j``<72-8?>7jl;o075?7d32com7>5$361>ae<f;>:6<j4;hae>5<#:=81hn5a25395`=<aj>1<7*=438gg>h5<80:j65rb7a2>5<ek3:1<v*<66810d=O9<:87E?;e`9Y3<<ds8?1=;4=b;0e>7e=;909h7<9:37962<5m38?6p*=3e8213`<fj;1=?5ac3826>h6>h0;7c?6d;28 4d128hh7)?m7;3ag>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g3>3=#9l;1:6*>e285?!7b<3<0(<k::79'5`0=>2.:i:49;%3f<?0<,8o26;5+1dc92>"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(<h::79'5c0=>2.:j:49;%3e<?0<,8l26;5+1gc92>"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03<?0<,;:26;5+21c92>"58k0=7)<?c;48 76c2?1/>=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02<?0<,;;26;5+20c92>"59k0=7)<>c;48 77c2?1/><k56:&15c<13-89<784$302>3=#:;81:6*=2286?!45<3?0(?<::31a?!42;38=86*=5584g>"5=<0<o6*=58820`b<,;?j6?;l;%055?3<,;<9685+15de>42am2.:9=>515df?l43l3:17d69:188m4d32900e?;>:188m=e=831b>9k50;9j606=831b=o<50;9j57e=83.98?4>2c9m617=821b=?o50;&107<6:k1e>9?51:9j57?=83.98?4>2c9m617=:21b=?650;&107<6:k1e>9?53:9j51`5290/>9<515d2?k4393:07d?;f183>!43:3;?j<5a25395>=n9=om6=4+250951`63g8?=7<4;h37a`<72-8?>7?;f09m617=;21d=8?50;&107<6=91e>9?50:9l51`=83.98?4>519m617=921d=9j50;&107<6=91e>9?52:9l51e=83.98?4>519m617=;21d=9l50;&107<6=91e>9?54:9l51g=83.98?4>519m617==21d=9750;&107<6=91e>9?56:9l51>=83.98?4>519m617=?21d=9950;&107<6=91e>9?58:9l510=83.98?4>519m617=121d=9;50;&107<6=91e>9?5a:9l512=83.98?4>519m617=j21d=9<50;&107<6=91e>9?5c:9l517=83.98?4>519m617=l21d=9>50;&107<6=91e>9?5e:9l56`=83.98?4>519m617=n21d=>k50;&107<6=91e>9?51198k45c290/>9<51428j72628;07b?<c;29 72528?;7c<;1;31?>i6;k0;6)<;2;364>h5<80:?65`12c94?"5<;0:9=5a253951=<g8926=4+2509506<f;>:6<;4;n36<?6=,;>96<;?;o075?7132e:9:4?:%076?7282d98<4>7:9l500=83.98?4>519m617=9110c<;::18'614=9<:0b?:>:0;8?j72<3:1(?:=:073?k4393;j76a>5283>!43:3;><6`=4082f>=h9<81<7*=438215=i:=;1=n54o06f>5<#:=81=8>4n362>4b<3f;??7>5$361>4373g8?=7?j;:m27=<72-8?>7?:0:l104<6n21b8>4?:%076?253g8?=7>4;h62>5<#:=818?5a25395>=n<90;6)<;2;61?k4393807d=i:18'614=<;1e>9?53:9j0f<72-8?>7:=;o075?2<3`>i6=4+250907=i:=;1965f4`83>!43:3>97c<;1;48?l2>290/>9<5439m617=?21b854?:%076?253g8?=764;h64>5<#:=818?5a2539=>=n<?0;6)<;2;61?k4393k07d:::18'614=<;1e>9?5b:9j01<72-8?>7:=;o075?e<3`9n6=4+250907=i:=;1h65f6183>!43:3?m7c<;1;28?l3b290/>9<55g9m617=921b9i4?:%076?3a3g8?=7<4;h7`>5<#:=819k5a25397>=n>00;6)<;2;7e?k4393>07d87:18'614==o1e>9?55:9j22<72-8?>7;i;o075?0<3`<=6=4+25091c=i:=;1;65f6483>!43:3?m7c<;1;:8?l03290/>9<55g9m617=121b:>4?:%076?3a3g8?=7o4;h41>5<#:=819k5a2539f>=n>80;6)<;2;7e?k4393i07d;m:18'614==o1e>9?5d:9j55`=83.98?4>0d9m617=821b==j50;&107<68l1e>9?51:9j55d=83.98?4>0d9m617=:21b==o50;&107<68l1e>9?53:9j55?=83.98?4>0d9m617=<21b==650;&107<68l1e>9?55:9j551=83.98?4>0d9m617=>21b==850;&107<68l1e>9?57:9j553=83.98?4>0d9m617=021b==:50;&107<68l1e>9?59:9j555=83.98?4>0d9m617=i21b==<50;&107<68l1e>9?5b:9j556=83.98?4>0d9m617=k21bjk4?:%076?77m2d98<4k;:kea?6=,;>96<>j;o075?c<3`lo6=4+250955c<f;>:6k54iga94?"5<;0:<h5a253955=<aoh1<7*=43824`=i:=;1=<54igc94?"5<;0:<h5a253957=<ao31<7*=43824`=i:=;1=>54ig:94?"5<;0:<h5a253951=<ao=1<7*=43824`=i:=;1=854i035>5<#:=81==k4n362>40<3`;:97>5$361>46b3g8?=7?8;:k251<72-8?>7??e:l104<6021b=<=50;&107<68l1e>9?51898m475290/>9<511g8j72628k07d?>1;29 72528:n7c<;1;3a?>o6990;6)<;2;33a>h5<80:o65f11a94?"5<;0:<h5a25395a=<a8::6=4+250955c<f;>:6<k4;hd5>5<#:=81==k4n362>4`<3f;j>7>5$361>4g63g8?=7>4;n3b4?6=,;>96<o>;o075?7<3f;2j7>5$361>4g63g8?=7<4;n3:a?6=,;>96<o>;o075?5<3`;887>5$361>4543g8?=7>4;h306?6=,;>96<=<;o075?7<3`;8=7>5$361>4543g8?=7<4;h304?6=,;>96<=<;o075?5<3f;jm7>5$361>4g>3g8?=7>4;n3b<?6=,;>96<o6;o075?7<3f;j;7>5$361>4g>3g8?=7<4;n3b2?6=,;>96<o6;o075?5<3f;387>5$361>4>43g8?=7>4;n3;6?6=,;>96<6<;o075?7<3f;3<7>5$361>4>43g8?=7<4;n34b?6=,;>96<6<;o075?5<3f;<i7>5$361>4>43g8?=7:4;n34`?6=,;>96<6<;o075?3<3f;<o7>5$361>4>43g8?=784;n34f?6=,;>96<6<;o075?1<3f;<m7>5$361>4>43g8?=764;n34=?6=,;>96<6<;o075??<3f;<47>5$361>4>43g8?=7o4;n343?6=,;>96<6<;o075?d<3f;<97>5$361>4>43g8?=7m4;n340?6=,;>96<6<;o075?b<3f;<?7>5$361>4>43g8?=7k4;n346?6=,;>96<6<;o075?`<3f;<=7>5$361>4>43g8?=7??;:m235<72-8?>7?73:l104<6921d=;h50;&107<60:1e>9?51398k40b290/>9<51918j72628907b?9d;29 72528287c<;1;37?>i6>j0;6)<;2;3;7>h5<80:965`19`94?"5<;0:4>5a253953=<g82j6=4+25095=5<f;>:6<94;n3;=?6=,;>96<6<;o075?7?32e:454?:%076?7?;2d98<4>9:9l5=1=83.98?4>829m617=9h10c<69:18'614=9190b?:>:0`8?j7?=3:1(?:=:0:0?k4393;h76a>8083>!43:3;3?6`=4082`>=h9><1<7*=4382<6=i:=;1=h54o04a>5<#:=81=5=4n362>4`<3`;?jl4?:%076?73n01e>9?50:9j51`?290/>9<515d:?k4393;07d?;f683>!43:3;?j45a25396>=n9=l=6=4+250951`>3g8?=7=4;hfg>5<#:=81hn5a25394>=nlk0;6)<;2;f`?k4393;07dj6:18'614=lj1e>9?52:9j`=<72-8?>7jl;o075?5<3`n<6=4+2509`f=i:=;1865fd783>!43:3nh7c<;1;78?lb2290/>9<5db9m617=>21bh94?:%076?bd3g8?=794;hf0>5<#:=81hn5a2539<>=nl;0;6)<;2;f`?k4393307dj>:18'614=lj1e>9?5a:9j`5<72-8?>7jl;o075?d<3`in6=4+2509`f=i:=;1o65fce83>!43:3nh7c<;1;f8?led290/>9<5db9m617=m21boo4?:%076?bd3g8?=7h4;hab>5<#:=81hn5a253955=<aj31<7*=438gg>h5<80:=65fc983>!43:3nh7c<;1;31?>od?3:1(?:=:ea8j72628907dm9:18'614=lj1e>9?51598mf3=83.98?4kc:l104<6=21bi94?:%076?bd3g8?=7?9;:kf7?6=,;>96im4n362>41<3`o96=4+2509`f=i:=;1=554id394?"5<;0oo6`=4082=>=nm90;6)<;2;f`?k4393;j76gkf;29 7252mi0b?:>:0`8?lbb290/>9<5db9m617=9j10eio50;&107<ck2d98<4>d:9jgc<72-8?>7jl;o075?7b32ch87>5$361>ae<f;>:6<h4;|`47=<72>0;6=u+3759667<@8?;?6F>4dc8 4c52m1b=i:50;9j5a3=831b=i850;9j5a1=831b=i650;9j5a?=831d>>m50;9~f251290?6=4?{%153?45m2B:9==4H06fe>"6m;0j7d?k4;29?l7c=3:17d?k6;29?j44k3:17p}<1283>7}Y;8901<=66;`f?xu4980;6?uQ3038945>=3hn7p}<1183>7}Y;8:01<=64;`f?xu48o0;6?uQ31d8945>;3hn7p}<0d83>7}Y;9o01<=62;`f?xu48m0;6?uQ31f8945>93hn7p}<0c83>7}Y;9h01<=7f;`f?xu48h0;6?uQ31c8945?m3hn7p}<0883>7}Y;9301<=7d;`f?xu4810;6?uQ31:8945?k3hn7p}<0683>7}Y;9=01<=7b;`f?xu48?0;6?uQ3148945?i3hn7p}<0483>7}Y;9?01<=79;`f?xu48=0;6?uQ3168945?03hn7p}<0283>7}Y;9901<=77;`f?xu48;0;6?uQ3108945?>3hn7p}<0183>7}Y;9:01<=74;`f?xu5no0;6?uQ2gd8945?;3hn7p}=fd83>7}Y:oo01<=72;`f?xu5nm0;6?uQ2gf8945?93hn7p}=fb83>7}Y:oi01<=70;`f?xu5nk0;6?uQ2g`89450n3hn7p}=f`83>7}Y:ok01<=8e;`f?xu5n00;6?uQ2g;89450l3hn7p}=f983>7}Y:o201<=8c;`f?xu5n>0;6?uQ2g589450j3hn7p}=f483>7}Y:o?01<=89;`f?xu5n=0;6?uQ2g68945003hn7p}=f283>7}Y:o901<=87;`f?xu5n;0;6?uQ2g089450>3hn7p}=f083>7}Y:o;01<=85;`f?xu5n90;6?uQ2g289450<3hn7p}=eg83>7}Y:ll01<=83;`f?xu5ml0;6?uQ2dg89450:3hn7p}=ee83>7}Y:ln01<=81;`f?xu5mj0;6?uQ2da8945083hn7p}=e`83>7}Y:lk01<=9e;`f?xu5m00;6?uQ2d;89451l3hn7p}=e983>7}Y:l201<=9c;`f?xu5m>0;6?uQ2d589451j3hn7p}=e783>7}Y:l<01<=9a;`f?xu5m<0;6?uQ2d78945113hn7p}=e583>7}Y:l>01<=98;`f?xu5m:0;6?uQ2d189451?3hn7p}=e383>7}Y:l801<=96;`f?xu5m80;6?uQ2d389451=3hn7p}=dg83>7}Y:ml01<=93;`f?xu5ll0;6?uQ2eg89451:3hn7p}=de83>7}Y:mn01<=91;`f?xu5lj0;6?uQ2ea8945183hn7p}=dc83>7}Y:mh01<=:f;`f?xu5lh0;6?uQ2ec89452m3hn7p}=d883>7}Y:m301<=:d;`f?xu5l10;6?uQ2e:89452k3hn7p}=d683>7}Y:m=01<=:b;`f?xu5l?0;6?uQ2e489452i3hn7p}=d283>7}Y:m901<=:7;`f?xu5l;0;6?uQ2e089452>3hn7p}=d083>7}Y:m;01<=:5;`f?xu5l90;6?uQ2e289452<3hn7p}=cg83>7}Y:jl01<=:3;`f?xu5kl0;6?uQ2bg89452:3hn7p}=ce83>7}Y:jn01<=:1;`f?xu5kj0;6?uQ2ba8945283hn7p}=cc83>7}Y:jh01<=;f;`f?xu5kh0;6?uQ2bc89453m3hn7p}=c983>7}Y:j201<=;c;`f?xu5k>0;6?uQ2b589453j3hn7p}=c783>7}Y:j<01<=;a;`f?xu5k<0;6?uQ2b78945313hn7p}=c583>7}Y:j>01<=;8;`f?xu5k:0;6?uQ2b189453?3hn7p}=c383>7}Y:j801<=;6;`f?xu5k80;6?uQ2b389453=3hn7p}=c183>7}Y:j:01<=;4;`f?xu5jo0;6?uQ2cd89453;3hn7p}=be83>7}Y:kn01<=;1;`f?xu5jj0;6?uQ2ca8945383hn7p}=bc83>7}Y:kh01<=<f;`f?xu5jh0;6?uQ2cc89454m3hn7p}=b883>7}Y:k301<=<d;`f?xu5j10;6?uQ2c:89454k3hn7p}=b683>7}Y:k=01<=<b;`f?xu5j?0;6?uQ2c489454i3hn7p}=b483>7}Y:k?01<=<9;`f?xu5j=0;6?uQ2c68945403hn7p}=b383>7}Y:k801<=<6;`f?xu5j80;6?uQ2c389454=3hn7p}=b183>7}Y:k:01<=<4;`f?xu5io0;6?uQ2`d89454;3hn7p}=ad83>7}Y:ho01<=<2;`f?xu5im0;6?uQ2`f8945493hn7p}=ab83>7}Y:hi01<=<0;`f?xu5ik0;6?uQ2``89455n3hn7p}=a`83>7}Y:hk01<==e;`f?xu5i00;6?uQ2`;89455l3hn7p}=a683>7}Y:h=01<==b;`f?xu5i?0;6?uQ2`489455i3hn7p}=a483>7}Y:h?01<==9;`f?xu5i=0;6?uQ2`68945503hn7p}=a283>7}Y:h901<==7;`f?xu5i;0;6?uQ2`089455>3hn7p}=a083>7}Y:h;01<==5;`f?xu5i90;6?uQ2`289455<3hn7p}=9g83>7}Y:0l01<==3;`f?xu51l0;6?uQ28g89455:3hn7p}=9b83>7}Y:0i01<==0;`f?xu51k0;6?uQ28`89456n3hn7p}=9`83>7}Y:0k01<=>e;`f?xu5100;6?uQ28;89456l3hn7p}=9983>7}Y:0201<=>c;`f?xu51>0;6?uQ28589456j3hn7p}=9783>7}Y:0<01<=>a;`f?xu51<0;6?uQ2878945613hn7p}=9583>7}Y:0>01<=>8;`f?xu51:0;6?uQ28189456?3hn7p}=9083>7}Y:0;01<=>5;`f?xu5190;6?uQ28289456<3hn7p}=8g83>7}Y:1l01<=>3;`f?xu50l0;6?uQ29g89456:3hn7p}=8e83>7}Y:1n01<=>1;`f?xu50j0;6?uQ29a8945683hn7p}=8c83>7}Y:1h01<=?f;`f?xu50h0;6?uQ29c89457m3hn7p}=8883>7}Y:1301<=?d;`f?xu5010;6?uQ29:89457k3hn7p}=8783>7}Y:1<01<=?a;`f?xu50<0;6?uQ2978945713hn7p}=8583>7}Y:1>01<=?8;`f?xu50:0;6?uQ29189457?3hn7p}=8383>7}Y:1801<=?6;`f?xu5080;6?uQ29389457=3hn7p}=8183>7}Y:1:01<=?4;`f?xu5?o0;6?uQ26d89457;3hn7p}=7d83>7}Y:>o01<=?2;`f?xu5?m0;6?uQ26f8945793hn7p}=7c83>7}Y:>h01<<if;`f?xu5?h0;6?uQ26c8944am3hn7p}=7883>7}Y:>301<<id;`f?xu5?10;6?uQ26:8944ak3hn7p}=7683>7}Y:>=01<<ib;`f?xu5??0;6?uQ2648944ai3hn7p}=7483>7}Y:>?01<<i9;`f?xu5?=0;6?uQ2668944a03hn7p}=7283>7}Y:>901<<i7;`f?xu5?;0;6?uQ2608944a>3hn7p}=7183>7}Y:>:01<<i4;`f?xu5>o0;6?uQ27d8944a;3hn7p}=6d83>7}Y:?o01<<i2;`f?xu5>m0;6?uQ27f8944a93hn7p}=6b83>7}Y:?i01<<i0;`f?xu5>k0;6?uQ27`8944bn3hn7p}=6`83>7}Y:?k01<<je;`f?xu5>00;6?uQ27;8944bl3hn7p}=6983>7}Y:?201<<jc;`f?xu5>>0;6?uQ2758944bj3hn7p}<6483>7}Y;??01<=j8;`f?xu4>=0;6?uQ3768945b?3hn7p}<6283>7}Y;?901<=j6;`f?xu4>;0;6?uQ3708945b=3hn7p}<6083>7}Y;?;01<=j4;`f?xu4>90;6?uQ3728945b;3hn7p}<5g83>7}Y;<l01<=j2;`f?xu4=l0;6?uQ34g8945b93hn7p}<5e83>7}Y;<n01<=j0;`f?xu4=j0;6?uQ34a8945cn3hn7p}<5`83>7}Y;<k01<=kd;`f?xu4=00;6?uQ34;8945ck3hn7p}<5983>7}Y;<201<=kb;`f?xu4=>0;6?uQ3458945ci3hn7p}<5783>7}Y;<<01<=k9;`f?xu4=<0;6?uQ3478945c03hn7p}<5583>7}Y;<>01<=k7;`f?xu4=:0;6?uQ3418945c>3hn7p}<5383>7}Y;<801<=k5;`f?xu4=80;6?uQ3438945c<3hn7p}<4g83>7}Y;=l01<=k2;`f?xu4<l0;6?uQ35g8945c93hn7p}<4e83>7}Y;=n01<=k0;`f?xu4<j0;6?uQ35a8945dn3hn7p}<4c83>7}Y;=h01<=le;`f?xu4<h0;6?uQ35c8945dl3hn7p}<4883>7}Y;=301<=lc;`f?xu4<10;6?uQ35:8945dj3hn7p}<4683>7}Y;==01<=la;`f?xu4<?0;6?uQ3548945d13hn7p}<4583>7}Y;=>01<=l7;`f?xu4<:0;6?uQ3518945d>3hn7p}<4383>7}Y;=801<=l5;`f?xu4<80;6?uQ3538945d<3hn7p}<4183>7}Y;=:01<=l3;`f?xu4;o0;6?uQ32d8945d:3hn7p}<3d83>7}Y;:o01<=l1;`f?xu4;m0;6?uQ32f8945d83hn7p}<3b83>7}Y;:i01<=mf;`f?xu4;k0;6?uQ32`8945em3hn7p}<3883>7}Y;:301<=mc;`f?xu4;10;6?uQ32:8945ej3hn7p}<3683>7}Y;:=01<=ma;`f?xu4;?0;6?uQ3248945e13hn7p}<3483>7}Y;:?01<=m8;`f?xu4;=0;6?uQ3268945e?3hn7p}<3283>7}Y;:901<=m6;`f?xu4;;0;6?uQ3208945e=3hn7p}<3083>7}Y;:;01<=m4;`f?xu4;90;6?uQ3228945e;3hn7p}<2d83>7}Y;;o01<=m1;`f?xu4:m0;6?uQ33f8945e83hn7p}<2b83>7}Y;;i01<=nf;`f?xu4:k0;6?uQ33`8945fm3hn7p}<2`83>7}Y;;k01<=nd;`f?xu4:00;6?uQ33;8945fk3hn7p}<2983>7}Y;;201<=nb;`f?xu4:>0;6?uQ3358945fi3hn7p}<2783>7}Y;;<01<=n9;`f?xu4:<0;6?uQ3378945f03hn7p}<2283>7}Y;;901<=n6;`f?xu4:;0;6?uQ3308945f=3hn7p}<2083>7}Y;;;01<=n4;`f?xu4:90;6?uQ3328945f;3hn7p}<1g83>7}Y;8l01<=n2;`f?xu49l0;6?uQ30g8945f93hn7p}<1e83>7}Y;8n01<=n0;`f?xu49j0;6?uQ30a8945>n3hn7p}<1c83>7}Y;8h01<=6e;`f?xu49h0;6?uQ30c8945>l3hn7p}<1983>7}Y;8201<=6b;`f?xu49>0;6?uQ3058945>i3hn7p}<1783>7}Y;8<01<=69;`f?xu49<0;6?uQ3078945>03hn7p}<1583>7}Y;8>01<=67;`f?xu48j0;6?uQ31a8945>83hn7p}<0083>7}Y;9;01<=75;`f?xu5n?0;6?uQ2g489450i3hn7p}=ec83>7}Y:lh01<=9f;`f?xu5m90;6?uQ2d289451<3hn7p}=d583>7}Y:m>01<=:8;`f?xu5k00;6?uQ2b;89453l3hn7p}=bd83>7}Y:ko01<=;2;`f?xu5j:0;6?uQ2c189454?3hn7p}=a983>7}Y:h201<==c;`f?xu51m0;6?uQ28f8945593hn7p}=9383>7}Y:0801<=>6;`f?xu50>0;6?uQ29589457j3hn7p}=7b83>7}Y:>i01<=?0;`f?xu5?80;6?uQ2638944a=3hn7p}<6783>7}Y;?<01<=j9;`f?xu4=k0;6?uQ34`8945cm3hn7p}<5183>7}Y;<:01<=k3;`f?xu4<<0;6?uQ3578945d03hn7p}<3`83>7}Y;:k01<=md;`f?xu4:o0;6?uQ33d8945e:3hn7p}<2583>7}Y;;>01<=n7;`f?xu4900;6?uQ30;8945>k3hn7p}=d483>7}Y:m?01<=:9;`f?xu5>?0;6?uQ2748944bi3hn7p}n3;296=7|Vh901i:::6a89a232>i01i:<:6a89a252>i01h>m:6a89`6f2>i01h>6:6a89`6?2>i01hj>:6a89`b72>i01hmi:6a89`eb2>i01k>m:6a89c6f2>i01k>6:6a89c6?2>i01k?k:6a89c7d2>i01k?m:6a89c7f2>i01k<i:6a89c4b2>i01k<k:6a89c4d2>i01k:>:6a89c272>i01k=i:6a89c5b2>i01k;<:6a89c352>i01k;>:6a89c372>i01k8::6a89c032>i01k8<:6a89c052>i01k98:6a89c112>i01k9::6a89c132>i01i;8:6a89a312>i01i;::6a89a332>i01i86:6a89a0?2>i01i88:6a89a012>i01i9m:6a89a1f2>i01i96:6a89a1?2>i01i6k:6a89a>d2>i01i6m:6a89a>f2>i01i7i:6a89a?b2>i01i7k:6a89a?d2>i01il>:6a89ad72>i01ioi:6a89agb2>i01im<:6a89ae52>i01im>:6a89ae72>i01ij::6a89ab32>i01ij<:6a89ab52>i01ik8:6a89ac12>i01ik::6a89ac32>i01ih6:6a89a`?2>i01ih8:6a89a`12>i01h?k:6a89`7d2>i01h?m:6a89`7f2>i01h<i:6a89`4b2>i01h<k:6a89`4d2>i01h:>:6a89`272>i01h=i:6a89`5b2>i01h;<:6a89`352>i01h;>:6a89`372>i01h8::6a89`032>i01h8<:6a89`052>i01h98:6a89`112>i01h9::6a89`132>i01h66:6a89`>?2>i01h68:6a89`>12>i01h7m:6a89`?f2>i01h76:6a89`??2>i01hok:6a89`gd2>i01hom:6a89`gf2>i01hli:6a89`db2>i01hlk:6a89`dd2>i01hk<:6a89`c52>i01hk>:6a89`c72>i01hh8:6a89``12>i01hh::6a89``32>i01n=j:367?8efl38?863lf18101=:koh1>9:4=e25>72334n:=7<;4:?g5f<5<=16h?9525689a552;>?70j<d;070>;d<0098952c469612<5j?m6?:;;<a5e?43<27h;84=459>g=6=:=>01n6m:367?8e>>38?863la08101=:khi1>9:4=b`;>72334ih?7<;4:?`g`<5<=16oi7525689fc32;>?70mjb;070>;dmj098952cdf9612<5jon6?:;;<afb?43<27hj<4=459>gc4=:=>01nh<:367?8ea<38?863lf48101=:ko<1>9:4=bd4>72334im47<;4:?`b<<5<=16oko525689f`d2;>?70mid;070>;dnl098952cgd9612<5m:;6?:;;<f35?43<27o<?4=459>`55=:=>01i>;:367?8b7=38?863k068101=:l921>9:4=e2:>72334n;m7<;4:?g4g<5<=16h=m525689a6c2;>?70j?e;070>;c8o098952d029612<5m;96?:;;<f27?43<27o=94=459>`43=:=>01i?9:367?8b6?38?863k198101=:l831>9:4=e3b>72334n:n7<;4:?g5a<5<=16h<k525689a7a2;>?70j=0;070>;c:8098952d309612<5m886?:;;<f10?43<27o>84=459>`70=:=>01i<7:367?8b5138?863k2`8101=:l;h1>9:4=e0`>72334n9h7<;4:?g6`<5<=16h?h525689a572;>?70j<1;070>;c;:098952d269612<5m9>6?:;;<f02?43<27o?:4=459>`6>=:=>01i=6:367?8b4i38?863k3c8101=:l:i1>9:4=b1e>72334i?<7<;4:?`04<5<=16o9<525689f242;>?70m;4;070>;d<<098952c549612<5j><6?:;;<a7<?43<27h8l4=459>g1d=:=>01n:l:367?8e3l38?863l4d8101=:k=l1>9:4=b73>72334i>=7<;4:?`17<5<=16o8=525689f322;>?70m:6;070>;d=>098952c4:9612<5j?26?:;;<a6e?43<27h9o4=459>g0e=:=>01n;k:367?8e2m38?863l618101=:k?;1>9:4=b41>72334i=?7<;4:?`21<5<=16o;;525689f012;>?70m97;070>;d>1098952c7;9612<5j<i6?:;;<a5g?43<27h:i4=459>g3c=:=>01n8i:367?8e0838?863l708101=:k>81>9:4=b50>72334i<87<;4:?`33<5<=16o:9525689f1?2;>?70m89;070>;d?h098952c6`9612<5j=h6?:;;<a4`?43<27h;h4=459>g2`=:=>01n6>:367?8e?:38?863l828101=:k1>1>9:4=b:6>72334i3:7<;4:?`<2<5<=16o56525689f>>2;>?70m7a;070>;d0j098952c9f9612<5j2n6?:;;<a;b?43<27h5=4=459>g<7=:=>01n7=:367?8e>;38?863l958101=:k0?1>9:4=b;4>72334i247<;4:?`=<<5<=16o4o525689f?e2;>?70m6c;070>;d1m098952c8g9612<5j3m6?:;;<ab4?43<27hm?4=459>gd5=:=>01no;:367?8ef=38?863la78101=:kh=1>9:4=bc;>72334ij57<;4:?`ed<5<=16oll525689fgb2;>?70mnf;070>;dj9098952cc39612<5jh96?:;;<aa7?43<27hn94=459>gg3=:=>01nl9:367?8ee?38?863lb88101=:kkk1>9:4=b`a>72334iio7<;4:?`fa<5<=16ook525689fda2;>?70ml0;070>;dk8098952cb09612<5ji?6?:;;<a`1?43<27ho;4=459>gf1=:=>01nm7:367?8ed138?863lc`8101=:kjh1>9:4=ba`>72334ihh7<;4:?`gc<5<=16oi>525689fb62;>?70mk2;070>;dl:098952ce69612<5jn>6?:;;<ag2?43<27hh:4=459>ga>=:=>01njn:367?8ecj38?863ldb8101=:kmn1>9:4=bff>72334ioj7<;4:?`a5<5<=16oh?525689fc52;>?70mj3;070>;dm<098952cd49612<5jo<6?:;;<af<?43<27hi44=459>g`g=:=>01o=j:367?8dfl38?863mf18101=:joh1>9:4=b25>72334i:=7<;4:?`5f<5<=16o?9525689f552;>?70m<d;070>;e<0098952b469612<5k?m6?:;;<`5e?43<27i;84=459>f=6=:=>01o6m:367?8d>>38?863ma08101=:jhi1>9:4=c`;>72334hh?7<;4:?ag`<5<=16ni7525689gc32;>?70ljb;070>;emj098952bdf9612<5kon6?:;;<`fb?43<27ij<4=459>fc4=:=>01oh<:367?8da<38?863mf48101=:jo<1>9:4=cd4>72334hm47<;4:?ab<<5<=16nko525689g`d2;>?70lid;070>;enl098952bgd9612<5j:;6?:;;<a35?43<27h<?4=459>g55=:=>01n>;:367?8e7=38?863l068101=:k921>9:4=b2:>72334i;m7<;4:?`4g<5<=16o=m525689f6c2;>?70m?e;070>;d8o098952c029612<5j;96?:;;<a27?43<27h=94=459>g43=:=>01n?9:367?8e6?38?863l198101=:k831>9:4=b3b>72334i:n7<;4:?`5a<5<=16o<k525689f7a2;>?70m=0;070>;d:8098952c309612<5j886?:;;<a10?43<27h>84=459>g70=:=>01n<7:367?8e5138?863l2`8101=:k;h1>9:4=b0`>72334i9h7<;4:?`6`<5<=16o?h525689f572;>?70m<1;070>;d;:098952c269612<5j9>6?:;;<a02?43<27h?:4=459>g6>=:=>01n=6:367?8e4i38?863l3c8101=:k:i1>9:4=c1e>72334h?<7<;4:?a04<5<=16n9<525689g242;>?70l;4;070>;e<<098952b549612<5k><6?:;;<`7<?43<27i8l4=459>f1d=:=>01o:l:367?8d3l38?863m4d8101=:j=l1>9:4=c73>72334h>=7<;4:?a17<5<=16n8=525689g322;>?70l:6;070>;e=>098952b4:9612<5k?26?:;;<`6e?43<27i9o4=459>f0e=:=>01o;k:367?8d2m38?863m618101=:j?;1>9:4=c41>72334h=?7<;4:?a21<5<=16n;;525689g012;>?70l97;070>;e>1098952b7;9612<5k<i6?:;;<`5g?43<27i:i4=459>f3c=:=>01o8i:367?8d0838?863m708101=:j>81>9:4=c50>72334h<87<;4:?a33<5<=16n:9525689g1?2;>?70l89;070>;e?h098952b6`9612<5k=h6?:;;<`4`?43<27i;h4=459>f2`=:=>01o6>:367?8d?:38?863m828101=:j1>1>9:4=c:6>72334h3:7<;4:?a<2<5<=16n56525689g>>2;>?70l7a;070>;e0j098952b9f9612<5k2n6?:;;<`;b?43<27i5=4=459>f<7=:=>01o7=:367?8d>;38?863m958101=:j0?1>9:4=c;4>72334h247<;4:?a=<<5<=16n4o525689g?e2;>?70l6c;070>;e1m098952b8g9612<5k3m6?:;;<`b4?43<27im?4=459>fd5=:=>01oo;:367?8df=38?863ma78101=:jh=1>9:4=cc;>72334hj57<;4:?aed<5<=16nll525689ggb2;>?70lnf;070>;ej9098952bc39612<5kh96?:;;<`a7?43<27in94=459>fg3=:=>01ol9:367?8de?38?863mb88101=:jkk1>9:4=c`a>72334hio7<;4:?afa<5<=16nok525689gda2;>?70ll0;070>;ek8098952bb09612<5ki?6?:;;<``1?43<27io;4=459>ff1=:=>01om7:367?8dd138?863mc`8101=:jjh1>9:4=ca`>72334hhh7<;4:?agc<5<=16ni>525689gb62;>?70lk2;070>;el:098952be69612<5kn>6?:;;<`g2?43<27ih:4=459>fa>=:=>01ojn:367?8dcj38?863mdb8101=:jmn1>9:4=cff>72334hoj7<;4:?aa5<5<=16nh?525689gc52;>?70lj3;070>;em<098952bd49612<5ko<6?:;;<`f<?43<27ii44=459>f`g=:=>0q~7l:1821~X>k27=mo4>d49>325=:=301:9?:36:?811k38?563869810<=:??>1>974=643>72>34=>o7<;9:?41=<5<016;8:525;892372;>2708m4;07=>;1j:0984526c0961?<5?h:6?:6;<4a4?43127=mk4=489>2dc=:=301;ok:36:?80fk38?56s|9c83>3}Y1k16m4951e389d362>i01l;>:cg89d2f28n>70o66;070>{t1h0;69uQ9`9>e16=?j16m9>5bd9>e61=9m?0q~lm:1807~Xej273h448c:?;a4<0k273n448c:?;fd<0k273no48c:?;ff<0k273oo48c:?;gf<0k273oi48c:?;g`<0k273n=48c:?;f4<0k273hn48c:?;`a<0k273m448c:?;ed<0k273i?48c:?;a6<0k273hl48c:?;b6<0k273jl48c:?;bg<0k273jn48c:?;ba<0k273jh48c:?;bc<0k272<=48c:?:44<0k272<?48c:?;b1<0k273j848c:?;b3<0k273j:48c:?;b=<0k273j448c:?:46<0k272ml4>d49>=dd=9m?014jl:6a89<be2>i014jn:6a89<b>2>i014m7:6a89<e02>i014m9:6a89<e22>i014l;:6a89<d42>i014l=:6a89<d62>i0q~ln:180[df34h8979l;<`04?1d3tyi47>522y]f==:0h91=i84=7;e>2e<5?3h6:m4=7;;>2e<5?3?6:m4=7;3>2e<5?2h6:m4=7:;>2e<5?2?6:m4=7:3>2e<5?h?6:m4=7`0>2e<5?h96:m4=7`2>2e<5?h;6:m4=7ce>2e<5?kn6:m4=7cg>2e<5?kh6:m4=7ff>4d434<i97?m2:?5g1<6j;16:n951c0893ef28h9708ld;3a6>;1l90:n?526e195g4<5?n>6<l=;<4g<?7e:27=ho4>b39>2g>=9k801;lm:0`1?80em3;i>639c082f7=z{k=1<78t^c589dg52>i01lo=:cg89de12ko01lmm:0f6?8g>k3;o96s|b783>1}Yj?16mnl51e689dd128n?70om9;3g0>{ti;0;69uQa39>f63=jl16ik95bd9><c5=jl1v4950;d2[?034h8979i;<`04?1a34n?979i;<f70?1a34n??79i;<f76?1a34o;n79i;<g3e?1a34o;579i;<g3<?1a34oo=79i;<gg4?1a34ohj79i;<g`a?1a34l;n79i;<d3e?1a34l;579i;<d3<?1a34l:h79i;<d2g?1a34l:n79i;<d2e?1a34l9j79i;<d1a?1a34l9h79i;<d1g?1a34l?=79i;<d74?1a34l8j79i;<d0a?1a34l>?79i;<d66?1a34l>=79i;<d64?1a34l=979i;<d50?1a34l=?79i;<d56?1a34l<;79i;<d42?1a34l<979i;<d40?1a34n>;79i;<f62?1a34n>979i;<f60?1a34n=579i;<f5<?1a34n=;79i;<f52?1a34n<n79i;<f4e?1a34n<579i;<f4<?1a34n3h79i;<f;g?1a34n3n79i;<f;e?1a34n2j79i;<f:a?1a34n2h79i;<f:g?1a34ni=79i;<fa4?1a34njj79i;<fba?1a34nh?79i;<f`6?1a34nh=79i;<f`4?1a34no979i;<fg0?1a34no?79i;<fg6?1a34nn;79i;<ff2?1a34nn979i;<ff0?1a34nm579i;<fe<?1a34nm;79i;<fe2?1a34o:h79i;<g2g?1a34o:n79i;<g2e?1a34o9j79i;<g1a?1a34o9h79i;<g1g?1a34o?=79i;<g74?1a34o8j79i;<g0a?1a34o>?79i;<g66?1a34o>=79i;<g64?1a34o=979i;<g50?1a34o=?79i;<g56?1a34o<;79i;<g42?1a34o<979i;<g40?1a34o3579i;<g;<?1a34o3;79i;<g;2?1a34o2n79i;<g:e?1a34o2579i;<g:<?1a34ojh79i;<gbg?1a34ojn79i;<gbe?1a34oij79i;<gaa?1a34oih79i;<gag?1a34on?79i;<gf6?1a34on=79i;<gf4?1a34om;79i;<ge2?1a34om979i;<ge0?1a34h:?79n;<cb6?1f34kh:79n;<c`3?1f34kh979n;<`32?1f34h;?79n;<ceb?1f34kmn79n;<ce3?1f34km?79n;<cfb?1f34knn79n;<cf3?1f34kn?79n;<547?1f34=<<79n;<55g?1f34==479n;<550?1f34==<79n;<56g?1f34=>479n;<560?1f34=><79n;<c65?1f34k?<79n;<:g=?1a342n=79i;<:a=?1a342im79i;<:af?1a342io79i;<:`f?1a342ho79i;<:``?1a342hi79i;<:a4?1a342i=79i;<:gg?1a342oh79i;<:b=?1a342jm79i;<:f6?1a342n?79i;<:ge?1a342m?79n;<:ee?1f342mn79n;<:eg?1f342mh79n;<:ea?1f342mj79n;<;34?1f343;=79n;<;36?1f342m879n;<:e1?1f342m:79n;<:e3?1f342m479n;<:e=?1f343;?79i;<;gg?1a343on79i;<;ge?1a343o579i;<;`<?1a343h;79i;<;`2?1a343h979i;<;a0?1a343i?79i;<;a6?1a343i=79i;<4:b?1f34<2o79n;<4:<?1f34<2879n;<4:4?1f34<3o79n;<4;<?1f34<3879n;<4;4?1f34<i879n;<4a7?1f34<i>79n;<4a5?1f34<i<79n;<4bb?1f34<ji79n;<4b`?1f34<jo79n;<c:2?1f34k2979n;<4ga?>e34<i9769;<4`0?>134<h;769;<4`e?>134<hh769;<4g4?>134<o?769;<4g1?>134<o4769;<4gf?>134<i4769;<4af?>134<ii769;<4`5?>13ty<j7>556`xZ2`<5k8o6:o4=c1`>2g<5k8m6:o4=c1;>2g<5k986:o4=c12>2g<5k9=6:o4=24:>2g<5=><6:o4=5ag>2g<5=o86:o4=5d:>2g<5<:m6:o4=406>2g<5<9i6:o4=472>2g<5<<<6:o4=25e>2g<5:3>6:o4=2ca>2g<5:i:6:o4=2f4>2g<5:oo6:o4=520>2g<5=;26:o4=50e>2g<5=>>6:o4=57g>2g<5==86:o4=5::>2g<5=3m6:o4=5`6>2g<5=i86:o4=5a6>2g<5=i<6:o4=5a:>2g<5=ii6:o4=5ae>2g<5=n:6:o4=5f0>2g<5=n>6:o4=5f4>2g<5=n26:o4=5fa>2g<5=no6:o4=5fe>2g<5=o:6:o4=5g6>2g<5=o<6:o4=5g:>2g<5=oi6:o4=5gg>2g<5=om6:o4=5d2>2g<5=l86:o4=5d6>2g<5=l<6:o4=5da>2g<5=lo6:o4=5de>2g<5<::6:o4=420>2g<5<:>6:o4=424>2g<5<:26:o4=42a>2g<5<:o6:o4=432>2g<5<;86:o4=436>2g<5<;<6:o4=43:>2g<5<;i6:o4=43g>2g<5<;m6:o4=402>2g<5<886:o4=404>2g<5<826:o4=40a>2g<5<8o6:o4=40e>2g<5<9:6:o4=410>2g<5<9>6:o4=414>2g<5<926:o4=41g>2g<5<9m6:o4=462>2g<5<>86:o4=466>2g<5<><6:o4=46:>2g<5<>i6:o4=46g>2g<5<>m6:o4=470>2g<5<?>6:o4=474>2g<5<?26:o4=47a>2g<5<?o6:o4=47e>2g<5<<:6:o4=440>2g<5<<>6:o4=24a>2g<5:<o6:o4=24e>2g<5:=:6:o4=250>2g<5:=>6:o4=254>2g<5:=26:o4=25a>2g<5:=o6:o4=2:2>2g<5:286:o4=2:6>2g<5:2<6:o4=2::>2g<5:2i6:o4=2:g>2g<5:2m6:o4=2;2>2g<5:386:o4=2;4>2g<5:326:o4=2;a>2g<5:3o6:o4=2;e>2g<5:k:6:o4=2c0>2g<5:k>6:o4=2c4>2g<5:k26:o4=2cg>2g<5:km6:o4=2`2>2g<5:h86:o4=2`6>2g<5:h<6:o4=2`:>2g<5:hi6:o4=2`g>2g<5:hm6:o4=2a0>2g<5:i>6:o4=2a4>2g<5:i26:o4=2aa>2g<5:io6:o4=2ae>2g<5:n:6:o4=2f0>2g<5:n>6:o4=2f:>2g<5:ni6:o4=2fg>2g<5:nm6:o4=2g2>2g<5:o86:o4=2g6>2g<5:o<6:o4=2g:>2g<5:oi6:o4=2ge>2g<5:l:6:o4=2d0>2g<5:l>6:o4=2d4>2g<5:l26:o4=2da>2g<5:lo6:o4=2de>2g<5=::6:o4=526>2g<5=:<6:o4=52:>2g<5=:i6:o4=52g>2g<5=:m6:o4=532>2g<5=;86:o4=536>2g<5=;<6:o4=53a>2g<5=;o6:o4=53e>2g<5=8:6:o4=500>2g<5=8>6:o4=504>2g<5=826:o4=50a>2g<5=8o6:o4=512>2g<5=986:o4=516>2g<5=9<6:o4=51:>2g<5=9i6:o4=51g>2g<5=9m6:o4=562>2g<5=>86:o4=56:>2g<5=>i6:o4=56g>2g<5=>m6:o4=572>2g<5=?86:o4=576>2g<5=?<6:o4=57:>2g<5=?i6:o4=57e>2g<5=<:6:o4=540>2g<5=<>6:o4=544>2g<5=<26:o4=54a>2g<5=<o6:o4=54e>2g<5==:6:o4=556>2g<5==<6:o4=55:>2g<5==i6:o4=55g>2g<5==m6:o4=5:2>2g<5=286:o4=5:6>2g<5=2<6:o4=5:a>2g<5=2o6:o4=5:e>2g<5=3:6:o4=5;0>2g<5=3>6:o4=5;4>2g<5=326:o4=5;a>2g<5=3o6:o4=5c2>2g<5=k86:o4=5c6>2g<5=k<6:o4=5c:>2g<5=ki6:o4=5cg>2g<5=km6:o4=5`2>2g<5=h86:o4=5`4>2g<5=h26:o4=5`a>2g<5=ho6:o4=5`e>2g<5=i:6:o4=24;>2`<5=>=6:h4=5a`>2`<5=o96:h4=5d;>2`<5<:n6:h4=407>2`<5<9j6:h4=473>2`<5<<=6:h4=25f>2`<5:3?6:h4=2cb>2`<5:i;6:h4=2f5>2`<5:oh6:h4=521>2`<5=;36:h4=50f>2`<5=>?6:h4=57`>2`<5==96:h4=5:;>2`<5=3n6:h4=5`7>2`<5=i96:h4=5a7>2`<5=i=6:h4=5a;>2`<5=ij6:h4=5af>2`<5=n;6:h4=5f1>2`<5=n?6:h4=5f5>2`<5=n36:h4=5fb>2`<5=nh6:h4=5ff>2`<5=o;6:h4=5g7>2`<5=o=6:h4=5g;>2`<5=oj6:h4=5g`>2`<5=on6:h4=5d3>2`<5=l96:h4=5d7>2`<5=l=6:h4=5db>2`<5=lh6:h4=5df>2`<5<:;6:h4=421>2`<5<:?6:h4=425>2`<5<:36:h4=42b>2`<5<:h6:h4=433>2`<5<;96:h4=437>2`<5<;=6:h4=43;>2`<5<;j6:h4=43`>2`<5<;n6:h4=403>2`<5<896:h4=405>2`<5<836:h4=40b>2`<5<8h6:h4=40f>2`<5<9;6:h4=411>2`<5<9?6:h4=415>2`<5<936:h4=41`>2`<5<9n6:h4=463>2`<5<>96:h4=467>2`<5<>=6:h4=46;>2`<5<>j6:h4=46`>2`<5<>n6:h4=471>2`<5<??6:h4=475>2`<5<?36:h4=47b>2`<5<?h6:h4=47f>2`<5<<;6:h4=441>2`<5<<?6:h4=24b>2`<5:<h6:h4=24f>2`<5:=;6:h4=251>2`<5:=?6:h4=255>2`<5:=36:h4=25b>2`<5:=h6:h4=2:3>2`<5:296:h4=2:7>2`<5:2=6:h4=2:;>2`<5:2j6:h4=2:`>2`<5:2n6:h4=2;3>2`<5:396:h4=2;5>2`<5:336:h4=2;b>2`<5:3h6:h4=2;f>2`<5:k;6:h4=2c1>2`<5:k?6:h4=2c5>2`<5:k36:h4=2c`>2`<5:kn6:h4=2`3>2`<5:h96:h4=2`7>2`<5:h=6:h4=2`;>2`<5:hj6:h4=2``>2`<5:hn6:h4=2a1>2`<5:i?6:h4=2a5>2`<5:i36:h4=2ab>2`<5:ih6:h4=2af>2`<5:n;6:h4=2f1>2`<5:n?6:h4=2f;>2`<5:nj6:h4=2f`>2`<5:nn6:h4=2g3>2`<5:o96:h4=2g7>2`<5:o=6:h4=2g;>2`<5:oj6:h4=2gf>2`<5:l;6:h4=2d1>2`<5:l?6:h4=2d5>2`<5:l36:h4=2db>2`<5:lh6:h4=2df>2`<5=:;6:h4=527>2`<5=:=6:h4=52;>2`<5=:j6:h4=52`>2`<5=:n6:h4=533>2`<5=;96:h4=537>2`<5=;=6:h4=53b>2`<5=;h6:h4=53f>2`<5=8;6:h4=501>2`<5=8?6:h4=505>2`<5=836:h4=50b>2`<5=8h6:h4=513>2`<5=996:h4=517>2`<5=9=6:h4=51;>2`<5=9j6:h4=51`>2`<5=9n6:h4=563>2`<5=>96:h4=56;>2`<5=>j6:h4=56`>2`<5=>n6:h4=573>2`<5=?96:h4=577>2`<5=?=6:h4=57;>2`<5=?j6:h4=57f>2`<5=<;6:h4=541>2`<5=<?6:h4=545>2`<5=<36:h4=54b>2`<5=<h6:h4=54f>2`<5==;6:h4=557>2`<5===6:h4=55;>2`<5==j6:h4=55`>2`<5==n6:h4=5:3>2`<5=296:h4=5:7>2`<5=2=6:h4=5:b>2`<5=2h6:h4=5:f>2`<5=3;6:h4=5;1>2`<5=3?6:h4=5;5>2`<5=336:h4=5;b>2`<5=3h6:h4=5c3>2`<5=k96:h4=5c7>2`<5=k=6:h4=5c;>2`<5=kj6:h4=5c`>2`<5=kn6:h4=5`3>2`<5=h96:h4=5`5>2`<5=h36:h4=5`b>2`<5=hh6:h4=5`f>2`<5=i;6:h4=dgf>2g<5hk26:o4=`cb>2g<5h3?6:o4=`:2>2g<5h2;6:o4=`5e>2g<5h=n6:o4=`5g>2g<5h=h6:o4=`5a>2g<5h=j6:o4=`5:>2g<5h=36:o4=`af>2g<5hio6:o4=`;g>2g<5hk:6:o4=`c3>2g<5h8i6:o4=`0`>2g<5h8o6:o4=`0f>2g<5h8m6:o4=`13>2g<5h9:6:o4=`11>2g<5h>i6:o4=`73>2g<5h>n6:o4=`6e>2g<5h936:o4=`1e>2g<5h9i6:o4=`1`>2g<5h9o6:o4=`1f>2g<5h;>6:o4=`35>2g<5h;:6:o4=9c6>2g<51k=6:o4=`30>2g<5h;?6:o4=9`6>2g<51i<6:o4=9c4>2g<50:=6:o4=827>2g<50:26:o4=824>2g<50:h6:o4=82b>2g<50:m6:o4=82g>2g<50;96:o4=833>2g<50;>6:o4=830>2g<50;36:o4=835>2g<50;i6:o4=83:>2g<50;n6:o4=83`>2g<508:6:o4=83e>2g<503o6:o4=8;b>2g<503=6:o4=8;1>2g<502n6:o4=8:b>2g<502=6:o4=8:1>2g<50=n6:o4=85b>2g<50?26:o4=875>2g<50?96:o4=86f>2g<50>j6:o4=865>2g<50>96:o4=81f>2g<509j6:o4=815>2g<5hkh6:o4=`cf>2g<5k;?6:o4=`;1>2g<58;h<79n;<30=3<0i27:?4;57`9>56?32>k01<=63;5b?8741;0<m63>38393d=:9:2m6:o4=01;a?1f34;84i48a:?27=e=?h16=>6m:6c8945?i3=j70?<8884e>;6;121;l5212:4>2g<5893:79n;<30<1<0i27:?5=57`9>56>52>k01<=71;5b?874090<m63>36d93d=:9:=n6:o4=014`?1f34;8;n48a:?272d=?h16=>96:6c8945003=j70?<7684e>;6;><1;l521256>2g<589<879n;<3036<0i27:?:<57`9>56162>k01<=80;5b?874>l0<m63>37f93d=:9:<h6:o4=015f?1f34;8:l48a:?273?=?h16=>87:6c89451?3=j70?<6784e>;6;??1;l521240>2g<589=>79n;<3024<0i27:?;>57`9>563a2>k01<=:e;5b?874=m0<m63>34a93d=:9:?i6:o4=016e?1f34;89:48a:?2700=?h16=>;::6c89452<3=j70?<5284e>;6;<81;l521272>2g<589><79n;<300c<0i27:?9k57`9>562d2>k01<=;b;5b?874<h0<m63>35;93d=:9:>36:o4=0173?1f34;88;48a:?2713=?h16=>:;:6c89453;3=j70?<4084e>;6;=:1;l52121e>2g<5898i79n;<307a<0i27:?>m57`9>565e2>k01<=<a;5b?874;00<m63>32:93d=:9:9=6:o4=0101?1f34;8?948a:?2765=?h16=>==:6c8945493=j70?<3184e>;6;;l1;l52120f>2g<5899h79n;<306g<0i27:??o57`9>564>2>k01<==8;5b?874:>0<m63>33493d=:9:8>6:o4=0110?1f34;8>>48a:?2774=?h16=><?:6c89456n3=j70?<1d84e>;6;8n1;l52123`>2g<589:n79n;<305d<0i27:?<757`9>567?2>k01<=>7;5b?8749<0<m63>30693d=:9:;86:o4=0126?1f34;8=<48a:?2746=?h16=>>i:6c89457m3=j70?<0e84e>;6;9i1;l52122b>2g<589;579n;<304=<0i27:?=957`9>56612>k01<=?5;5b?8748=0<m63>31193d=:9::96:o4=0135?1f34;9jk48a:?26cc=?h16=?hk:6c8944ak3=j70?=fc84e>;6:ok1;l5213d:>2g<588m479n;<31b2<0i27:>k857`9>57`32>k01<<i3;5b?875n;0<m63>2g393d=:9;l;6:o4=00fb?1f34;9ih48a:?26`b=?h16=?kl:6c8944bj3=j70?<e984e>;6;l=1;l5212g5>2g<589n979n;<30a1<0i27:?h=57`9>56c52>k01<=j1;5b?874m90<m63>3ed93d=:9:no6:o4=01gg?1f34;8ho48a:?27ag=?h16=>j6:6c8945c03=j70?<d684e>;6;m<1;l5212f6>2g<589o879n;<30`7<0i27:?i?57`9>56b72>k01<=lf;5b?874kl0<m63>3bf93d=:9:ih6:o4=01`f?1f34;8ol48a:?27f?=?h16=>m8:6c8945d>3=j70?<c484e>;6;j>1;l5212a0>2g<589h>79n;<30g4<0i27:?n>57`9>56da2>k01<=me;5b?874jj0<m63>3c`93d=:9:hj6:o4=01a=?1f34;8n548a:?27g1=?h16=>l9:6c8945e=3=j70?<b584e>;6;k91;l5212`2>2g<589i<79n;<30ec<0i27:?lk57`9>56gc2>k01<=nc;5b?874ik0<m63>3`c93d=:9:k26:o4=01b<?1f34;8m;48a:?27d3=?h16=>o;:6c8945f;3=j70?<a384e>;6;h;1;l5212c3>2g<5892j79n;<30=`<0i27:?4j57`9>56?e2>k01<=6a;5b?874100<m63>38:93d=:9:3<6:o4=01:4?1f34;84848a:?272g=?h16=>8i:6c89451<3=j70?<5984e>;6;=n1;l521261>2g<5898;79n;<306f<0i27:???57`9>56712>k01<=?b;5b?874890<m63>2g793d=:9:o26:o4=01ga?1f34;8h>48a:?27f>=?h16=>lk:6c8945e:3=j70?<a684e>;6;0i1;l52127:>2g<588nm79n;<a0a?1f34ijh79n;<ae4?1f34imn79n;<f32?1f34n:=79n;<f2g?1f34n9;79n;<f06?1f34n8h79n;<a7=?1f34i>879n;<a6b?1f34i=m79n;<a41?1f34i3<79n;<a;f?1f34i2:79n;<ab5?1f34ijo79n;<aa<?1f34ih?79n;<a`a?1f34io579n;<af0?1f34inn79n;<afg?1f34inh79n;<afa?1f34inj79n;<ae5?1f34im>79n;<ae7?1f34im879n;<ae1?1f34im:79n;<ae3?1f34im479n;<ae=?1f34imm79n;<aeg?1f34imh79n;<aea?1f34imj79n;<f34?1f34n;=79n;<f36?1f34n;?79n;<f30?1f34n;979n;<f33?1f34n;479n;<f3=?1f34n;m79n;<f3f?1f34n;o79n;<f3`?1f34n;i79n;<f3b?1f34n:<79n;<f26?1f34n:?79n;<f20?1f34n:979n;<f22?1f34n:;79n;<f2<?1f34n:579n;<f2e?1f34n:n79n;<f2`?1f34n:i79n;<f2b?1f34n9<79n;<f15?1f34n9>79n;<f17?1f34n9879n;<f11?1f34n9:79n;<f1<?1f34n9579n;<f1e?1f34n9n79n;<f1g?1f34n9h79n;<f1a?1f34n9j79n;<f04?1f34n8=79n;<f07?1f34n8879n;<f01?1f34n8:79n;<f03?1f34n8479n;<f0=?1f34n8m79n;<f0f?1f34n8o79n;<a0b?1f34i?<79n;<a75?1f34i?>79n;<a77?1f34i?879n;<a71?1f34i?:79n;<a73?1f34i?479n;<a7e?1f34i?n79n;<a7g?1f34i?h79n;<a7a?1f34i?j79n;<a64?1f34i>=79n;<a66?1f34i>?79n;<a61?1f34i>:79n;<a63?1f34i>479n;<a6=?1f34i>m79n;<a6f?1f34i>o79n;<a6`?1f34i>i79n;<a54?1f34i==79n;<a56?1f34i=?79n;<a50?1f34i=979n;<a52?1f34i=;79n;<a5<?1f34i=579n;<a5f?1f34i=o79n;<a5`?1f34i=i79n;<a5b?1f34i<<79n;<a45?1f34i<>79n;<a47?1f34i<879n;<a42?1f34i<;79n;<a4<?1f34i<579n;<a4e?1f34i<n79n;<a4g?1f34i<h79n;<a4a?1f34i<j79n;<a;5?1f34i3>79n;<a;7?1f34i3879n;<a;1?1f34i3:79n;<a;3?1f34i3479n;<a;=?1f34i3m79n;<a;g?1f34i3h79n;<a;a?1f34i3j79n;<a:4?1f34i2=79n;<a:6?1f34i2?79n;<a:0?1f34i2979n;<a:3?1f34i2479n;<a:=?1f34i2m79n;<a:f?1f34i2o79n;<a:`?1f34i2i79n;<a:b?1f34ij<79n;<ab6?1f34ij?79n;<ab0?1f34ij979n;<ab2?1f34ij;79n;<ab<?1f34ij579n;<abe?1f34ijn79n;<aba?1f34ijj79n;<aa4?1f34ii=79n;<aa6?1f34ii?79n;<aa0?1f34ii979n;<aa2?1f34ii;79n;<aa=?1f34iim79n;<aaf?1f34iio79n;<aa`?1f34iii79n;<aab?1f34ih<79n;<a`5?1f34ih>79n;<a`0?1f34ih979n;<a`2?1f34ih;79n;<a`<?1f34ih579n;<a`e?1f34ihn79n;<a`g?1f34ihh79n;<a`b?1f34io<79n;<ag5?1f34io>79n;<ag7?1f34io879n;<ag1?1f34io:79n;<ag3?1f34io479n;<age?1f34ion79n;<agg?1f34ioh79n;<aga?1f34ioj79n;<af4?1f34in=79n;<af6?1f34in?79n;<af1?1f34in:79n;<af3?1f34in479n;<af=?1f34inm79n;<`0a?1f34hjh79n;<`e4?1f34hmn79n;<a32?1f34i:=79n;<a2g?1f34i9;79n;<a06?1f34i8h79n;<`7=?1f34h>879n;<`6b?1f34h=m79n;<`41?1f34h3<79n;<`;f?1f34h2:79n;<`b5?1f34hjo79n;<`a<?1f34hh?79n;<``a?1f34ho579n;<`f0?1f34hnn79n;<`fg?1f34hnh79n;<`fa?1f34hnj79n;<`e5?1f34hm>79n;<`e7?1f34hm879n;<`e1?1f34hm:79n;<`e3?1f34hm479n;<`e=?1f34hmm79n;<`eg?1f34hmh79n;<`ea?1f34hmj79n;<a34?1f34i;=79n;<a36?1f34i;?79n;<a30?1f34i;979n;<a33?1f34i;479n;<a3=?1f34i;m79n;<a3f?1f34i;o79n;<a3`?1f34i;i79n;<a3b?1f34i:<79n;<a26?1f34i:?79n;<a20?1f34i:979n;<a22?1f34i:;79n;<a2<?1f34i:579n;<a2e?1f34i:n79n;<a2`?1f34i:i79n;<a2b?1f34i9<79n;<a15?1f34i9>79n;<a17?1f34i9879n;<a11?1f34i9:79n;<a1<?1f34i9579n;<a1e?1f34i9n79n;<a1g?1f34i9h79n;<a1a?1f34i9j79n;<a04?1f34i8=79n;<a07?1f34i8879n;<a01?1f34i8:79n;<a03?1f34i8479n;<a0=?1f34i8m79n;<a0f?1f34i8o79n;<`0b?1f34h?<79n;<`75?1f34h?>79n;<`77?1f34h?879n;<`71?1f34h?:79n;<`73?1f34h?479n;<`7e?1f34h?n79n;<`7g?1f34h?h79n;<`7a?1f34h?j79n;<`64?1f34h>=79n;<`66?1f34h>?79n;<`61?1f34h>:79n;<`63?1f34h>479n;<`6=?1f34h>m79n;<`6f?1f34h>o79n;<`6`?1f34h>i79n;<`54?1f34h==79n;<`56?1f34h=?79n;<`50?1f34h=979n;<`52?1f34h=;79n;<`5<?1f34h=579n;<`5f?1f34h=o79n;<`5`?1f34h=i79n;<`5b?1f34h<<79n;<`45?1f34h<>79n;<`47?1f34h<879n;<`42?1f34h<;79n;<`4<?1f34h<579n;<`4e?1f34h<n79n;<`4g?1f34h<h79n;<`4a?1f34h<j79n;<`;5?1f34h3>79n;<`;7?1f34h3879n;<`;1?1f34h3:79n;<`;3?1f34h3479n;<`;=?1f34h3m79n;<`;g?1f34h3h79n;<`;a?1f34h3j79n;<`:4?1f34h2=79n;<`:6?1f34h2?79n;<`:0?1f34h2979n;<`:3?1f34h2479n;<`:=?1f34h2m79n;<`:f?1f34h2o79n;<`:`?1f34h2i79n;<`:b?1f34hj<79n;<`b6?1f34hj?79n;<`b0?1f34hj979n;<`b2?1f34hj;79n;<`b<?1f34hj579n;<`be?1f34hjn79n;<`ba?1f34hjj79n;<`a4?1f34hi=79n;<`a6?1f34hi?79n;<`a0?1f34hi979n;<`a2?1f34hi;79n;<`a=?1f34him79n;<`af?1f34hio79n;<`a`?1f34hii79n;<`ab?1f34hh<79n;<``5?1f34hh>79n;<``0?1f34hh979n;<``2?1f34hh;79n;<``<?1f34hh579n;<``e?1f34hhn79n;<``g?1f34hhh79n;<``b?1f34ho<79n;<`g5?1f34ho>79n;<`g7?1f34ho879n;<`g1?1f34ho:79n;<`g3?1f34ho479n;<`ge?1f34hon79n;<`gg?1f34hoh79n;<`ga?1f34hoj79n;<`f4?1f34hn=79n;<`f6?1f34hn?79n;<`f1?1f34hn:79n;<`f3?1f34hn479n;<`f=?1f34hnm79n;<c:a?1f34k2j79n;<c`e?1f34k>579n;<c7`?1f34k?o79n;<c0e?1f34k8579n;<4ga?>b34<i976l;<4`0?>d34<h;76l;<4`e?>d34<hh76l;<4g4?>d34<o?76l;<4g1?>d34<o476l;<4gf?>d34<i476l;<4af?>d34<ii76l;<4`5?>d3tyi97>52z\a1>;?i:09?n5rs316>5<59mq6=8>;:0f2?851039<70:;6;14?82dk39<70:j2;14?82a039<70;?e;14?835<39<70;<a;14?832839<70;96;14?850m39<70=64;14?85fi39<70=l0;14?85c>39<70=jc;14?827:39<70:>8;14?825m39<70:;4;14?822k39<70:82;14?82?039<70:6e;14?82e<39<70:l2;14?82d<39<70:l6;14?82d039<70:la;14?82dm39<70:k0;14?82c:39<70:k4;14?82c>39<70:k8;14?82ci39<70:kc;14?82cm39<70:j0;14?82b<39<70:j6;14?82b039<70:ja;14?82bk39<70:je;14?82a839<70:i2;14?82a<39<70:i6;14?82ai39<70:ic;14?82am39<70;?0;14?837:39<70;?4;14?837>39<70;?8;14?837i39<70;?c;14?836839<70;>2;14?836<39<70;>6;14?836039<70;>a;14?836k39<70;>e;14?835839<70;=2;14?835>39<70;=8;14?835i39<70;=c;14?835m39<70;<0;14?834:39<70;<4;14?834>39<70;<8;14?834k39<70;<e;14?833839<70;;2;14?833<39<70;;6;14?833039<70;;a;14?833k39<70;;e;14?832:39<70;:4;14?832>39<70;:8;14?832i39<70;:c;14?832m39<70;90;14?831:39<70;94;14?851i39<70=9c;14?851m39<70=80;14?850:39<70=84;14?850>39<70=88;14?850i39<70=8c;14?85?839<70=72;14?85?<39<70=76;14?85?039<70=7a;14?85?k39<70=7e;14?85>839<70=62;14?85>>39<70=68;14?85>i39<70=6c;14?85>m39<70=n0;14?85f:39<70=n4;14?85f>39<70=n8;14?85fk39<70=ne;14?85e839<70=m2;14?85e<39<70=m6;14?85e039<70=ma;14?85ek39<70=me;14?85d:39<70=l4;14?85d>39<70=l8;14?85di39<70=lc;14?85dm39<70=k0;14?85c:39<70=k4;14?85c039<70=ka;14?85ck39<70=ke;14?85b839<70=j2;14?85b<39<70=j6;14?85b039<70=ja;14?85bm39<70=i0;14?85a:39<70=i4;14?85a>39<70=i8;14?85ai39<70=ic;14?85am39<70:?0;14?827<39<70:?6;14?827039<70:?a;14?827k39<70:?e;14?826839<70:>2;14?826<39<70:>6;14?826i39<70:>c;14?826m39<70:=0;14?825:39<70:=4;14?825>39<70:=8;14?825i39<70:=c;14?824839<70:<2;14?824<39<70:<6;14?824039<70:<a;14?824k39<70:<e;14?823839<70:;2;14?823039<70:;a;14?823k39<70:;e;14?822839<70::2;14?822<39<70::6;14?822039<70::a;14?822m39<70:90;14?821:39<70:94;14?821>39<70:98;14?821i39<70:9c;14?821m39<70:80;14?820<39<70:86;14?820039<70:8a;14?820k39<70:8e;14?82?839<70:72;14?82?<39<70:76;14?82?i39<70:7c;14?82?m39<70:60;14?82>:39<70:64;14?82>>39<70:68;14?82>i39<70:6c;14?82f839<70:n2;14?82f<39<70:n6;14?82f039<70:na;14?82fk39<70:ne;14?82e839<70:m2;14?82e>39<70:m8;14?82ei39<70:mc;14?82em39<70:l0;14?8b393i870j;0;a0?8b4n3i870j<e;a0?8c7<3i870k?5;a0?8c7?3i870k?6;a0?8cdi3i870klb;a0?8cdl3i870klc;a0?8`7<3i870h?5;a0?8`7?3i870h?6;a0?8`6>3i870h>7;a0?8`613i870h>8;a0?8`503i870h=9;a0?8`5j3i870h=a;a0?8`4i3i870h<b;a0?8`4l3i870h<c;a0?8`3k3i870h;d;a0?8`3n3i870h;e;a0?8`2m3i870h:f;a0?8`193i870h90;a0?8`083i870h81;a0?8`0;3i870h82;a0?8b283i870j:1;a0?8b2;3i870j:2;a0?8b1:3i870j93;a0?8b1=3i870j94;a0?8b0<3i870j85;a0?8b0?3i870j86;a0?8b?>3i870j77;a0?8b?13i870j78;a0?8b>03i870j69;a0?8b>j3i870j6a;a0?8bfi3i870jnb;a0?8bfl3i870jnc;a0?8bek3i870jmd;a0?8ben3i870jme;a0?8bdm3i870jlf;a0?8bc93i870jk0;a0?8bb83i870jj1;a0?8bb;3i870jj2;a0?8ba:3i870ji3;a0?8ba=3i870ji4;a0?8c6>3i870k>7;a0?8c613i870k>8;a0?8c503i870k=9;a0?8c5j3i870k=a;a0?8c4i3i870k<b;a0?8c4l3i870k<c;a0?8c3k3i870k;d;a0?8c3n3i870k;e;a0?8c2m3i870k:f;a0?8c193i870k90;a0?8c083i870k81;a0?8c0;3i870k82;a0?8c?:3i870k73;a0?8c?=3i870k74;a0?8c><3i870k65;a0?8c>?3i870k66;a0?8cf>3i870kn7;a0?8cf13i870kn8;a0?8ce03i870km9;a0?8cej3i870kma;a0?8cck3i870kkd;a0?8ccn3i870kke;a0?8ca83i870ki1;a0?8ca;3i870ki2;a0?8cbn3i870l?2;a0?8gam3i870oia;a0?8ga>3i870oi2;a0?8gbm3i870oja;a0?8gb>3i870oj2;a0?811n3i87099b;a0?811?3i870993;a0?812n3i8709:b;a0?812?3i8709:3;a0?813n3i870o:a;3g0>;f=h0:h;528`5961?<50326n=4=8;6>f5<503:6n=4=8:g>f5<50226n=4=8:6>f5<502:6n=4=85g>f5<50=26n=4=876>f5<50?:6n=4=86g>f5<50>26n=4=866>f5<50>:6n=4=81g>f5<50926n=4=816>f5<50n36n=4=8f4>f5<50n=6n=4=8f6>f5<50n?6n=4=8a7>f5<50i86n=4=8a1>f5<50i:6n=4=8a3>f5<50h;6n=4=8ce>f5<50kn6n=4=8cg>f5<50kh6n=4=7;a>f5<5?3<6n=4=7;0>f5<5?2m6n=4=7:a>f5<5?2<6n=4=7:0>f5<5?=m6n=4=`7:>gc<5?nn6<<:;<4ga?75<27=hh4>229>2ac=9:?01;jj:06e1>;1ll0:8k=4=7ff>73734<oi7<:2:?5f0<6<o801;l::06e4>;1j<0:8hh4=7`6>42bm27=n84=519>2g3=:<;01;m;:06e6>;1k=0:8k>4=7a7>42bn27=o94>4dg893e32;?;708l4;065>;1k>0:8k<4=7a4>42a827=o:4>4dd893e028>ni639c68115=:>j=1>8?4=7ab>42a:27=ol4>4g2893ef28>nj639c`820`c<5?ij6?;?;<4`e?42927=oi4>4g0893ec28>m<639ce820``<5?io6<:je:?5ga<5=916:nj5243893b728>m>639d1820c6<5?n;6<:jf:?5`5<6<lo01;j?:373?80c838>=639d2820c4<5?n86<:i0:?5`6<6<ll01;j<:06fa>;1l:099=526e19607<5?n>6<:i2:?5`0<6<o:01;j::06fb>;1l<0:8hk4=7f6>73734<o97<:1:?5`=<6<o801;j7:06e4>;1l10:8hh4=7f;>42bm27=h54=519>2a>=:<;01;jm:06e6>;1lk0:8k>4=7fa>42bn27=ho4>4dg893be2;?;708kb;065>;1j10:8k<4=7`;>42a827=n54>4dd893d?28>ni639b98115=:>k21>8?4=7`a>42a:27=no4>4g2893de28>nj639bc820`c<5?hi6?;?;<4af?42927=nh4>4g0893db28>m<639bd820``<5?hn6<:je:?5f`<5=916:ok5243893e628>m>639c0820c6<5?i:6<:jf:?5g4<6<lo01;m>:373?80d938>=6s|6d194?4|5?o86?=l;<5;b?7c12wx:h650;0x93c?2;9h7097f;3g<>{t?1l1<7<t=6:e>75d34=8:7?k6:p363=838p1:=;:0f6?814>388o6s|6g194?4|5?l86?=l;<4f6?7c12wx:k;50;0x93`22;9h708j2;3g<>{t>o<1<7<t=7d5>75d34<n>7?k6:p2cd=838p1;hm:31`?80b:3;o;6s|6d094?4|5?o96?=l;<50=?7c12wx:k950;0x93`02;9h708j4;3g=>{t>oi1<7<t=7d`>75d34<n87?k8:p354=838p1:>=:31`?80b<3;o:6s|71c94?4|5>:j6?=l;<4f0?7c?2wx:h:50;0x93c32;9h709<9;3g<>{t>o21<7<t=7d;>75d34<n97?k9:p355=838p1:><:31`?80b=3;o46s|71694?4|5>:?6?=l;<4f1?7c>2wx;=l50;0x926e2;9h708j5;3g3>{t>l?1<7<t=7g6>75d34=857?k6:p353=838p1:>::31`?80b13;o56s|70494?4|5>;=6?=l;<4f=?7c02wx;<950;0x92702;9h708j9;3g2>{t?8o1<7<t=63f>75d34<n57?k7:p2`?=838p1;k6:31`?81413;o;6s|72;94?4|5>926?=l;<50<?7c12wx:k750;0x93`>2;9h708j6;3g=>{t?9<1<7<t=625>75d34<n:7?k8:p351=838p1:>8:31`?80b>3;o:6s|70:94?4|5>;36?=l;<4f2?7c?2wx:h850;0x93c12;9h709<a;3g=>{t?921<7<t=62;>75d34<nm7?k9:p34?=838p1:?6:31`?80bi3;o46s|70c94?4|5>;j6?=l;<4fe?7c>2wx;<h50;0x927a2;9h708ja;3g3>{t>lk1<7<t=7gb>75d34=8m7?k8:p35?=838p1:>6:31`?80bj3;o56s|70`94?4|5>;i6?=l;<4ff?7c02wx;<m50;0x927d2;9h708jb;3g2>{t?;?1<7<t=606>75d34<nn7?k7:p2`d=838p1;km:31`?814i3;o:6s|70f94?4|5>;o6?=l;<4fb?7c12wx;?850;0x92412;9h708jf;3g<>{t?;=1<7<t=604>75d34<nj7?k6:p37b=838p1:<k:31`?80bn3;o;6s|6dd94?4|5?om6?=l;<50e?7c?2wx;>o50;0x925f2;9h709<8;3g<>{t>ok1<7<t=7db>75d34<n;7?k9:p2cb=838p1;hk:31`?80b?3;o46s|6gg94?4|5?ln6?=l;<4f3?7c>2wx;=m50;0x926d2;9h708j7;3g3>{t>l=1<7<t=7g4>75d34=8n7?k9:p2c`=838p1;hi:31`?80bk3;o56s|71f94?4|5>:o6?=l;<4fg?7c02wx;=k50;0x926b2;9h708jc;3g2>{t?;21<7<t=60;>75d34<no7?k7:p2`e=838p1;kl:31`?814j3;o46s|71294?4|5>:;6?=l;<4f`?7c12wx;=h50;0x926a2;9h708jd;3g<>{t?8:1<7<t=633>75d34<nh7?k6:p37?=838p1:<6:31`?80bl3;o;6s|6df94?4|5?oo6?=l;<50f?7c>2wx;<?50;0x92762;9h708i0;3g=>{t?;k1<7<t=60b>75d34<m<7?k8:p37d=838p1:<m:31`?80a83;o:6s|73g94?4|5>8n6?=l;<4e4?7c?2wx:k>50;0x93`72;9h709<b;3g3>{t?:h1<7<t=61a>75d34=847?k6:p357=838p1:>>:31`?80bm3;o56s|70094?4|5>;96?=l;<4fa?7c02wx;<=50;0x92742;9h708je;3g2>{t?;i1<7<t=60`>75d34<ni7?k7:p2`c=838p1;kj:31`?80b93;o56s|70694?4|5>;?6?=l;<4e5?7c12wx;?>50;0x92472;9h708i1;3g<>{t?;;1<7<t=602>75d34<m=7?k6:p37`=838p1:<i:31`?80a93;o;6s|6g394?4|5?l:6?=l;<4f5?7c02wx;<;50;0x92722;9h708i2;3g=>{t?;81<7<t=601>75d34<m>7?k8:p375=838p1:<<:31`?80a:3;o:6s|72294?4|5>9;6?=l;<4e6?7c?2wx:k<50;0x93`52;9h708j1;3g2>{t?;>1<7<t=607>75d34<m87?k9:p367=838p1:=>:31`?80a<3;o46s|72094?4|5>996?=l;<4e0?7c>2wx;>=50;0x92542;9h708i4;3g3>{t>o>1<7<t=7d7>75d34<n=7?k7:p2`7=838p1;k>:31`?81403;o;6s|72594?4|5>9?6<j;;<50<?44k2wx:;l50;cx93ge2;9h7086f;07=>;11j09845268:961?<5?3?6?:6;<4:4?43127=4n4=489>2=>=:=301;6;:36:?80?838?56s|67a94?4|5?<36<j;;<45=?44k2wx:;j50;0x930?28n>7089a;00g>{t0=?1<7<t=7g;>4b234<oi7?n3:p<15=838p1;k<:0f4?80cm3;=;6s|85094?4|5?o86<j7;<4ga?71>2wx49?50;0x93c428n=708ke;351>{t0=:1<7<t=7g0>4b>34<oi7?94:p<6`=838p1:=<:0f4?80cm3;=?6s|82g94?4|5>986<j7;<4ga?71:2wx4>j50;0x925428n=708ke;355>{t0:i1<7<t=610>4b>34<oi7?:9:p<6d=838p1:==:0f4?80d93;2j6s|82c94?4|5>996<j7;<4`5?74m2wx4>650;0x925528n=708l1;30`>{t0:=1<7<t=611>4b>34<h=7?<c:p<60=838p1:=>:0f4?80d93;8n6s|82794?4|5>9:6<j7;<4`5?74i2wx4>:50;0x925628n=708l1;30=>{t0:91<7<t=612>4b>34<h=7?:8:p<64=838p1:<;:0f4?80d93;>;6s|82394?4|5>8?6<j7;<4`5?7>m2wx4>>50;0x924328n=708l1;362>{t0;l1<7<t=607>4b>34<h=7?:5:p<7b=838p1:=?:0f4?80d93;>86s|83a94?4|5>9;6<j7;<4`5?72;2wx4?l50;0x925728n=708l1;366>{t0;k1<7<t=613>4b>34<h=7?;e:p<7?=838p1:<<:0f4?80d93;??6s|83:94?4|5>886<j7;<4`5?7402wx4?950;0x924428n=708me;3:b>{t0;<1<7<t=600>4b>34<ii7?<e:p<73=838p1:<=:0f4?80em3;8h6s|83694?4|5>896<j7;<4aa?74k2wx4?<50;0x924528n=708me;30f>{t0;;1<7<t=601>4b>34<ii7?<a:p<76=838p1:?::0f4?80em3;856s|80d94?4|5>;>6<j7;<4aa?7202wx4<k50;0x927228n=708me;363>{t08n1<7<t=636>4b>34<ii7?6e:p<4e=838p1:<i:0f4?80em3;>:6s|80`94?4|5>8m6<j7;<4aa?72=2wx4<o50;0x924a28n=708me;360>{t0831<7<t=60e>4b>34<ii7?:3:p<41=838p1:<>:0f4?80em3;>>6s|80494?4|5>8:6<j7;<4aa?73m2wx4<;50;0x924628n=708me;377>{t08>1<7<t=602>4b>34<ii7?<8:p<45=838p1:<?:0f4?80ej3;2j6s|80094?4|5>8;6<j7;<4af?74m2wx4<?50;0x924728n=708mb;30`>{t08:1<7<t=603>4b>34<in7?<c:p<5`=838p1:?;:0f4?80ej3;8n6s|81g94?4|5>;?6<j7;<4af?74i2wx4=m50;0x927328n=708mb;30=>{t09h1<7<t=637>4b>34<in7?:8:p<5g=838p1:<l:0f4?80ej3;>;6s|81;94?4|5>8h6<j7;<4af?7>m2wx4=650;0x924d28n=708mb;362>{t09=1<7<t=60`>4b>34<in7?:5:p<50=838p1:?<:0f4?80ej3;>86s|81794?4|5>;86<j7;<4af?72;2wx4=:50;0x927428n=708mb;366>{t0991<7<t=630>4b>34<in7?;e:p<56=838p1:?=:0f4?80ej3;??6s|7gd94?4|5>;96<j7;<4af?7402wx;kk50;0x927528n=708m8;3:b>{t?on1<7<t=631>4b>34<i47?<e:p3ce=838p1:>>:0f4?80e03;8h6s|7g`94?4|5>::6<j7;<4a<?74k2wx;ko50;0x926628n=708m8;30f>{t?o31<7<t=622>4b>34<i47?<a:p3c>=838p1:<j:0f4?80e03;856s|7g594?4|5>8n6<j7;<4a<?7202wx;k;50;0x924b28n=708m8;363>{t?o>1<7<t=60f>4b>34<i47?6e:p3c5=838p1:<m:0f4?80e03;>:6s|7g094?4|5>8i6<j7;<4a<?72=2wx;k?50;0x924e28n=708m8;360>{t?o:1<7<t=60a>4b>34<i47?:3:p3``=838p1:<n:0f4?80e03;>>6s|7dg94?4|5>8j6<j7;<4a<?73m2wx;hj50;0x924f28n=708m8;377>{t?li1<7<t=60b>4b>34<i47?<8:p3`g=838p1:?>:0f4?80cj3;2j6s|7d;94?4|5>;:6<j7;<4gf?74m2wx;h650;0x927628n=708kb;30`>{t?l=1<7<t=632>4b>34<on7?<c:p3`0=838p1:<6:0f4?80cj3;8n6s|7d794?4|5>826<j7;<4gf?74i2wx;h:50;0x924>28n=708kb;30=>{t?l91<7<t=60:>4b>34<on7?:8:p3`4=838p1:??:0f4?80cj3;>;6s|7d394?4|5>;;6<j7;<4gf?7>m2wx;ih50;0x927728n=708kb;362>{t?mo1<7<t=633>4b>34<on7?:5:p3ab=838p1:>i:0f4?80cj3;>86s|7ea94?4|5>:m6<j7;<4gf?72;2wx;il50;0x926a28n=708kb;366>{t?mk1<7<t=62e>4b>34<on7?;e:p3a?=838p1:>?:0f4?80cj3;??6s|7e:94?4|5>:;6<j7;<4gf?7402wx;i950;0x926728n=708k8;3:b>{t?m<1<7<t=623>4b>34<o47?<e:p3a2=838p1:<7:0f4?80c03;8h6s|7e194?4|5>836<j7;<4g<?74k2wx;i<50;0x924?28n=708k8;30f>{t?m;1<7<t=60;>4b>34<o47?<a:p3a6=838p1:>j:0f4?80c03;856s|7bd94?4|5>:n6<j7;<4g<?7202wx;nk50;0x926b28n=708k8;363>{t?jn1<7<t=62f>4b>34<o47?6e:p3fe=838p1:>k:0f4?80c03;>:6s|7b`94?4|5>:o6<j7;<4g<?72=2wx;n750;0x926c28n=708k8;360>{t?j21<7<t=62g>4b>34<o47?:3:p3f1=838p1;hi:0f4?80c03;>>6s|7b494?4|5?lm6<j7;<4g<?73m2wx;n;50;0x93`a28n=708k8;377>{t?j>1<7<t=7de>4b>34<o47?<8:p3f5=838p1:>l:0f4?80c=3;2j6s|7b094?4|5>:h6<j7;<4g1?74m2wx;n?50;0x926d28n=708k5;30`>{t?j:1<7<t=62`>4b>34<o97?<c:p3gc=838p1;hj:0f4?80c=3;8n6s|7cf94?4|5?ln6<j7;<4g1?74i2wx;om50;0x93`b28n=708k5;30=>{t?kh1<7<t=7df>4b>34<o97?:8:p3gg=838p1;hk:0f4?80c=3;>;6s|7c;94?4|5?lo6<j7;<4g1?7>m2wx;o650;0x93`c28n=708k5;362>{t?k=1<7<t=7dg>4b>34<o97?:5:p3g0=838p1;hn:0f4?80c=3;>86s|7c794?4|5?lj6<j7;<4g1?72;2wx;o=50;0x93`f28n=708k5;366>{t?k81<7<t=7db>4b>34<o97?;e:p3g7=838p1:<k:0f4?80c=3;??6s|7c294?4|5>8o6<j7;<4g1?7402wx;lh50;0x924c28n=708k3;3:b>{t?ho1<7<t=60g>4b>34<o?7?<e:p3db=838p1:<8:0f4?80c;3;8h6s|7`a94?4|5>8<6<j7;<4g7?74k2wx;ll50;0x924028n=708k3;30f>{t?hk1<7<t=604>4b>34<o?7?<a:p3d>=838p1:<9:0f4?80c;3;856s|7`594?4|5>8=6<j7;<4g7?7202wx;l850;0x924128n=708k3;363>{t?h?1<7<t=605>4b>34<o?7?6e:p3d2=838p1:?k:0f4?80c;3;>:6s|7`194?4|5>;o6<j7;<4g7?72=2wx;l<50;0x927c28n=708k3;360>{t?h;1<7<t=63g>4b>34<o?7?:3:p3d6=838p1:<::0f4?80c;3;>>6s|78d94?4|5>8>6<j7;<4g7?73m2wx;4j50;0x924228n=708k3;377>{t?0i1<7<t=606>4b>34<o?7?<8:p3<d=838p1:?l:0f4?80c83;2j6s|78c94?4|5>;h6<j7;<4g4?74m2wx;4750;0x927d28n=708k0;30`>{t?021<7<t=63`>4b>34<o<7?<c:p3<1=838p1:?m:0f4?80c83;8n6s|78494?4|5>;i6<j7;<4g4?74i2wx;4;50;0x927e28n=708k0;30=>{t?0>1<7<t=63a>4b>34<o<7?:8:p<<0=838p1:>6:0f4?80c83;>;6s|88794?4|5>:26<j7;<4g4?7>m2wx44:50;0x926>28n=708k0;362>{t0091<7<t=62:>4b>34<o<7?:5:p<<4=838p1:?i:0f4?80c83;>86s|88394?4|5>;m6<j7;<4g4?72;2wx44>50;0x927a28n=708k0;366>{t01l1<7<t=63e>4b>34<o<7?;e:p<=c=838p1:?n:0f4?80c83;??6s|89f94?4|5>;j6<j7;<4g4?7402wx45l50;0x927f28n=708ld;3:b>{t01k1<7<t=63b>4b>34<hh7?<e:p<=?=838p1:?6:0f4?80dl3;8h6s|89:94?4|5>;26<j7;<4``?74k2wx45950;0x927>28n=708ld;30f>{t01<1<7<t=63:>4b>34<hh7?<a:p<=3=838p1:>7:0f4?80dl3;856s|89694?4|5>:36<j7;<4``?7202wx45=50;0x926?28n=708ld;363>{t0181<7<t=62;>4b>34<hh7?6e:p<=6=838p1:?7:0f4?80dl3;>:6s|86d94?4|5>;36<j7;<4``?72=2wx4:k50;0x927?28n=708ld;360>{t0>n1<7<t=63;>4b>34<hh7?:3:p<2e=838p1:>8:0f4?80dl3;>>6s|86`94?4|5>:<6<j7;<4``?73m2wx4:o50;0x926028n=708ld;377>{t0>31<7<t=624>4b>34<hh7?<8:p<2>=838p1:>9:0f4?80di3;2j6s|86594?4|5>:=6<j7;<4`e?74m2wx4:;50;0x926128n=708la;30`>{t0>>1<7<t=625>4b>34<hm7?<c:p<25=838p1;h6:0f4?80di3;8n6s|86094?4|5?l26<j7;<4`e?74i2wx4:?50;0x93`>28n=708la;30=>{t0>:1<7<t=7d:>4b>34<hm7?:8:p<3`=838p1:?j:0f4?80di3;>;6s|87g94?4|5>;n6<j7;<4`e?7>m2wx4;j50;0x927b28n=708la;362>{t0?i1<7<t=63f>4b>34<hm7?:5:p<3g=838p1:?8:0f4?80di3;>86s|87;94?4|5>;<6<j7;<4`e?72;2wx4;650;0x927028n=708la;366>{t0?=1<7<t=634>4b>34<hm7?;e:p<30=838p1:?9:0f4?80di3;??6s|87794?4|5>;=6<j7;<4`e?7402wx4;:50;0x927128n=708l7;3:b>{t0?91<7<t=635>4b>34<h;7?<e:p<34=838p1:>::0f4?80d?3;8h6s|87394?4|5>:>6<j7;<4`3?74k2wx48h50;0x926228n=708l7;30f>{t0<o1<7<t=626>4b>34<h;7?<a:p<0b=838p1:>m:0f4?80d?3;856s|84a94?4|5>:i6<j7;<4`3?7202wx48l50;0x926e28n=708l7;363>{t0<k1<7<t=62a>4b>34<h;7?6e:p<0?=838p1:>;:0f4?80d?3;>:6s|84:94?4|5>:?6<j7;<4`3?72=2wx48950;0x926328n=708l7;360>{t0<<1<7<t=627>4b>34<h;7?:3:p<02=838p1:><:0f4?80d?3;>>6s|84194?4|5>:86<j7;<4`3?73m2wx48<50;0x926428n=708l7;377>{t0<;1<7<t=620>4b>34<h;7?<8:p<06=838p1;h7:0f4?80d<3;2j6s|85d94?4|5?l36<j7;<4`0?74m2wx49k50;0x93`?28n=708l4;30`>{t0=n1<7<t=7d;>4b>34<h87?<c:p<1e=838p1:>n:0f4?80d<3;8n6s|85`94?4|5>:j6<j7;<4`0?74i2wx49750;0x926f28n=708l4;30=>{t0=21<7<t=62b>4b>34<h87?:8:p<11=838p1:>=:0f4?80d<3;>;6s|85494?4|5>:96<j7;<4`0?7>m2wx49:50;0x926528n=708l4;362>{t0:31<7<t=621>4b>34<h87?:5:p<7c=838p1;hl:0f4?80d<3;>86s|83194?4|5?lh6<j7;<4`0?72;2wx4<650;0x93`d28n=708l4;366>{t09n1<7<t=7d`>4b>34<h87?;e:p<57=838p1;h8:0f4?80d<3;??6s|7g494?4|5?l<6<j7;<4`0?7402wx;hl50;0x93`028n=708m5;3:b>{t?l:1<7<t=7d4>4b>34<i97?<e:p3a3=838p1;hm:0f4?80e=3;8h6s|7bc94?4|5?li6<j7;<4a1?74k2wx;oh50;0x93`e28n=708m5;30f>{t?k>1<7<t=7da>4b>34<i97?<a:p3d?=838p1;h9:0f4?80e=3;856s|78g94?4|5?l=6<j7;<4a1?7202wx44950;0x93`128n=708m5;363>{t01i1<7<t=7d5>4b>34<i97?6e:p<=7=838p1;h::0f4?80e=3;>:6s|86494?4|5?l>6<j7;<4a1?72=2wx4;l50;0x93`228n=708m5;360>{t0?:1<7<t=7d6>4b>34<i97?:3:p<03=838p1;h<:0f4?80e=3;>>6s|85c94?4|5?l86<j7;<4a1?73m2wx4=<50;0x93`428n=708m5;377>{t?091<7<t=7d0>4b>34<i97?<8:p<d7=83;:w0983;072>;0?=0:h9526eg914=:>k?18>526b6906=:>j=18>526bc906=:>jn18>526e2906=:>m918>526e7906=:>m218>526e`906=:>k218>526c`906=:>ko18>526b3906=z{1k;6=4>1z?435<5<?16;:?51e6893bb2=l01;l::53893e32=;01;m8:53893ef2=;01;mk:53893b72=;01;j<:53893b22=;01;j7:53893be2=;01;l7:53893de2=;01;lj:53893e62=;0q~66f;2954}:??i1>984=64g>4b334<oi7:j;<4a1?2734<h87:?;<4`3?2734<hm7:?;<4``?2734<o<7:?;<4g7?2734<o97:?;<4g<?2734<on7:?;<4a<?2734<in7:?;<4aa?2734<h=7:?;|q;=`<728;p1:87:365?81113;o8639dd86e>;1j<08j639c580b>;1k>08j639c`80b>;1km08j639d180b>;1l:08j639d480b>;1l108j639dc80b>;1j108j639bc80b>;1jl08j639c080b>{t00n1<7?>{<550?43>27<:84>d59>2ac==016:o;54b9>2f2=<j16:n954b9>2fg=<j16:nj54b9>2a6=<j16:i=54b9>2a3=<j16:i654b9>2ad=<j16:o654b9>2gd=<j16:ok54b9>2f7=<j1v57l:1825~;0>9098;5277395a2<5?nn6864=7`6>1d<5?i?69l4=7a4>1d<5?ij69l4=7ag>1d<5?n;69l4=7f0>1d<5?n>69l4=7f;>1d<5?ni69l4=7`;>1d<5?hi69l4=7`f>1d<5?i:69l4}r::f?6=98q6;8m52548923c28n?708ke;74?80e=3>j708l4;6b?80d?3>j708la;6b?80dl3>j708k0;6b?80c;3>j708k5;6b?80c03>j708kb;6b?80e03>j708mb;6b?80em3>j708l1;6b?xu?1h0;6<?t=67;>72134=>57?k4:?5``<2>27=n84;9:?5g1<3127=o:4;9:?5gd<3127=oi4;9:?5`5<3127=h>4;9:?5`0<3127=h54;9:?5`g<3127=n54;9:?5fg<3127=nh4;9:?5g4<312wx44750;32812<38?:6385482`1=:>mo198526c790==:>j>185526b590==:>jk185526bf90==:>m:185526e190==:>m?185526e:90==:>mh185526c:90==:>kh185526cg90==:>j;1855rs9;;>5<69r7<9=4=479>307=9m>01;jj:46893d22==01;m;:55893e02==01;mn:55893ec2==01;j?:55893b42==01;j::55893b?2==01;jm:55893d?2==01;lm:55893db2==01;m>:558yv1?03:18v396`82`3=:>0l1>984=7c3>4b334<i87lj;|q4<2<72=q6:;o51e7893?d2;>=7086d;3g0>;1j:0ii6s|79494?2|5?<j6<j;;<4:<?43>27=544>d59>2g4=jl1v:6::18780113;o5639958103=:>0?1=i:4=7`2>gc<uz=387>54z?52<<6l116:4>5254893?628n?708m0;`f?xu00:0;69u267;95a1<5?2h6?:9;<4;`?7c<27=mk4me:p3=4=83>p1;86:0f5?80?038?:6398882`1=:>ho1nh5rs6:2>5<3s4<=57?k5:?5<1<5<?16:5;51e6893gc2ko0q~970;290~;1>00:h9526929610<5?2:6<j;;<4bg?db3ty3m?4?:by>23>=::i01;om:0f7?810;3=h70980;5`?811k3=h70998;5`?811<3=h70990;5`?812k3=h709:8;5`?812<3=h709:0;5`?xu01;0;6?u27269600<5?h?6?:9;|q4=4<72:q6:o=52548925?28n?709<6;3g0>{t?0:1<7=t=7`1>72134=847?k5:?473<6l<1v:6j:18581413;o86383`82`1=:?:h1=i:4=7g2>4b334<i=7<;6:?4<c<6l?1v:6k:18581413;o96383`82`0=:?:h1=i;4=7g2>4b234<i<7<;6:?4<c<6l=1v:6l:1826~;1m;0:h9526d695a2<5?o>6<j;;<4f=?7c<27=i;4>d59>2`g=9m>01;km:0f7?80bn3;o8639e682`1=:>li1=i:4=7gg>4b334<m<7?k4:?5a`<6l=16:k?51e6893`528n?708i4;3g0>;1io098;5279d95a3<uz=3n7>513y>2`4=9m?01;k;:0f6?80b=3;o9639e882`0=:>l<1=i;4=7gb>4b234<nn7?k5:?5ac<6l<16:h951e7893cd28n>708jd;3g1>;1n90:h8526dg95a3<5?l:6<j:;<4e6?7c=27=j94>d49>2dc=:=<01:6i:0f4?xu00h0;69=t=7g0>4b234<n47?k6:?5b6<6l<16:k;51e7893`128n>708ib;3g1>;1n>0:h8526ga95a3<5>:96<j:;<53e?7c=27=j54>d49>355=9m?01:>;:0f6?817j3;o96380482`0=:?8<1=i;4=634>4b234=:i7?k5:?5b<<6l<16;=851e78926028n>709>8;3g1>;0810:h85270;95a3<5>;j6<j:;<52b?7c=27<<44>d49>34d=9m?01:?l:0f6?815=3;o96381e82`0=:?;<1=i;4=604>4b234=9h7?k5:?5bd<6l<16:kj51e7893`b28n>709?c;3g1>;1no0:h85271f95a3<5>:n6<j:;<51<?7c=27<<=4>d49>35`=9m?01:??:0f6?81513;o96381082`0=:?;k1=i;4=60a>4b234=9i7?k5:?444<6l<16;<<51e78927428n>709=c;3g1>;09=0:h85273295a3<5>8:6<j:;<51b?7c=27<=84>d49>374=9m?01:<<:0f6?81483;o96382582`0=:?:;1=i;4=611>4b234=8?7?k5:?5ea<5<?1v:66:1877~;1m:0:h9526d:95a2<5?l86<j;;<4e1?7c<27=j;4>d59>2cd=9m>01;h8:0f7?80ak3;o86380382`1=:?9k1=i:4=7d;>4b334=;?7?k4:?441<6l=16;=l51e68926228n?709>6;3g0>;09>0:h95270g95a2<5?l26<j;;<532?7c<27<<:4>d59>34>=9m>01:>7:0f7?81613;o86381`82`1=:?8l1=i:4=62:>4b334=:n7?k4:?45f<6l=16;?;51e68927c28n?709=6;3g0>;0:>0:h95273f95a2<5?lj6<j;;<4e`?7c<27=jh4>d59>35e=9m>01;hi:0f7?817l3;o86380d82`1=:?;21=i:4=623>4b334=;j7?k4:?455<6l=16;?751e68927628n?709=a;3g0>;0:k0:h95273g95a2<5>::6<j;;<526?7c<27<=>4>d59>37e=9m>01:?;:0f7?81583;o86382082`1=:?;l1=i:4=636>4b334=9>7?k4:?466<6l=16;>>51e68924328n?709<1;3g0>;0;;0:h95272195a2<5?kh6?:9;|qa72<72;q6n>85bd9>f63=:=<0q~l<2;296~;e;80ii63m318103=z{k9?6=4={<`07?db34h8=7<;6:pf6?=838p1o=7:cg89g512;>=7p}m3`83>6}:j;l1nh52b219610<5k8n6<j;;|qa7g<72;q6n>;525589g572ko0q~l<d;296~;bml098;5210a3>gc<uz;:o<4?:3y>f6e=jl16=<m?:365?xu69j81<7=t=c1`>72134h897=;;<`04?533ty:=n=50;0x9g4c2ko01o=7:365?xu6:1h1<7<t=5a2>72134<oi7?=2:p57>f2909w0:mf;072>;1ll0:><5rs00;=?6=:r7?ni4=479>2ac=9;:0q~?=8983>7}:<kh1>984=7ff>47a3ty:>5950;0x91d>2;>=708ke;323>{t9;2=6=4={<6a3?43>27=o<4>309~w44?<3:1>v3;b28103=:>j;1jn5rs00;7?6=:r7?n<4=479>2f7=nk1v<<72;296~;3io098;526b39bd=z{883=7>52z?7ea<5<?16:n?5f89~w44?83:1>v3;ac8103=:>j;1j55rs004b?6=:r7?m44=479>2f7=n>1v<<8e;296~;3i>098;526b39540<uz;9;i4?:3y>0d3=:=<01;m>:036?xu6:>i1<7<t=5c0>72134<h=7?<0:p571e2909w0:n1;072>;1k80:=95rs004=?6=:r7?5i4=479>2f7=9890q~?=7983>7}:<0h1>984=7a2>4753ty:>:950;0x91?>2;>=708l1;325>{t9;==6=4={<6:3?43>27=o<4>119~w440=3:1>v3;948103=:>j;1==m4}r3131<72;q684=5254893e628::7p}>26194?4|5=3:6?:9;<4`5?`13ty:>:<50;0x91>a2;>=708me;305>{t9;=:6=4={<6;`?43>27=nh4ic:p57172909w0:7b;072>;1jl0mn6s|134f>5<5s4>3;7<;6:?5f`<ai2wx=?8k:18182?=38?:639bd8e=>{t9;<h6=4={<6;7?43>27=nh4i8:p570e2909w0:71;072>;1jl0m;6s|134b>5<5s4><j7<;6:?5f`<69?1v<<99;296~;3?m098;526cg9543<uz;9:54?:3y>02d=:=<01;lj:013?xu6:?=1<7<t=55:>72134<ii7?>4:p57012909w0:87;072>;1jl0:=>5rs0051?6=:r7?;84=479>2gc=9880q~?=6283>7}:<>;1>984=7`f>4763ty:>;<50;0x910a2;>=708me;324>{t9;<:6=4={<65`?43>27=nh4>0b9~w44183:1>v3;6c8103=:>ko1==?4}r311c<72;q68;75254893db2o<0q~?=5d83>7}:<?=1>984=7`a>4563ty:>8j50;0x91022;>=708mb;d`?xu6:<i1<7<t=540>72134<in7hm;|q260d=838p198>:365?80ej3lj7p}>24c94?4|5=?m6?:9;<4af?`>3ty:>8650;0x913e2;>=708mb;d;?xu6:<=1<7<t=57:>72134<in7h8;|q2600=838p19;8:365?80ej3;::6s|1376>5<5s4>>97<;6:?5fg<69<1v<<:4;296~;3=:098;526c`9566<uz;99>4?:3y>007=:=<01;lm:037?xu6:<81<7<t=56e>72134<in7?>3:p57362909w0:;d;072>;1jk0:=?5rs0064?6=:r7?8o4=479>2gd=98;0q~?=4g83>7}:<=31>984=7`a>4773ty:>9m50;0x91242;>=708mb;33g>{t9;>i6=4={<675?43>27=no4>009~w443i3:1>v3;3g8103=:>kh1j;5rs007=?6=:r7??i4=479>2g>=9:;0q~?=4983>7}:<:h1>984=7`;>ce<uz;98:4?:3y>06?=:=<01;l7:g`8yv75<?0;6?u24259610<5?h36ko4}r3100<72;q68>;5254893d?2o30q~?=4583>7}:<:91>984=7`;>c><uz;98>4?:3y>067=:=<01;l7:g58yv75<80;6?u243f9610<5?h36<?9;|q2616=838p19<m:365?80e03;:96s|131e>5<5s4>957<;6:?5f=<6;91v<<<e;296~;3:>098;526c:9542<uz;9?i4?:3y>073=:=<01;l7:030?xu6::i1<7<t=500>72134<i47?>2:p575e2909w0:=1;072>;1j10:=<5rs000e?6=:r7?=k4=479>2g>=98:0q~?=3883>7}:<8n1>984=7`;>46d3ty:>>650;0x917e2;>=708m8;335>{t9;9=6=4={<623?43>27=n54i6:p57522909w0:>5;072>;1lk0:?<5rs0000?6=:r7?=>4=479>2ad=nj1v<<<3;296~;398098;526e`9bg=z{888>7>52z?74c<5<?16:il5f`9~w44493:1>v3;0e8103=:>mh1j45rs0004?6=:r7?<o4=479>2ad=n11v<<=f;296~;380098;526e`9b2=z{889i7>52z?742<5<?16:il51048yv75:m0;6?u24179610<5?ni6<?:;|q267d=838p19>>:365?80cj3;8<6s|130b>5<5s49mj7<;6:?5`g<69=1v<<=9;296~;4nm098;526e`9545<uz;9>54?:3y>7cd=:=<01;jm:031?xu6:;=1<7<t=2d:>72134<on7?>1:p57412909w0=i7;072>;1lk0:==5rs0011?6=:r78j84=479>2ad=99i0q~?=2583>7}:;o91>984=7fa>4663ty:>?=50;0x96`62;>=708kb;d5?xu6:;81<7<t=2ge>72134<o47?<1:p57472909w0=jb;072>;1l10mo6s|133e>5<5s49n57<;6:?5`=<aj2wx=??j:18185b?38?:639d98ee>{t9;;o6=4={<1f1?43>27=h54i9:p577d2909w0=j3;072>;1l10m46s|133a>5<5s49n=7<;6:?5`=<a?2wx=??n:18185cn38?:639d98253=z{88:57>52z?0`a<5<?16:i651078yv75910;6?u23e`9610<5?n36<=?;|q2641=838p1>j6:365?80c03;:86s|1336>5<5s49o97<;6:?5`=<69:1v<<>4;296~;4l:098;526e:9544<uz;9=>4?:3y>7a7=:=<01;j7:032?xu6:881<7<t=2ae>72134<o47?>0:p57762909w0=ld;072>;1l10:<n5rs0024?6=:r78oo4=479>2a>=99;0q~?=0g83>7}:;j31>984=7f;>c0<uz;9<h4?:3y>7f1=:=<01;j::012?xu6:9n1<7<t=2a6>72134<o97hl;|q265e=838p1>m<:365?80c=3li7p}>21c94?4|5:hm6?:9;<4g1?`f3ty:>=750;0x96dc2;>=708k5;d:?xu6:921<7<t=2`a>72134<o97h7;|q2651=838p1>l6:365?80c=3l<7p}>21494?4|5:h<6?:9;<4g1?76>2wx=?>::18185e=38?:639d48250=z{88;87>52z?0f6<5<?16:i;51228yv758:0;6?u23c39610<5?n>6<?;;|q2654=838p1>oi:365?80c=3;:?6s|1322>5<5s49jh7<;6:?5`0<69;1v<?if;296~;4i0098;526e79547<uz;:jh4?:3y>7d1=:=<01;j::033?xu69on1<7<t=2c6>72134<o97??c:p54`d2909w0=n3;072>;1l<0:<<5rs03ef?6=:r78m<4=479>2a3=n?1v<?ia;296~;41o098;526e19567<uz;:j44?:3y>7<b=:=<01;j<:ga8yv76n10;6?u238`9610<5?n86kl4}r32b2<72;q6?475254893b42ok0q~?>f783>7}:;0=1>984=7f0>c?<uz;:j94?:3y>7<5=:=<01;j<:g:8yv76n:0;6?u23839610<5?n86k94}r32b7<72;q6?5h5254893b428;=7p}>1g394?4|5:2o6?:9;<4g7?76=2wx=<h?:18185?j38?:639d28275=z{8;nj7>52z?0<<<5<?16:i=51068yv76ml0;6?u23959610<5?n86<?<;|q25`b=838p1>6::365?80c;3;:>6s|10g`>5<5s493?7<;6:?5`6<6981v<?jb;296~;408098;526e19546<uz;:i44?:3y>72b=:=<01;j<:02`?xu69l21<7<t=25a>72134<o?7??1:p54c02909w0=89;072>;1l:0m:6s|10g5>5<5s49<;7<;6:?5`5<6;81v<?j5;296~;4?<098;526e29bf=z{8;n87>52z?036<5<?16:i>5fc9~w47b;3:1>v3<708103=:>m:1jl5rs03f6?6=:r78:k4=479>2a6=n01v<?j1;296~;4>m098;526e29b==z{8;n<7>52z?02g<5<?16:i>5f69~w44cl3:1>v3:648103=:>m:1=<84}r31`f<72;q69;=5254893b728;>7p}>2e`94?4|5<<:6?:9;<4g4?7482wx=?jn:181832n38?:639d18251=z{88o57>52z?61a<5<?16:i>51018yv75l10;6?u254`9610<5?n;6<?=;|q26a1=838p18;6:365?80c83;:=6s|13f5>5<5s4?>;7<;6:?5`5<6991v<<k5;296~;2=<098;526e2955e<uz;9h94?:3y>105=:=<01;j?:022?xu6:m81<7<t=46e>72134<o<7h9;|q26a7=838p18:k:365?80dl3;8=6s|13f3>5<5s4??n7<;6:?5ga<ak2wx=?mi:181833138?:639ce8ef>{t9;in6=4={<773?43>27=oi4ia:p57ec2909w0;;5;072>;1km0m56s|13a`>5<5s4???7<;6:?5ga<a02wx=?mm:181833938?:639ce8e3>{t9;ij6=4={<70b?43>27=oi4>179~w44d13:1>v3:3e8103=:>jn1=<;4}r31g2<72;q69>75254893ec289;7p}>2b494?4|5<9<6?:9;<4``?76<2wx=?m::181834=38?:639ce8256=z{88h87>52z?676<5<?16:nj51008yv75k:0;6?u25239610<5?io6<?>;|q26f4=838p18<i:365?80dl3;:<6s|13a2>5<5s4?9h7<;6:?5ga<68j1v<<l0;296~;2:k098;526bf9557<uz;9nk4?:3y>17?=:=<01;mk:g48yv75jl0;6?u25359610<5?ij6<=>;|q26ge=838p18<<:365?80di3lh7p}>2c`94?4|5<8:6?:9;<4`e?`e3ty:>oo50;0x907a2;>=708la;db?xu6:k31<7<t=43g>72134<hm7h6;|q26g>=838p18?m:365?80di3l37p}>2c594?4|5<;26?:9;<4`e?`03ty:>o850;0x90702;>=708la;322>{t9;h>6=4={<721?43>27=ol4>149~w44e<3:1>v3:128103=:>jk1=>>4}r31f6<72;q69<?5254893ef28;?7p}>2c394?4|5<:o6?:9;<4`e?76;2wx=?l?:181837j38?:639c`8257=z{88jj7>52z?64<<5<?16:no51038yv75il0;6?u25159610<5?ij6<??;|q26db=838p18>::365?80di3;;o6s|13c`>5<5s4?;?7<;6:?5gd<6881v<<nb;296~;288098;526bc9b3=z{88jm7>52z?7bc<5<?16:n951238yv75i00;6?u24gf9610<5?i<6km4}r31e=<72;q68kl5254893e02oh0q~?=a783>7}:<o=1>984=7a4>cg<uz;9m84?:3y>0c3=:=<01;m8:g;8yv75i=0;6?u24g19610<5?i<6k64}r31e6<72;q68k?5254893e02o=0q~?=a383>7}:<ll1>984=7a4>4713ty:>l?50;0x91cc2;>=708l7;321>{t9;k;6=4={<6ff?43>27=o:4>319~w44>n3:1>v3;e88103=:>j=1=<:4}r31=`<72;q68h95254893e028;87p}>28f94?4|5=o>6?:9;<4`3?76:2wx=?7m:18182b938?:639c68254=z{882m7>52z?7`c<5<?16:n951028yv75100;6?u24ef9610<5?i<6<>l;|q26<>=838p19jm:365?80d?3;;=6s|13;4>5<5s4>o57<;6:?5g2<a>2wx=?79:18182c?38?:639c58274=z{88297>52z?7`0<5<?16:n:5fb9~w44><3:1>v3;d28103=:>j>1jo5rs00:7?6=:r7?h<4=479>2f2=nh1v<<62;296~;3ko098;526b69b<=z{882<7>52z?7gg<5<?16:n:5f99~w44?n3:1>v3;c88103=:>j>1j:5rs00;a?6=:r7?o:4=479>2f2=98<0q~?=8e83>7}:<j?1>984=7a7>4723ty:>5m50;0x91e42;>=708l4;304>{t9;2>6=4={<6a1?43>27=o94>159~w440i3:1>v3;9g8103=:>j>1=<=4}r312c<72;q68575254893e328;97p}>27694?4|5==86?:9;<4`0?7692wx=?;6:181822l38?:639c58255=z{88?h7>52z?700<5<?16:n:511a8yv75<;0;6?u243d9610<5?i?6<>>;|q2661=838p19?6:365?80d<3l=7p}>23a94?4|5=:86?:9;<4a1?7492wx=?<>:18185bl38?:639b48eg>{t9;;=6=4={<1g3?43>27=n84ib:p576e2909w0=l1;072>;1j<0mm6s|1323>5<5s49jn7<;6:?5f0<a12wx=<h::18185>=38?:639b48e<>{t98oj6=4={<14b?43>27=n84i7:p57bb2909w0;97;072>;1j<0:=;5rs00g7?6=:r7>9<4=479>2g3=98?0q~?=c983>7}:=:h1>984=7`6>4573ty:>oj50;0x90422;>=708m5;320>{t9;h96=4={<73b?43>27=n84>129~w44f?3:1>v3;f88103=:>k?1=<<4}r31=f<72;q68h=5254893d228;:7p}>28394?4|5=io6?:9;<4a1?7682wx=?:j:181823?38?:639b4824f=z{8;oj7>52z?02<<5<?16:o;51138yv75m00;6<;t=c0g>72134k:>7?k4:?;`<<4>273i<4<6:?;f<<4i273nl4<a:?;fg<4i273nn4<a:?;gg<4i273on4<a:?;ga<4i273oh4<a:?;f5<4i273n<4<a:?;`f<4i273hi4<a:?;e<<4i273ml4<a:?;a7<4i273i>4<a:?;`d<4i2wx=<m::1820~;e:o098;528e;972=:0l;1?:528c;97g=:0kk1?o528c`97g=:0ki1?o528b`97g=:0ji1?o528bf97g=:0jo1?o528c297g=:0k;1?o528ea97g=:0mn1?o528`;97g=:0hk1?o528d097g=:0l91?o528ec97g=z{88n47>52z?;e6<6l=16n<=52548yv75m<0;6>u2b3f961?<5h9;6?:9;<c05?db3ty:>h:50;6x9g5?2;>270l<3;07=>;f:o098;52a229f`=z{88n?7>55z?a6c<5<016n>?525;89g512;>270o=e;072>;f:o0ii6s|13g1>5<4s4h8o7<:6:?b6a<5<?16m?k5bd9~w44b93:1?v3n2b8103=:i;n1nh5210a3>7233ty:>h>50;:x9`cb2;?=70o64;`f?8gd<3;o;63n2c8103=:i;i1nh52a4a95a3<5k;?6?:6;<c:6?4312wx=?k8:1808g4:38?:637a7810<=:i8>1>974}r31a3<72;>p1l=>:365?8g4:3hn706n5;07=>;f9:0984528c7961?<51i<6?:6;<;32?431272<94=489>=5?=:=3014>8:36:?8?7k38?56360`810<=:19l1>974=82g>72>343:>7<;9:?:55<5<0165<;525;89<742;>2707>8;07=>;>9?09845290`961?<50;26?:6;<;2a?431272=n4=489>=77=:=3014?i:36:?8?>l38?56369`810<=:10<1>974=8;1>72>3433i7<;9:?:<d<5<016558525;89<>52;>27078e;07=>;>?h09845rs03`0?6=9=q6m5?525;89d>72;>270o8f;07=>;f?l098452a6f961?<5h=h6?:6;<c4f?43127j;l4=489>e2?=:=301l97:36:?8gdm38?563nce810<=:i0=15852a4a95a2<5h9o6?:9;<c0a?db34kjo7<;9:?be`<5<016m4=51e789d?220?0q~?>de83>46|50;m6?:9;<4ga?0b34<i978?;<4`0?0734<h;78?;<4`e?0734<hh78?;<4g4?0734<o?78?;<4g1?0734<o478?;<4gf?0734<i478?;<4af?0734<ii78?;<4`5?073ty:=im50;338?6k38?:639dd85g>;1j<0>i639c586a>;1k>0>i639c`86a>;1km0>i639d186a>;1l:0>i639d486a>;1l10>i639dc86a>;1j10>i639bc86a>;1jl0>i639c086a>{t98ni6=4>0z?:5<<5<?16:ik56c9>2g3==m16:n:55e9>2f1==m16:no55e9>2fb==m16:i>55e9>2a5==m16:i;55e9>2a>==m16:il55e9>2g>==m16:ol55e9>2gc==m16:n?55e9~w47ci3:1==u29049610<5?nn6:94=7`6>0e<5?i?68m4=7a4>0e<5?ij68m4=7ag>0e<5?n;68m4=7f0>0e<5?n>68m4=7f;>0e<5?ni68m4=7`;>0e<5?hi68m4=7`f>0e<5?i:68m4}r32`<<728:p14?<:365?80cm3==708m5;4:?80d<3<2708l7;4:?80di3<2708ld;4:?80c83<2708k3;4:?80c=3<2708k8;4:?80cj3<2708m8;4:?80ej3<2708me;4:?80d93<27p}>1e:94?77s43:<7<;6:?5``<0=27=n8498:?5g1<1027=o:498:?5gd<1027=oi498:?5`5<1027=h>498:?5`0<1027=h5498:?5`g<1027=n5498:?5fg<1027=nh498:?5g4<102wx=<j8:1824~;>8m098;526eg931=:>k?1::526b6922=:>j=1::526bc922=:>jn1::526e2922=:>m91::526e7922=:>m21::526e`922=:>k21::526c`922=:>ko1::526b3922=z{8;o:7>511y>=5g=:=<01;jj:61893d22?<01;m;:74893e02?<01;mn:74893ec2?<01;j?:74893b42?<01;j::74893b?2?<01;jm:74893d?2?<01;lm:74893db2?<01;m>:748yv76l<0;6<>t=824>72134<oi79=;<4a1?0234<h878:;<4`3?0234<hm78:;<4``?0234<o<78:;<4g7?0234<o978:;<4g<?0234<on78:;<4a<?0234<in78:;<4aa?0234<h=78:;|q25a2=83;;w07?4;072>;1ll0<=639b4850>;1k=0=8639c6850>;1kh0=8639ce850>;1l90=8639d2850>;1l<0=8639d9850>;1lk0=8639b9850>;1jk0=8639bd850>;1k80=86s|10f1>5<6>r7joh4me:?;g2<5<?16m<<51e489=b>2:?015k>:2789=d>2:3015ln:2;89=de2:3015ll:2;89=ee2:3015ml:2;89=ec2:3015mj:2;89=d72:3015l>:2;89=bd2:3015jk:2;89=g>2:3015on:2;89=c52:3015k<:2;89=bf2:30q~?>d083>40|5hio6ok4=9`6>72134k:>7?k5:?;`<<4<273i<4<4:?;f<<40273nl4<8:?;fg<40273nn4<8:?;gg<40273on4<8:?;ga<40273oh4<8:?;f5<40273n<4<8:?;`f<40273hi4<8:?;e<<40273ml4<8:?;a7<40273i>4<8:?;`d<402wx=<j?:1858g?93hn707=0;3g0>;>1m098;5298g95a2<50nj6>j4=8a5>6b<uz;:ok4?:7y>e=6=jl165<j51e689<?f2;>=7076b;3g0>;>lh08n636c780f>{t98in6=49{<c4b?db343:m7?k4:?:=3<5<?1654951e689<bf2:k014m9:2c8yv76km0;6;u2a6g9f`=:18=1=i:4=8;1>7213432?7?k4:?:`d<41272o;4<9:p54ed290=w0o8d;`f?8?6<3;o86368d8103=:11l1=i:4=8fb>6><50i=6>64}r32gg<72?q6m:m5bd9>=47=9m>0146n:365?8??j3;o8636d880`>;>k<08h6s|10ab>5<1s4k<n7lj;<;3a?7c<2724;4=479>==1=9m>014j6:2`89<e22:h0q~?>c883>3}:i>k1nh5291`95a2<50296?:9;<;;7?7c<272h44<a:?:g0<4i2wx=<m7:1858g013hn707?8;3g0>;>?l098;5296d95a2<50n26>74=8a6>6?<uz;:o:4?:7y>e2>=jl165=;51e689<1f2;>=7078b;3g0>;>l0084636c480<>{t98nn6=4<bz?;e3<5<?16:ik515dg?80cm3;?jo526c7951`f34<i97?;f99>2g3=9=l<708m5;37b3=:>j>1=9hn;<4`0?73n116:n:515d4?80d<3;?j;526b5951`f34<h;7?;f99>2f1=9=l<708l7;37b3=:>jk1=9hn;<4`e?73n116:no515d4?80di3;?j;526bf951`f34<hh7?;f99>2fb=9=l<708ld;37b3=:>m:1=9hn;<4g4?73n116:i>515d4?80c83;?j;526e1951`f34<o?7?;f99>2a5=9=l<708k3;37b3=:>m?1=9hn;<4g1?73n116:i;515d4?80c=3;?j;526e:951`f34<o47?;f99>2a>=9=l<708k8;37b3=:>mh1=9hn;<4gf?73n116:il515d4?80cj3;?j;526c:951`f34<i47?;f99>2g>=9=l<708m8;37b3=:>kh1=9hn;<4af?73n116:ol515d4?80ej3;?j;526cg951`f34<ii7?;f99>2gc=9=l<708me;37b3=:>j;1=9hn;<4`5?73n116:n?515d4?80d93;?j;5rs03g7?6=;r7j=94=479>f43=9m?01;l::g48yv76k?0;6>u2a4c95a3<51k<6?:9;<cbb?7c=2wx=?ji:1818>f;3;o963835817f=z{8>2:7>53z?7g5<em27:?48525489gcf2ko0q~?;9483>6}:<ko1nh5212;6>72134hn57lj;|q20<2=839p19ll:cg8945><38?:63me98aa>{t9=386=4<{<6ae?db34;85>4=479>f`1=jl1v<:62;297~;3j10ii63>3809610<5ko=6ok4}r37=4<72:q68o85bd9>56?62;>=70lj5;`f?xu6<1l1<7=t=5`1>gc<5893j7<;6:?aa6<em2wx=96j:18082e83hn70?<8d8103=:jl81nh5rs06;`?6=;r7?mh4me:?27=b=:=<01ok>:cg8yv730j0;6>u24`a9f`=:9:2h6?:9;<`f4?db3ty:85l50;1x91gf2ko01<=7b;072>;elo0ii6s|15:b>5<4s4>j47lj;<30<d<5<?16nik5bd9~w42?13:1?v3;a78aa>;6;131>984=cfg>gc<uz;?454?:2y>0d2=jl16=>67:365?8dck3hn7p}>49594?5|5=k96ok4=01;3?43>27iho4me:p51>12908w0:n0;`f?8740?098;52bec9f`=z{8>387>53z?7=f<em27:?5:525489gb?2ko0q~?;8283>6}:<0k1nh5212:0>72134ho;7lj;|q20=4=839p1977:cg8945?:38?:63md78aa>{t9=2:6=4<{<6:2?db34;84<4=479>fa3=jl1v<:70;297~;31=0ii63>3929610<5kn?6ok4}r373c<72:q684<5bd9>561a2;>=70lk3;`f?xu6<>o1<7=t=5;3>gc<589<i7<;6:?a`7<em2wx=99k:18082?m3hn70?<7e8103=:jm;1nh5rs064g?6=;r7?4n4me:?272e=:=<01oj?:cg8yv73?k0;6>u249c9f`=:9:=i6?:9;<``b?db3ty:8:750;1x91>12ko01<=89;072>;ekm0ii6s|155;>5<4s4>387lj;<303=<5<?16nnm5bd9~w420?3:1?v3;838aa>;6;>=1>984=caa>gc<uz;?;;4?:2y>0=6=jl16=>99:365?8ddi3hn7p}>46794?5|5==n6ok4=0141?43>27io44me:p51132908w0:8c;`f?874?=098;52bb:9f`=z{8><?7>53z?73d<em27:?:=525489ge02ko0q~?;7383>6}:<>21nh521251>72134hh:7lj;|q2027=839p1999:cg89450938?:63mc48aa>{t9==;6=4<{<640?db34;8;=4=479>ff2=jl1v<:9e;297~;3?90ii63>37g9610<5ki96ok4}r372a<72:q68;k5bd9>560c2;>=70ll1;`f?xu6<?i1<7=t=54`>gc<589=o7<;6:?ag5<em2wx=98m:180821i3hn70?<6c8103=:jkl1nh5rs065e?6=;r7?:54me:?273g=:=<01olj:cg8yv73>00;6>u24749f`=:9:<26?:9;<`a`?db3ty:8;650;1x91032ko01<=98;072>;ejj0ii6s|1544>5<4s4>=>7lj;<3022<5<?16nol5bd9~w421>3:1?v3;618aa>;6;?<1>984=c`b>gc<uz;?:84?:2y>00c=jl16=>8::365?8de13hn7p}>47194?5|5=?j6ok4=0157?43>27in:4me:p51052908w0::8;`f?874>;098;52bc49f`=z{8>==7>53z?713<em27:?;?525489gd22ko0q~?;6183>6}:<<>1nh521243>72134hi87lj;|q200`=839p19;=:cg89452n38?:63mb28aa>{t9=?n6=4<{<664?db34;89h4=479>fg4=jl1v<::d;297~;3<l0ii63>34f9610<5kh:6ok4}r371f<72:q689m5bd9>563d2;>=70lm0;`f?xu6<<h1<7=t=56b>gc<589>n7<;6:?aec<em2wx=9;n:18082303hn70?<5`8103=:jho1nh5rs0663?6=;r7?8?4me:?2701=:=<01oom:cg8yv73=?0;6>u24529f`=:9:?=6?:9;<`be?db3ty:88;50;1x915b2ko01<=:5;072>;ei00ii6s|1577>5<4s4>8o7lj;<3011<5<?16nl65bd9~w422;3:1?v3;3`8aa>;6;<91>984=cc4>gc<uz;?9?4?:2y>06>=jl16=>;=:365?8df>3hn7p}>44394?5|5=9=6ok4=0165?43>27im84me:p51372908w0:<4;`f?874=9098;52b`69f`=z{8>?j7>53z?777<em27:?9h525489gg42ko0q~?;4d83>6}:<::1nh52126f>72134hj>7lj;|q201e=839p19<l:cg89453k38?:63ma18aa>{t9=>i6=4<{<61e?db34;88o4=479>f<`=jl1v<:;a;297~;3:10ii63>35c9610<5k3n6ok4}r370<<72:q68?85bd9>562>2;>=70l6d;`f?xu6<=21<7=t=507>gc<589?47<;6:?a=f<em2wx=9:8:180825:3hn70?<468103=:j0h1nh5rs0672?6=;r7?>=4me:?2710=:=<01o7n:cg8yv73<<0;6>u240g9f`=:9:>>6?:9;<`:=?db3ty:89:50;1x917d2ko01<=;4;072>;e110ii6s|1560>5<4s4>:m7lj;<3006<5<?16n495bd9~w42393:1?v3;178aa>;6;=;1>984=c;6>gc<uz;?8=4?:2y>042=jl16=>:?:365?8d><3hn7p}>42d94?5|5=;96ok4=010b?43>27i5>4me:p515b2908w0:>0;`f?874;l098;52b809f`=z{8>8h7>53z?74`<em27:?>j525489g?62ko0q~?;3b83>6}:<9i1nh52121`>72134h2<7lj;|q206d=839p19>n:cg89454j38?:63m8g8aa>{t9=9j6=4<{<63<?db34;8?l4=479>f=c=jl1v<:<9;297~;38?0ii63>32;9610<5k2o6ok4}r377=<72:q68=:5bd9>565?2;>=70l7c;`f?xu6<:<1<7=t=523>gc<5898:7<;6:?a<d<em2wx=9=::18085am3hn70?<348103=:j131nh5rs0600?6=;r78jn4me:?2762=:=<01o67:cg8yv73;:0;6>u23gc9f`=:9:986?:9;<`;3?db3ty:8><50;1x96`?2ko01<=<2;072>;e0?0ii6s|1512>5<4s49m:7lj;<3074<5<?16n5;5bd9~w42483:1?v3<f58aa>;6;::1>984=c:7>gc<uz;?>k4?:2y>7c4=jl16=><i:365?8d?;3hn7p}>43g94?5|5:l;6ok4=011a?43>27i4?4me:p514c2908w0=je;`f?874:m098;52b939f`=z{8>9n7>53z?0ad<em27:??l525489g1a2ko0q~?;2`83>6}:;l21nh52120b>72134h<i7lj;|q207?=839p1>k9:cg89455138?:63m7e8aa>{t9=836=4<{<1f0?db34;8>54=479>f2e=jl1v<:=7;297~;4m;0ii63>3359610<5k=i6ok4}r3763<72:q6?h>5bd9>56412;>=70l8a;`f?xu6<;?1<7=t=2ff>gc<589997<;6:?a3<<em2wx=9<;:18085ck3hn70?<258103=:j>21nh5rs0617?6=;r78hl4me:?2775=:=<01o98:cg8yv73:;0;6>u23e:9f`=:9:896?:9;<`42?db3ty:8?>50;1x96b32ko01<==0;072>;e?=0ii6s|153e>5<4s49o>7lj;<305c<5<?16n:=5bd9~w426m3:1?v3<d18aa>;6;8o1>984=c51>gc<uz;?=i4?:2y>7fc=jl16=>?k:365?8d093hn7p}>40a94?5|5:ih6ok4=012g?43>27i;=4me:p517e2908w0=la;`f?8749k098;52b7d9f`=z{8>:m7>53z?0g=<em27:?<o525489g0b2ko0q~?;1883>6}:;j<1nh52123:>72134h=h7lj;|q204>=839p1>m;:cg89456038?:63m6b8aa>{t9=;<6=4<{<1`6?db34;8=:4=479>f3d=jl1v<:>5;297~;4jl0ii63>3079610<5k<26ok4}r3751<72:q6?om5bd9>56732;>=70l98;`f?xu6<891<7=t=2`b>gc<589:?7<;6:?a22<em2wx=9?=:18085e03hn70?<138103=:j?<1nh5rs0625?6=;r78n;4me:?2747=:=<01o8::cg8yv73990;6>u23c69f`=:9:;;6?:9;<`50?db3ty:8=h50;1x96d52ko01<=?f;072>;e>:0ii6s|152f>5<4s49i<7lj;<304`<5<?16n;<5bd9~w427l3:1?v3<ad8aa>;6;9n1>984=c42>gc<uz;?<n4?:2y>7de=jl16=>>l:365?8d183hn7p}>41c94?5|5:k36ok4=013e?43>27i9h4me:p516>2908w0=n6;`f?87480098;52b4f9f`=z{8>;47>53z?0e1<em27:?=6525489g3d2ko0q~?;0683>6}:;h81nh521224>72134h>n7lj;|q2050=839p1>o?:cg89457>38?:63m5`8aa>{t9=:>6=4<{<1:a?db34;8<84=479>f0?=jl1v<:?4;297~;41j0ii63>3169610<5k?36ok4}r3746<72:q6?4o5bd9>56642;>=70l:7;`f?xu6<981<7=t=2;;>gc<589;>7<;6:?a13<em2wx=9>>:18085>>3hn70?<008103=:j<?1nh5rs01eb?6=;r785?4me:?26c`=:=<01o;<:cg8yv74nl0;6>u23829f`=:9;ln6?:9;<`66?db3ty:?kj50;1x96>b2ko01<<id;072>;e=80ii6s|12d`>5<4s493o7lj;<31bf<5<?16n8>5bd9~w45aj3:1?v3<8`8aa>;6:oh1>984=c6e>gc<uz;8jl4?:2y>7=>=jl16=?hn:365?8d3m3hn7p}>3g;94?5|5:2=6ok4=00e=?43>27i8i4me:p56`?2908w0=74;`f?875n1098;52b5a9f`=z{89m;7>53z?0<7<em27:>k9525489g2e2ko0q~?<f783>6}:;1:1nh5213d5>72134h?m7lj;|q27c2=839p1>9l:cg8944a<38?:63m498aa>{t9:l86=4<{<14e?db34;9j>4=479>f11=jl1v<=i2;297~;4?10ii63>2g09610<5k>=6ok4}r30b4<72:q6?:85bd9>57`62;>=70l;5;`f?xu6;o:1<7=t=257>gc<588m<7<;6:?a01<em2wx=>ki:180850:3hn70?=eg8103=:j=91nh5rs01fa?6=;r78;=4me:?26`c=:=<01o:=:cg8yv74mm0;6>u237g9f`=:9;oo6?:9;<`75?db3ty:?hm50;1x960d2ko01<<jc;072>;e<90ii6s|12ga>5<4s49=m7lj;<31ag<5<?16n>h5bd9~w42b03:1?v3:658aa>;6;l21>984=b1`>gc<uz;?i:4?:2y>134=jl16=>k8:365?8e4j3hn7p}>4d494?5|5<<;6ok4=01f2?43>27h?l4me:p51c22908w0;:e;`f?874m<098;52c2;9f`=z{8>n87>53z?61f<em27:?h:525489f5?2ko0q~?;e283>6}:=<k1nh5212g0>72134i8;7lj;|q20`4=839p18;7:cg8945b:38?:63l378aa>{t9=o:6=4<{<762?db34;8i<4=479>g63=jl1v<:j0;297~;2==0ii63>3d29610<5j9?6ok4}r37`c<72:q698<5bd9>56ba2;>=70m<3;`f?xu6<mn1<7=t=46f>gc<589oh7<;6:?`74<em2wx=9jl:180833k3hn70?<db8103=:k::1nh5rs06gf?6=;r7>8l4me:?27ad=:=<01n<i:cg8yv73lh0;6>u255:9f`=:9:nj6?:9;<a1a?db3ty:8i750;1x90212ko01<=k9;072>;d:m0ii6s|15f;>5<4s4??87lj;<30`=<5<?16o?m5bd9~w42c?3:1?v3:438aa>;6;m=1>984=b0a>gc<uz;?h;4?:2y>116=jl16=>j9:365?8e5i3hn7p}>4e794?5|5<9n6ok4=01g1?43>27h>44me:p51b32908w0;<c;`f?874l=098;52c3:9f`=z{8>o>7>53z?67=<em27:?i<525489f412ko0q~?;d083>6}:=:<1nh5212f2>72134i997lj;|q20a6=839p18=;:cg8945c838?:63l258aa>{t9=im6=4<{<706?db34;8ok4=479>g75=jl1v<:le;297~;2;90ii63>3bg9610<5j896ok4}r37ga<72:q69?k5bd9>56ec2;>=70m=1;`f?xu6<ji1<7=t=40`>gc<589ho7<;6:?`65<em2wx=9mm:180835i3hn70?<cc8103=:k8l1nh5rs06`e?6=;r7>>54me:?27fg=:=<01n?j:cg8yv73k00;6>u25349f`=:9:i26?:9;<a2`?db3ty:8n950;1x90452ko01<=l7;072>;d9k0ii6s|15a5>5<4s4?9<7lj;<30g3<5<?16o<o5bd9~w42d=3:1?v3:1d8aa>;6;j?1>984=b3:>gc<uz;?o94?:2y>14e=jl16=>m;:365?8e603hn7p}>4b194?5|5<;j6ok4=01`7?43>27h=:4me:p51e52908w0;>8;`f?874k;098;52c049f`=z{8>h=7>53z?653<em27:?n?525489f722ko0q~?;c183>6}:=8>1nh5212a3>72134i:87lj;|q20g`=839p18?=:cg8945en38?:63l128aa>{t9=hn6=4<{<724?db34;8nh4=479>g44=jl1v<:mc;297~;28j0ii63>3ca9610<5j;;6ok4}r37fg<72:q69=o5bd9>56de2;>=70m?f;`f?xu6<kk1<7=t=42;>gc<589im7<;6:?`4`<em2wx=9l6:180837>3hn70?<b88103=:k9n1nh5rs06a<?6=;r7><94me:?27g>=:=<01n>l:cg8yv73j>0;6>u25109f`=:9:h<6?:9;<a3f?db3ty:8o850;1x90672ko01<=m6;072>;d8h0ii6s|15`6>5<4s4>mi7lj;<30f0<5<?16o=75bd9~w42e<3:1?v3;fb8aa>;6;k>1>984=b2;>gc<uz;?n>4?:2y>0cg=jl16=>l<:365?8e7?3hn7p}>4c394?5|5=l=6ok4=01a5?43>27h<84me:p51d72908w0:i4;`f?874j9098;52c169f`=z{8>jj7>53z?7b7<em27:?lh525489f642ko0q~?;ad83>6}:<o:1nh5212cf>72134i;>7lj;|q20db=839p19kj:cg8945fl38?:63l008aa>{t9=kh6=4<{<6fg?db34;8mn4=479>g56=jl1v<:nb;297~;3mh0ii63>3``9610<5klm6ok4}r37ed<72:q68h65bd9>56gf2;>=70lie;`f?xu6<h31<7=t=5g5>gc<589j57<;6:?aba<em2wx=9o7:18082b<3hn70?<a98103=:joi1nh5rs06b2?6=;r7?i=4me:?27d0=:=<01ohn:cg8yv73i<0;6>u24eg9f`=:9:k>6?:9;<`e=?db3ty:8l:50;1x91bd2ko01<=n4;072>;en10ii6s|15c0>5<4s4>om7lj;<30e6<5<?16nk95bd9~w42f:3:1?v3;d98aa>;6;h81>984=cd5>gc<uz;?m<4?:2y>0a0=jl16=>o>:365?8da=3hn7p}>4`294?5|5=n?6ok4=01b4?43>27ij94me:p51?a2908w0:k2;`f?8741o098;52bg19f`=z{8>2i7>53z?7`5<em27:?4k525489g`52ko0q~?;9e83>6}:<jo1nh5212;g>72134hm=7lj;|q20<d=839p19mn:cg8945>j38?:63meg8aa>{t9=3j6=4<{<6`<?db34;85l4=479>f`c=jl1v<:69;297~;3k?0ii63>38;9610<5koo6ok4}r37==<72:q68n:5bd9>56??2;>=70ljc;`f?xu6<0=1<7=t=5a1>gc<5892;7<;6:?aag<em2wx=97?:18082e<3hn70?<918103=:jl>1nh5rs06;1?6=;r7?5h4me:?27=3=:=<01oj6:cg8yv73?h0;6>u249:9f`=:9:=j6?:9;<``a?db3ty:8;h50;1x91152ko01<=9f;072>;ek:0ii6s|1547>5<4s4>>o7lj;<3021<5<?16no65bd9~w42203:1?v3;458aa>;6;<21>984=cc`>gc<uz;?8i4?:2y>07c=jl16=>:k:365?8df93hn7p}>45094?5|5=;36ok4=0176?43>27i5;4me:p51502908w0:?2;`f?874;>098;52b9`9f`=z{8>9o7>53z?0af<em27:??m525489g>72ko0q~?;2083>6}:;m<1nh521202>72134h<97lj;|q2040=839p1>m?:cg89456>38?:63m6`8aa>{t9=:i6=4<{<1be?db34;8<o4=479>f0`=jl1v<:?0;297~;41=0ii63>3129610<5k??6ok4}r30b0<72:q6?:k5bd9>57`22;>=70l;9;`f?xu6<l31<7=t=445>gc<589n57<;6:?`7a<em2wx=9jj:18083283hn70?<dd8103=:k:81nh5rs06g7?6=;r7>?l4me:?27a5=:=<01n<8:cg8yv73k10;6>u25369f`=:9:i36?:9;<a2g?db3ty:8oj50;1x906b2ko01<=md;072>;d980ii6s|15`1>5<4s4>m47lj;<30f7<5<?16o=85bd9~w42f?3:1?v3;e38aa>;6;h=1>984=cda>gc<uz;?5n4?:2y>0fe=jl16=>7l:365?8da83hn7p}>44;94?5|5=>=6ok4=016=?43>27imi4me:p56cf2908w0=98;`f?875mh098;52b2g9f`=z{?;?6=4={<6`5?db34>h<7<;6:p245=838p19li:cg891db2;>=7p}91383>7}:<kn1nh524ca9610<uz<:=7>52z?7fg<em27?nl4=479~w3772909w0:m9;`f?82e038?:6s|61d94?4|5=h<6ok4=5`5>7213ty=<i4?:3y>0g5=jl168o<52548yv07k3:1>v3;b08aa>;3j9098;5rs72a>5<5s4>jj7lj;<6ba?43>2wx:=o50;0x91gc2ko019ol:365?xu1800;6?u24``9f`=:<hk1>984}r43<?6=:r7?m44me:?7e=<5<?1v;>8:18182f?3hn70:n6;072>{t>9<1<7<t=5c6>gc<5=k?6?:9;|q540<72;q68l=5bd9>0d4=:=<0q~8?4;296~;3i80ii63;a18103=z{?:96=4={<6:`?db34>2o7<;6:p257=838p197m:cg891?f2;>=7p}90183>7}:<031nh5248:9610<uz?mj7>52z?7=2<em27?5;4=479~w0`b2909w0:65;`f?82><38?:6s|5gf94?4|5=386ok4=5;1>7213ty>jn4?:3y>0<7=jl1684>52548yv3aj3:1>v3;8g8aa>;30l098;5rs4db>5<5s4>3h7lj;<6;g?43>2wx9k750;0x91>e2ko0196n:365?xu2n>0;6?u24959f`=:<1<1>984}r7e2?6=:r7?484me:?7<1<5<?1v8h::18182?;3hn70:72;072>{t=o>1<7<t=5:2>gc<5=2;6?:9;|q6b6<72;q68:h5bd9>02c=:=<0q~;i2;296~;3?m0ii63;7b8103=z{<l:6=4={<64f?db34><m7<;6:p1c6=838p1996:cg8911?2;>=7p}:eg83>7}:<>=1nh524649610<uz?ni7>52z?730<em27?;94=479~w0cd2909w0:81;`f?820838?:6s|5d`94?4|5=<m6ok4=54f>7213ty>il4?:3y>03b=jl168;m52548yv3b13:1>v3;6c8aa>;3>h098;5rs4g;>5<5s4>=57lj;<65<?43>2wx9h950;0x91002ko01989:365?xu2m?0;6?u24779f`=:<?>1>984}r7f1?6=:r7?:>4me:?727<5<?1v8k;:18182193hn70:90;072>{t=l91<7<t=57e>gc<5=?n6?:9;|q6a4<72;q688l5bd9>00g=:=<0q~;j0;296~;3=00ii63;598103=z{<nm6=4={<663?db34>>:7<;6:p1ac=838p19;::cg891332;>=7p}:de83>7}:<<91nh524409610<uz?oo7>52z?714<em27?9=4=479~w0be2909w0:;f;`f?823m38?:6s|5ec94?4|5=>o6ok4=56`>7213ty>h44?:3y>01d=jl1689o52548yv3c03:1>v3;488aa>;3<1098;5rs4f6>5<5s4>??7lj;<676?43>2wx9i:50;0x91262ko019:?:365?xu2l:0;6?u242d9f`=:<:o1>984}r7g6?6=:r7??i4me:?77f<5<?1v8j>:181824j3hn70:<a;072>{t=m:1<7<t=51:>gc<5=936?:9;|q6gc<72;q68>95bd9>060=:=<0q~;le;296~;3;<0ii63;358103=z{<io6=4={<607?db34>8>7<;6:p1fe=838p19=>:cg891572;>=7p}:c`83>7}:<;n1nh5243a9610<uz?h57>52z?76g<em27?>l4=479~w0e?2909w0:=9;`f?825038?:6s|5b594?4|5=8<6ok4=505>7213ty>o;4?:3y>073=jl168?:52548yv3d=3:1>v3;228aa>;3:;098;5rs4a7>5<5s4>9=7lj;<614?43>2wx9n=50;0x917a2ko019?j:365?xu2k;0;6?u240f9f`=:<8i1>984}r7`5?6=:r7?=o4me:?75d<5<?1v8li:181826?3hn70:>6;072>{t=ko1<7<t=536>gc<5=;?6?:9;|q6fa<72;q68<=5bd9>044=:=<0q~;mc;296~;3980ii63;118103=z{<hi6=4={<63b?db34>;i7<;6:p1gg=838p19>k:cg8916d2;>=7p}:b883>7}:<9h1nh5241c9610<uz?i47>52z?74<<em27?<54=479~w0d02909w0:?7;`f?827>38?:6s|5c494?4|5=:>6ok4=527>7213ty>n94?:3y>057=jl168=>52548yv3e;3:1>v3<fg8aa>;4nl098;5rs4`1>5<5s49mh7lj;<1eg?43>2wx9o?50;0x96`e2ko01>hn:365?xu2j90;6?u23g;9f`=:;o21>984}r7bb?6=:r78j:4me:?0b3<5<?1v8oj:18185a=3hn70=i4;072>{t=hn1<7<t=2d0>gc<5:l96?:9;|q6ef<72;q6?k?5bd9>7c6=:=<0q~;nb;296~;4mo0ii63<ed8103=z{<k26=4={<1ff?db349nm7<;6:p1d>=838p1>k6:cg896c?2;>=7p}:a683>7}:;l=1nh523d49610<uz?j:7>52z?0a0<em278i94=479~w0g22909w0=j3;`f?85b:38?:6s|5`694?4|5:o:6ok4=2g3>7213ty>m>4?:3y>7a`=jl16?ik52548yv3f:3:1>v3<de8aa>;4lj098;5rs4c2>5<5s49on7lj;<1ge?43>2wx9l>50;0x96b>2ko01>j7:365?xu21l0;6?u23e79f`=:;m>1>984}r7:`?6=:r78h>4me:?0`7<5<?1v87l:18185c93hn70=k0;072>{t=0h1<7<t=2ae>gc<5:in6?:9;|q6=d<72;q6?nj5bd9>7fe=:=<0q~;69;296~;4kk0ii63<c`8103=z{<336=4={<1`=?db349h47<;6:p1<1=838p1>m8:cg896e12;>=7p}:9783>7}:;j?1nh523b69610<uz?297>52z?0g6<em278o?4=479~w0?42909w0=mf;`f?85em38?:6s|58094?4|5:ho6ok4=2``>7213ty>5<4?:3y>7gd=jl16?oo52548yv3>83:1>v3<b88aa>;4j1098;5rs4:e>5<5s49i;7lj;<1a2?43>2wx95k50;0x96d22ko01>l;:365?xu20m0;6?u23c19f`=:;k81>984}r7;g?6=:r78n<4me:?0f5<5<?1v86m:18185fn3hn70=ne;072>{t=1k1<7<t=2cg>gc<5:kh6?:9;|q6<=<72;q6?l75bd9>7d>=:=<0q~;77;296~;4i>0ii63<a78103=z{<2=6=4={<1b1?db349j87<;6:p1=3=838p1>o<:cg896g52;>=7p}:8583>7}:;h;1nh523`29610<uz?3?7>52z?0=c<em2785h4=479~w0>52909w0=6d;`f?85>k38?:6s|59394?4|5:3i6ok4=2;b>7213ty>4=4?:3y>7<?=jl16?4652548yv30n3:1>v3<968aa>;41?098;5rs45g>5<5s492?7lj;<1:6?43>2wx9:m50;0x96?62ko01>7?:365?xu2?k0;6?u239d9f`=:;1o1>984}r74e?6=:r784i4me:?0<f<5<?1v896:18185?j3hn70=7a;072>{t=>21<7<t=2::>gc<5:236?:9;|q632<72;q6?595bd9>7=0=:=<0q~;86;296~;40<0ii63<858103=z{<=>6=4={<1;7?db3493>7<;6:p122=838p1>6>:cg896>72;>=7p}:7383>7}:;>n1nh5236a9610<uz?<=7>52z?03g<em278;l4=479~w0172909w0=89;`f?850038?:6s|57d94?4|5:=<6ok4=255>7213ty>:h4?:3y>723=jl16?::52548yv31l3:1>v3<728aa>;4?;098;5rs44`>5<5s49<=7lj;<144?43>2wx9;l50;0x960a2ko01>8j:365?xu2>h0;6?u237f9f`=:;?i1>984}r75=?6=:r78:o4me:?02d<5<?1v;89:181831=3hn70;94;072>{t>??1<7<t=440>gc<5<<96?:9;|q521<72;q69;?5bd9>136=:=<0q~893;296~;2=o0ii63:5d8103=z{?<96=4={<76`?db34?>o7<;6:p237=838p18;m:cg8903f2;>=7p}96183>7}:=<31nh5254:9610<uz<>j7>52z?612<em27>9;4=479~w33b2909w0;:5;`f?832<38?:6s|64f94?4|5<?86ok4=471>7213ty=9o4?:3y>11`=jl1699k52548yv02i3:1>v3:4e8aa>;2<j098;5rs77:>5<5s4??n7lj;<77e?43>2wx:8650;0x902>2ko018:7:365?xu1=>0;6?u25559f`=:==<1>984}r462?6=:r7>884me:?601<5<?1v;;::181833;3hn70;;2;072>{t><>1<7<t=462>gc<5<>;6?:9;|q516<72;q69>h5bd9>16c=:=<0q~8:2;296~;2;m0ii63:3b8103=z{??;6=4={<70=?db34?847<;6:p21`=838p18=8:cg890512;>=7p}94d83>7}:=:?1nh525269610<uz<?h7>52z?676<em27>??4=479~w32d2909w0;<1;`f?834838?:6s|65`94?4|5<8m6ok4=40f>7213ty=8l4?:3y>17b=jl169?m52548yv0313:1>v3:2c8aa>;2:h098;5rs76;>5<5s4?957lj;<71<?43>2wx:9950;0x90402ko018<9:365?xu1<<0;6?u25319f`=:=;81>984}r470?6=:r7>><4me:?665<5<?1v;:<:181836n3hn70;>e;072>{t>=81<7<t=43g>gc<5<;h6?:9;|q504<72;q69<l5bd9>14g=:=<0q~8;0;296~;2900ii63:198103=z{?9m6=4={<723?db34?::7<;6:p26c=838p18?::cg890732;>=7p}93e83>7}:=891nh525009610<uz<8o7>52z?654<em27>==4=479~w35f2909w0;?d;`f?837k38?:6s|62;94?4|5<:i6ok4=42b>7213ty=?54?:3y>15?=jl169=652548yv04?3:1>v3:068aa>;28?098;5rs715>5<5s4?;97lj;<730?43>2wx:>;50;0x90642ko018>=:365?xu1;=0;6?u25139f`=:=9:1>984}r407?6=:r7?jk4me:?7b`<5<?1v;==:18182al3hn70:ic;072>{t>:;1<7<t=5da>gc<5=lj6?:9;|q56c<72;q68k95bd9>0c0=:=<0q~8=e;296~;3n<0ii63;f58103=z{?8o6=4={<6e7?db34>m>7<;6:p27e=838p19h>:cg891`72;>=7p}92c83>7}:<ll1nh524dg9610<uz<9m7>52z?7aa<em27?in4=479~w34>2909w0:jb;`f?82bi38?:6s|63:94?4|5=o26ok4=5g;>7213ty=>:4?:3y>0`1=jl168h852548yv05>3:1>v3;e48aa>;3m=098;5rs707>5<5s4>n=7lj;<6f4?43>2wx:?=50;0x91ba2ko019jj:365?xu1:;0;6?u24ef9f`=:<mi1>984}r415?6=:r7?ho4me:?7`d<5<?1v;<?:18182c13hn70:k8;072>{t>8l1<7<t=5f4>gc<5=n=6?:9;|q55`<72;q68i;5bd9>0a2=:=<0q~8>d;296~;3l:0ii63;d38103=z{?;h6=4={<6g5?db34>o<7<;6:p24d=838p19mi:cg891eb2;>=7p}91883>7}:<jh1nh524bc9610<uz<:47>52z?7g<<em27?o54=479~w3702909w0:l7;`f?82d>38?:6s|60494?4|5=i>6ok4=5a7>7213ty==84?:3y>0f5=jl168n<52548yv07m3:1>v3;b48aa>;3j=098;5rs720>5<5s4>2j7lj;<6:a?43>2wx9k650;0x91>>2ko01967:365?xu2mm0;6?u24619f`=:<>81>984}r7f6?6=:r7?9i4me:?71f<5<?1v8j9:181823=3hn70:;4;072>{t=jh1<7<t=50e>gc<5=8n6?:9;|q6g5<72;q68<75bd9>04>=:=<0q~;m5;296~;38:0ii63;038103=z{<kj6=4={<1f`?db349no7<;6:p1<`=838p1>j8:cg896b12;>=7p}:9583>7}:;j;1nh523b29610<uz?357>52z?0eg<em278ml4=479~w01b2909w0=65;`f?85><38?:6s|56194?4|5:=m6ok4=25f>7213ty=::4?:3y>131=jl169;852548yv02k3:1>v3:508aa>;2=9098;5rs772>5<5s4?8n7lj;<70e?43>2wx:9850;0x90422ko018<;:365?xu1;k0;6?u251d9f`=:=9o1>984}r404?6=:r7?j44me:?7b=<5<?1v;<::18182b;3hn70:j2;072>{t>8k1<7<t=5ag>gc<5=ih6?:9;|q6`2<72;q68995bd9>010=:=<0q~;98;296~;4>00ii63<698103=z{8:h<7>52z?g07<4127h?h4=479~w475n3:1>v3k4380f>;dim098;5rs0346?6=:r7o8>4<9:?`b5<5<?1v<?8d;296~;c<:08n63lfc8103=z{8;347>52z?g01<4127o<;4=479~w47>;3:1>v3k4580f>;c98098;5rs03:a?6=:r7o884<5:?g5f<5<?1v<?n9;296~;c<<08;63k268103=z{8;i87>52z?f4=<4127o??4=479~w47en3:1>v3j0980f>;c;m098;5rs02`f?6=:r7n<44<9:?`0<<5<?1v<>k6;296~;b8008n63l558103=z{8:n=7>52z?f4d<4127h9k4=479~w46bk3:1>v3j0`80f>;d>h098;5rs02e3?6=:r7n<o4<5:?`30<5<?1v<??2;296~;b8k08;63l818103=z{8;;h7>52z?fg`<4127h4o4=479~w47603:1>v3jcd80f>;d1?098;5rs0317?6=:r7nok4<9:?`e4<5<?1v<?=e;296~;bko08n63lab8103=z{8;8m7>52z?f`5<4127hn54=479~w473=3:1>v3jd180f>;dk:098;5rs0364?6=:r7nh<4<5:?`g`<5<?1v<?:b;296~;bl808;63ld88103=z{8;=:7>52z?e4=<4127hi94=479~w471l3:1>v3i0980f>;dmk098;5rs035a?6=:r7m<44<9:?`af<5<?1v<?9f;296~;a8008n63lee8103=z{8;<<7>52z?e4d<4127hih4=479~w47093:1>v3i0`80f>;dmo098;5rs0347?6=:r7m<o4<5:?`b4<5<?1v<?84;296~;a8k08;63lf38103=z{8;<97>52z?e5d<4127hj>4=479~w470>3:1>v3i1`80f>;dn=098;5rs0343?6=:r7m=o4<9:?`b0<5<?1v<?88;296~;a9k08n63lf78103=z{8;<57>52z?e5f<4127hj:4=479~w470i3:1>v3i1b80f>;dn1098;5rs034f?6=:r7m=i4<5:?`b<<5<?1v<?8c;296~;a9m08;63lf`8103=z{8;<i7>52z?e6f<4127hjn4=479~w470n3:1>v3i2b80f>;dnm098;5rs03;4?6=:r7m>i4<9:?`b`<5<?1v<?71;296~;a:m08n63lfg8103=z{8;3>7>52z?e6`<4127o<=4=479~w47?;3:1>v3i2d80f>;c88098;5rs03;0?6=:r7m>k4<5:?g47<5<?1v<?75;296~;a:o08;63k028103=z{8;3:7>52z?e7`<4127o<94=479~w47??3:1>v3i3d80f>;c8<098;5rs03;=?6=:r7m?k4<9:?g42<5<?1v<?7a;296~;a;o08n63k098103=z{8;3n7>52z?e05<4127o<44=479~w47?k3:1>v3i4180f>;c8h098;5rs03;`?6=:r7m8<4<5:?g4g<5<?1v<?7e;296~;a<808;63k0b8103=z{8;3j7>52z?e15<4127o<i4=479~w47>83:1>v3i5180f>;c8l098;5rs03:5?6=:r7m9<4<9:?g4c<5<?1v<?62;296~;a=808n63k118103=z{8;287>52z?e17<4127o=?4=479~w47>=3:1>v3i5380f>;c9:098;5rs03:2?6=:r7m9>4<5:?g51<5<?1v<?67;296~;a=:08;63k148103=z{8;247>52z?e27<4127o=;4=479~w47>13:1>v3i6380f>;c9>098;5rs03:e?6=:r7m:>4<9:?g5=<5<?1v<?6b;296~;a>:08n63k188103=z{8;2o7>52z?e21<4127o=l4=479~w47>l3:1>v3i6580f>;c9k098;5rs03:b?6=:r7m:84<5:?g5a<5<?1v<?n0;296~;a><08;63k1d8103=z{8;j=7>52z?e31<4127o=k4=479~w47f:3:1>v3i7580f>;c:9098;5rs03b7?6=:r7m;84<9:?g64<5<?1v<?n4;296~;a?<08n63k238103=z{8;j97>52z?e33<4127o>>4=479~w47f>3:1>v3i7780f>;c:=098;5rs03b3?6=:r7m;:4<5:?g60<5<?1v<?n8;296~;a?>08;63k278103=z{8;jm7>52z?g11<4127o>54=479~w47fj3:1>v3k5580f>;c:0098;5rs03bg?6=:r7o984<9:?g6d<5<?1v<?nd;296~;c=<08n63k2c8103=z{8;ji7>52z?g13<4127o>n4=479~w47fn3:1>v3k5780f>;c:m098;5rs03a4?6=:r7o9:4<5:?g6`<5<?1v<?m1;296~;c=>08;63k2g8103=z{8;i>7>52z?g23<4127o?=4=479~w47e;3:1>v3k6780f>;c;8098;5rs03a1?6=:r7o::4<9:?g76<5<?1v<?m6;296~;c>>08n63k358103=z{8;i;7>52z?g2=<4127o?84=479~w47e03:1>v3k6980f>;c;?098;5rs03a=?6=:r7o:44<5:?g72<5<?1v<?ma;296~;c>008;63k398103=z{8;in7>52z?g3=<4127o?44=479~w47ek3:1>v3k7980f>;c;h098;5rs03a`?6=:r7o;44<9:?g7g<5<?1v<?me;296~;c?008n63k3b8103=z{8:h=7>52z?g3d<4127h?k4=479~w46d:3:1>v3k7`80f>;d<9098;5rs02`7?6=:r7o;o4<5:?`04<5<?1v<>l4;296~;c?k08;63l438103=z{8:h97>52z?g<d<4127h8>4=479~w46d>3:1>v3k8`80f>;d<=098;5rs02`3?6=:r7o4o4<9:?`00<5<?1v<>l8;296~;c0k08n63l478103=z{8:h57>52z?g<f<4127h8:4=479~w46di3:1>v3k8b80f>;d<1098;5rs02`g?6=:r7o4i4<5:?`0d<5<?1v<>ld;296~;c0m08;63l4c8103=z{8:hi7>52z?g=f<4127h8n4=479~w46dn3:1>v3k9b80f>;d<m098;5rs02g4?6=:r7o5i4<9:?`0`<5<?1v<>k1;296~;c1m08n63l4g8103=z{8:o>7>52z?g=`<4127h9=4=479~w46c;3:1>v3k9d80f>;d=8098;5rs02g0?6=:r7o5k4<5:?`17<5<?1v<>k5;296~;c1o08;63l528103=z{8:o;7>52z?ge`<4127h984=479~w46c03:1>v3kad80f>;d=?098;5rs02g=?6=:r7omk4<9:?`12<5<?1v<>ka;296~;cio08n63l598103=z{8:on7>52z?gf5<4127h944=479~w46ck3:1>v3kb180f>;d=h098;5rs02g`?6=:r7on<4<5:?`1g<5<?1v<>ke;296~;cj808;63l5b8103=z{8:oj7>52z?gg5<4127h9i4=479~w46b83:1>v3kc180f>;d=l098;5rs02f6?6=:r7oo<4<9:?`25<5<?1v<>j3;296~;ck808n63l608103=z{8:n87>52z?gg7<4127h:?4=479~w46b=3:1>v3kc380f>;d>:098;5rs02f2?6=:r7oo>4<5:?`21<5<?1v<>j7;296~;ck:08;63l648103=z{8:n47>52z?g`7<4127h:;4=479~w46b13:1>v3kd380f>;d>>098;5rs02fe?6=:r7oh>4<9:?`2=<5<?1v<>jb;296~;cl:08n63l688103=z{8:nh7>52z?g`1<4127h:o4=479~w46bm3:1>v3kd580f>;d>j098;5rs02fb?6=:r7oh84<5:?`2a<5<?1v<>i0;296~;cl<08;63l6d8103=z{8:m=7>52z?ga1<4127h:k4=479~w46a:3:1>v3ke580f>;d?9098;5rs02e7?6=:r7oi84<9:?`34<5<?1v<>i4;296~;cm<08n63l738103=z{8:m97>52z?ga3<4127h;>4=479~w46a>3:1>v3ke780f>;d?=098;5rs02e<?6=:r7oi:4<5:?`33<5<?1v<>i9;296~;cm>08;63l768103=z{8:mm7>52z?gb3<4127h;54=479~w46aj3:1>v3kf780f>;d?0098;5rs02eg?6=:r7oj:4<9:?`3d<5<?1v<>id;296~;cn>08n63l7c8103=z{8:mi7>52z?gb=<4127h;n4=479~w46an3:1>v3kf980f>;d?m098;5rs0334?6=:r7oj44<5:?`3`<5<?1v<??1;296~;cn008;63l7g8103=z{8;;?7>52z?f5d<4127h4<4=479~w477<3:1>v3j1`80f>;d0;098;5rs0331?6=:r7n=o4<9:?`<6<5<?1v<??6;296~;b9k08n63l858103=z{8;;;7>52z?f5f<4127h484=479~w47703:1>v3j1b80f>;d0?098;5rs033=?6=:r7n=i4<5:?`<2<5<?1v<??a;296~;b9m08;63l898103=z{8;;n7>52z?f6f<4127h444=479~w477k3:1>v3j2b80f>;d0h098;5rs033a?6=:r7n>i4<9:?`<f<5<?1v<??f;296~;b:m08n63l8e8103=z{8;:<7>52z?f6`<4127h4h4=479~w47693:1>v3j2d80f>;d0o098;5rs0326?6=:r7n>k4<5:?`=5<5<?1v<?>3;296~;b:o08;63l908103=z{8;:87>52z?f7`<4127h5?4=479~w476=3:1>v3j3d80f>;d1:098;5rs0322?6=:r7n?k4<9:?`=1<5<?1v<?>7;296~;b;o08n63l948103=z{8;:57>52z?f05<4127h5:4=479~w476i3:1>v3j4180f>;d11098;5rs032f?6=:r7n8<4<5:?`=<<5<?1v<?>c;296~;b<808;63l9`8103=z{8;:h7>52z?f15<4127h5o4=479~w476m3:1>v3j5180f>;d1j098;5rs032b?6=:r7n9<4<9:?`=a<5<?1v<?=0;296~;b=808n63l9d8103=z{8;9=7>52z?f17<4127h5k4=479~w475:3:1>v3j5380f>;di9098;5rs0310?6=:r7n9>4<5:?`e7<5<?1v<?=5;296~;b=:08;63la28103=z{8;9:7>52z?f27<4127hm94=479~w475?3:1>v3j6380f>;di<098;5rs031<?6=:r7n:>4<9:?`e3<5<?1v<?=9;296~;b>:08n63la68103=z{8;9m7>52z?f21<4127hm54=479~w475j3:1>v3j6580f>;di0098;5rs031g?6=:r7n:84<5:?`ed<5<?1v<?=d;296~;b><08;63lac8103=z{8;8<7>52z?f31<4127hmh4=479~w47493:1>v3j7580f>;dio098;5rs0306?6=:r7n;84<9:?`f5<5<?1v<?<3;296~;b?<08n63lb08103=z{8;887>52z?f33<4127hn?4=479~w474=3:1>v3j7780f>;dj:098;5rs0302?6=:r7n;:4<5:?`f1<5<?1v<?<7;296~;b?>08;63lb48103=z{8;847>52z?f<3<4127hn;4=479~w47413:1>v3j8780f>;dj>098;5rs030f?6=:r7n4:4<9:?`f<<5<?1v<?<c;296~;b0>08n63lb`8103=z{8;8h7>52z?f<=<4127hno4=479~w474m3:1>v3j8980f>;djj098;5rs030b?6=:r7n444<5:?`fa<5<?1v<?;0;296~;b0008;63lbd8103=z{8;?=7>52z?f==<4127hnk4=479~w473:3:1>v3j9980f>;dk9098;5rs0377?6=:r7n544<9:?`g4<5<?1v<?;4;296~;b1008n63lc38103=z{8;?:7>52z?f=d<4127ho94=479~w473?3:1>v3j9`80f>;dk<098;5rs037<?6=:r7n5o4<5:?`g3<5<?1v<?;9;296~;b1k08;63lc68103=z{8;?m7>52z?fed<4127ho54=479~w473j3:1>v3ja`80f>;dk0098;5rs037g?6=:r7nmo4<9:?`gd<5<?1v<?;d;296~;bik08n63lcc8103=z{8;?i7>52z?fef<4127hon4=479~w473n3:1>v3jab80f>;dkm098;5rs0365?6=:r7nmi4<5:?`gc<5<?1v<?:2;296~;bim08;63ld18103=z{8;>?7>52z?fff<4127hh<4=479~w472<3:1>v3jbb80f>;dl;098;5rs0361?6=:r7nni4<9:?``6<5<?1v<?:6;296~;bjm08n63ld58103=z{8;>;7>52z?ff`<4127hh84=479~w47203:1>v3jbd80f>;dl?098;5rs036=?6=:r7nnk4<5:?``2<5<?1v<?:a;296~;bjo08;63ld98103=z{8;>o7>52z?fa5<4127hhl4=479~w472l3:1>v3je180f>;dlk098;5rs036a?6=:r7ni<4<9:?``f<5<?1v<?:f;296~;bm808n63lde8103=z{8;=<7>52z?fa7<4127hhh4=479~w47193:1>v3je380f>;dlo098;5rs0356?6=:r7ni>4<5:?`a5<5<?1v<?93;296~;bm:08;63le08103=z{8;=87>52z?fb1<4127hi?4=479~w471=3:1>v3jf580f>;dm:098;5rs0353?6=:r7nj84<9:?`a0<5<?1v<?98;296~;bn<08n63le78103=z{8;=57>52z?fb3<4127hi:4=479~w471i3:1>v3jf780f>;dm1098;5rs035f?6=:r7nj:4<5:?`a<<5<?1v<?9c;296~;bn>08;63le`8103=z{oi;6=4<{<f76?5?34i8i7lj;<`0a?43>2wx==<i:1808b3:39j70mnd;`f?8dfl38?:6s|1151>5<4s4n??7=7;<ae4?db34hm<7<;6:p551c2908w0j;3;1b?8eaj3hn70lib;072>{t99236=4<{<f70?5?34n;:7lj;<a32?43>2wx==7<:1808b3<39j70j>1;`f?8e6938?:6s|11;f>5<4s4n?97=;;<f2g?db34i:o7<;6:p55g>2908w0j;5;15?8b5?3hn70m=7;072>{t99h?6=4<{<g3<?5?34n8>7lj;<a06?43>2wx==li:1808c7039j70j<d;`f?8e4l38?:6s|fb`94?5|5l:26>64=b6:>gc<5k>26?:9;|qe`3<72:q6i=753`9>g02=jl16n8:52548yv`b93:1?v3j0`80<>;d=o0ii63m5g8103=z{ooh6=4<{<g3e?5f34i=m7lj;<`5e?43>2wxjk950;1x9`6e2:>01n9::cg89g122;>=7p}>01094?5|5l:i6>84=b:3>gc<5k2;6?:9;|q245b=839p1hmj:2:89f>e2ko01o6m:365?xu68821<7=t=daf>6g<5j3=6ok4=c;5>7213ty:<?=50;1x9`ea2:201no>:cg89gg62;>=7p}>03g94?5|5lim6>o4=bc`>gc<5kkh6?:9;|q246g=839p1hj?:2:89fd?2ko01ol7:365?xu68=?1<7=t=df3>6g<5ji86ok4=ca0>7213ty:<8>50;1x9`b62:>01nmj:cg89geb2;>=7p}>04`94?5|5ln:6>84=bf:>gc<5kn26?:9;|q2430=839p1k>7:2:89fc32ko01ok;:365?xu68?n1<7=t=g2;>6g<5joi6ok4=cga>7213ty:<;k50;1x9c6>2:201nkl:cg89gcd2;>=7p}>07d94?5|5o:26>o4=bgg>gc<5koo6?:9;|q2426=839p1k>n:2:89fcb2ko01okj:365?xu68>;1<7=t=g2b>6g<5jom6ok4=cge>7213ty:<:=50;1x9c6e2:>01nh>:cg89g`62;>=7p}>06694?5|5o:i6>84=bd1>gc<5kl96?:9;|q2423=839p1k?n:2:89f`42ko01oh<:365?xu68><1<7=t=g3b>6g<5jl?6ok4=cd7>7213ty:<:950;1x9c7e2:201nh::cg89g`22;>=7p}>06:94?5|5o;i6>o4=bd5>gc<5kl=6?:9;|q242?=839p1k?l:2:89f`02ko01oh8:365?xu68>k1<7=t=g3`>6g<5jl36ok4=cd;>7213ty:<:l50;1x9c7c2:>01nh6:cg89g`>2;>=7p}>06a94?5|5o;o6>84=bdb>gc<5klj6?:9;|q242c=839p1k<l:2:89f`d2ko01ohl:365?xu68>l1<7=t=g0`>6g<5jlo6ok4=cdg>7213ty:<5>50;1x9c4c2:201nhj:cg89g`b2;>=7p}>09394?5|5o8o6>o4=bde>gc<5klm6?:9;|q24=4=839p1k<j:2:89a672ko01n>?:365?xu68191<7=t=g0f>6g<5m::6ok4=b22>7213ty:<5:50;1x9c4a2:>01i>=:cg89f652;>=7p}>09794?5|5o8m6>84=e20>gc<5j:86?:9;|q24=0=839p1k=j:2:89a632ko01n>;:365?xu681=1<7=t=g1f>6g<5m:>6ok4=b26>7213ty:<5750;1x9c5a2:201i>8:cg89f602;>=7p}>09c94?5|5o9m6>o4=e2;>gc<5j:36?:9;|q24=d=839p1k:?:2:89a6>2ko01n>6:365?xu681i1<7=t=g63>6g<5m:j6ok4=b2b>7213ty:<5j50;1x9c262:>01i>m:cg89f6e2;>=7p}>09g94?5|5o>:6>84=e2`>gc<5j:h6?:9;|q24=`=839p1k;?:2:89a6c2ko01n>k:365?xu680:1<7=t=g73>6g<5m:n6ok4=b2f>7213ty:<4?50;1x9c362:201i>i:cg89f6a2;>=7p}>08094?5|5o?:6>o4=e33>gc<5j;;6?:9;|q24<2=839p1k;=:2:89a752ko01n?=:365?xu680?1<7=t=g71>6g<5m;86ok4=b30>7213ty:<4850;1x9c342:>01i?;:cg89f732;>=7p}>08594?5|5o?86>84=e36>gc<5j;>6?:9;|q24<>=839p1k8=:2:89a712ko01n?9:365?xu68031<7=t=g41>6g<5m;<6ok4=b34>7213ty:<4o50;1x9c042:201i?7:cg89f7?2;>=7p}>08`94?5|5o<86>o4=e3:>gc<5j;26?:9;|q24<e=839p1k8;:2:89a7f2ko01n?n:365?xu680n1<7=t=g47>6g<5m;i6ok4=b3a>7213ty:<4h50;1x9c022:>01i?k:cg89f7c2;>=7p}>0`294?5|5o<>6>84=e3f>gc<5j;n6?:9;|q24d7=839p1k9;:2:89a7a2ko01n?i:365?xu68h81<7=t=g57>6g<5m8;6ok4=b03>7213ty:<l=50;1x9c122:201i<>:cg89f462;>=7p}>0`694?5|5o=>6>o4=e01>gc<5j896?:9;|q24d3=839p1k99:2:89a442ko01n<<:365?xu68h<1<7=t=g55>6g<5m8?6ok4=b07>7213ty:<l950;1x9c102:>01i<::cg89f422;>=7p}>0`:94?5|5o=<6>84=e05>gc<5j8=6?:9;|q24dg=839p1i;;:2:89a4?2ko01n<7:365?xu68hh1<7=t=e77>6g<5m826ok4=b0:>7213ty:<lm50;1x9a322:201i<n:cg89f4f2;>=7p}>0`f94?5|5m?>6>o4=e0a>gc<5j8i6?:9;|q24dc=839p1i;9:2:89a4d2ko01n<l:365?xu68hl1<7=t=e75>6g<5m8o6ok4=b0g>7213ty:<o>50;1x9a302:>01i<j:cg89f4b2;>=7p}>0c394?5|5m?<6>84=e0e>gc<5j8m6?:9;|q24g4=839p1i89:2:89a572ko01n=?:365?xu68k91<7=t=e45>6g<5m9:6ok4=b12>7213ty:<o;50;1x9a002:201i=<:cg89f542;>=7p}>0c494?5|5m<<6>o4=e17>gc<5j9?6?:9;|q24g1=839p1i87:2:89a522ko01n=::365?xu68k21<7=t=e4;>6g<5m9=6ok4=b15>7213ty:<o750;1x9a0>2:>01i=8:cg89f502;>=7p}>0cc94?5|5m<26>84=e1;>gc<5j936?:9;|q24gd=839p1i97:2:89a5>2ko01n=6:365?xu68ki1<7=t=e5;>6g<5m9j6ok4=b1b>7213ty:<oj50;1x9a1>2:201i=m:cg89f5e2;>=7p}>0cg94?5|5m=26>o4=e1`>gc<5j9h6?:9;|qeg4<72:q6h:o5399>g6`=jl16n>h52548yv`d:3:1?v3k7`80e>;d<90ii63m418103=z{oi86=4<{<f4f?5334i?=7lj;<`75?43>2wxjn:50;1x9a1e2:<01n:=:cg89g252;>=7p}ic483>6}:l1k1?552c519f`=:j=91>984}rd`2?6=;r7o4l4<a:?`01<em27i894=479~wce02908w0j7b;1;?8e3=3hn70l;5;072>{tnj21<7=t=e:a>6g<5j>=6ok4=c65>7213tymo44?:2y>`=e=;116o995bd9>f11=:=<0q~hla;297~;c0j08m63l498aa>;e<1098;5rsga`>5<4s4n3h7=;;<a7e?db34h?m7<;6:pbfb=839p1i6k:2489f2e2ko01o:m:365?xuakl0;6>u2d8a97==:k=i1nh52b5a9610<uzlhj7>53z?g=f<4i27h8i4me:?a0a<5<?1vkj?:1808b>l39370m;e;`f?8d3m38?:6s|fe394?5|5m3o6>o4=b6e>gc<5k>m6?:9;|qe`7<72:q6h4k5399>g06=jl16n8>52548yv`c;3:1?v3k9d80e>;d=80ii63m508103=z{on?6=4<{<f:b?5334i>>7lj;<`66?43>2wxji;50;1x9a?a2:<01n;<:cg89g342;>=7p}id683>6}:lho1?552c479f`=:j<?1>984}rdg<?6=;r7omh4<a:?`13<em27i9;4=479~wcb>2908w0jnf;1;?8e2?3hn70l:7;072>{tnmk1<7=t=ece>6g<5j?36ok4=c7;>7213tymho4?:2y>`g6=;116o875bd9>f0?=:=<0q~hkc;297~;cj908m63l5`8aa>;e=h098;5rsgfg>5<4s4ni=7=;;<a6f?db34h>n7<;6:pbac=839p1il>:2489f3d2ko01o;l:365?xualo0;6>u2db297==:k<n1nh52b4f9610<uzln<7>53z?gg5<4i27h9h4me:?a1`<5<?1vkk=:1808bd939370m90;`f?8d1838?:6s|fd194?5|5mi:6>o4=b42>gc<5k<:6?:9;|qea1<72:q6hn<5399>g34=jl16n;<52548yv`b=3:1?v3kc380e>;d>:0ii63m628103=z{oo=6=4<{<f`7?5334i=87lj;<`50?43>2wxjh950;1x9ae42:<01n8::cg89g022;>=7p}ie983>6}:lm81?552c749f`=:j?<1>984}rdf=?6=;r7oh?4<a:?`22<em27i::4=479~wccf2908w0jk3;1;?8e103hn70l98;072>{tnlh1<7=t=ef0>6g<5j<26ok4=c4:>7213tymii4?:2y>`a2=;116o;l5bd9>f3d=:=<0q~hje;297~;cl=08m63l6b8aa>;e>j098;5rsgge>5<4s4no97=;;<a5`?db34h=h7<;6:pbc6=839p1ij::2489f0b2ko01o8j:365?xuan80;6>u2dd697==:k?l1nh52b7d9610<uzlm>7>53z?ga1<4i27h;=4me:?a35<5<?1vkh<:1808bb=39370m81;`f?8d0938?:6s|fg694?5|5mo>6>o4=b51>gc<5k=96?:9;|qeb0<72:q6hh85399>g25=jl16n:=52548yv`a>3:1?v3ke780e>;d?=0ii63m758103=z{ol36=4<{<ff3?5334i<:7lj;<`42?43>2wxjk750;1x9ac02:<01n98:cg89g102;>=7p}if`83>6}:lo<1?552c6:9f`=:j>21>984}rdef?6=;r7oj;4<a:?`3<<em27i;44=479~wc`d2908w0ji7;1;?8e0i3hn70l8a;072>{tnon1<7=t=ed4>6g<5j=i6ok4=c5a>7213tymjh4?:2y>`c>=;116o:m5bd9>f2e=:=<0q~hif;297~;cn108m63l7e8aa>;e?m098;5rs0234?6=;r7oj44<4:?`3`<em27i;h4=479~w46793:1?v3kf8802>;d?o0ii63m7g8103=z{8:;?7>53z?f5d<4027h4<4me:?a<4<5<?1v<>?4;297~;b9h08m63l838aa>;e0;098;5rs0231?6=;r7n=o4<8:?`<6<em27i4>4=479~w467>3:1?v3j1c80e>;d0=0ii63m858103=z{8:;;7>53z?f5f<4027h484me:?a<0<5<?1v<>?8;297~;b9j08m63l878aa>;e0?098;5rs023=?6=;r7n=i4<4:?`<2<em27i4:4=479~w467i3:1?v3j1e802>;d010ii63m898103=z{8:;n7>53z?f6f<4027h444me:?a<<<5<?1v<>?c;297~;b:j08m63l8`8aa>;e0h098;5rs023a?6=;r7n>i4<8:?`<f<em27i4n4=479~w467n3:1?v3j2e80e>;d0m0ii63m8e8103=z{8::<7>53z?f6`<4027h4h4me:?a<`<5<?1v<>>1;297~;b:l08m63l8g8aa>;e0o098;5rs0226?6=;r7n>k4<4:?`=5<em27i5=4=479~w466;3:1?v3j2g802>;d180ii63m908103=z{8::87>53z?f7`<4027h5?4me:?a=7<5<?1v<>>5;297~;b;l08m63l928aa>;e1:098;5rs0222?6=;r7n?k4<8:?`=1<em27i594=479~w466?3:1?v3j3g80e>;d1<0ii63m948103=z{8::57>53z?f05<4027h5:4me:?a=2<5<?1v<>>a;297~;b<908m63l998aa>;e11098;5rs022f?6=;r7n8<4<4:?`=<<em27i544=479~w466k3:1?v3j40802>;d1h0ii63m9`8103=z{8::h7>53z?f15<4027h5o4me:?a=g<5<?1v<>>e;297~;b=908m63l9b8aa>;e1j098;5rs022b?6=;r7n9<4<8:?`=a<em27i5i4=479~w46583:1?v3j5080e>;d1l0ii63m9d8103=z{8:9=7>53z?f17<4027h5k4me:?a=c<5<?1v<>=2;297~;b=;08m63la18aa>;ei9098;5rs0210?6=;r7n9>4<4:?`e7<em27im?4=479~w465=3:1?v3j52802>;di:0ii63ma28103=z{8:9:7>53z?f27<4027hm94me:?ae1<5<?1v<>=7;297~;b>;08m63la48aa>;ei<098;5rs021<?6=;r7n:>4<8:?`e3<em27im;4=479~w46513:1?v3j6280e>;di>0ii63ma68103=z{8:9m7>53z?f21<4027hm54me:?ae=<5<?1v<>=b;297~;b>=08m63la88aa>;ei0098;5rs021g?6=;r7n:84<4:?`ed<em27iml4=479~w465l3:1?v3j64802>;dik0ii63mac8103=z{8:8<7>53z?f31<4027hmh4me:?ae`<5<?1v<><1;297~;b?=08m63lag8aa>;eio098;5rs0206?6=;r7n;84<8:?`f5<em27in=4=479~w464;3:1?v3j7480e>;dj80ii63mb08103=z{8:887>53z?f33<4027hn?4me:?af7<5<?1v<><5;297~;b??08m63lb28aa>;ej:098;5rs0202?6=;r7n;:4<4:?`f1<em27in94=479~w464?3:1?v3j76802>;dj<0ii63mb48103=z{8:847>53z?f<3<4027hn;4me:?af3<5<?1v<><9;297~;b0?08m63lb68aa>;ej>098;5rs020f?6=;r7n4:4<8:?`f<<em27in44=479~w464k3:1?v3j8680e>;djh0ii63mb`8103=z{8:8h7>53z?f<=<4027hno4me:?afg<5<?1v<><e;297~;b0108m63lbb8aa>;ejj098;5rs020b?6=;r7n444<4:?`fa<em27ini4=479~w46383:1?v3j88802>;djl0ii63mbd8103=z{8:?=7>53z?f==<4027hnk4me:?afc<5<?1v<>;2;297~;b1108m63lc18aa>;ek9098;5rs0277?6=;r7n544<8:?`g4<em27io<4=479~w463<3:1?v3j9880e>;dk;0ii63mc38103=z{8:?:7>53z?f=d<4027ho94me:?ag1<5<?1v<>;7;297~;b1h08m63lc48aa>;ek<098;5rs027<?6=;r7n5o4<4:?`g3<em27io;4=479~w46313:1?v3j9c802>;dk>0ii63mc68103=z{8:?m7>53z?fed<4027ho54me:?ag=<5<?1v<>;b;297~;bih08m63lc88aa>;ek0098;5rs027g?6=;r7nmo4<8:?`gd<em27iol4=479~w463l3:1?v3jac80e>;dkk0ii63mcc8103=z{8:?i7>53z?fef<4027hon4me:?agf<5<?1v<>;f;297~;bij08m63lce8aa>;ekm098;5rs0265?6=;r7nmi4<4:?`gc<em27iok4=479~w462:3:1?v3jae802>;dl90ii63md18103=z{8:>?7>53z?fff<4027hh<4me:?a`4<5<?1v<>:4;297~;bjj08m63ld38aa>;el;098;5rs0261?6=;r7nni4<8:?``6<em27ih>4=479~w462>3:1?v3jbe80e>;dl=0ii63md58103=z{8:>;7>53z?ff`<4027hh84me:?a`0<5<?1v<>:8;297~;bjl08m63ld78aa>;el?098;5rs026=?6=;r7nnk4<4:?``2<em27ih:4=479~w462i3:1?v3jbg802>;dl10ii63md98103=z{8:>o7>53z?fa5<4027hhl4me:?a`d<5<?1v<>:d;297~;bm908m63ldc8aa>;elk098;5rs026a?6=;r7ni<4<8:?``f<em27ihn4=479~w462n3:1?v3je080e>;dlm0ii63mde8103=z{8:=<7>53z?fa7<4027hhh4me:?a``<5<?1v<>91;297~;bm;08m63ldg8aa>;elo098;5rs0256?6=;r7ni>4<4:?`a5<em27ii=4=479~w461;3:1?v3je2802>;dm80ii63me08103=z{8:=87>53z?fb1<4027hi?4me:?aa7<5<?1v<>95;297~;bn=08m63le28aa>;em:098;5rs0253?6=;r7nj84<8:?`a0<em27ii84=479~w46103:1?v3jf480e>;dm?0ii63me78103=z{8:=57>53z?fb3<4027hi:4me:?aa2<5<?1v<>9a;297~;bn?08m63le98aa>;em1098;5rs025f?6=;r7nj:4<4:?`a<<em27ii44=479~w461k3:1?v3jf6802>;dmh0ii63me`8103=z{oh36=4={<gf7?db34om87<;8:pb<?=838p1hji:30:?8ca83=n7p}ib683>7}:mkl1nh52ed2961><uzl247>52z?ffg<5:016iim57d9~wcd22909w0knd;`f?8cek38?46s|f8494?4|5lk26?<6;<ga<?1b3tymn94?:3y>a<d=jl16ilo525:8yv`>=3:1>v3j96816<=:mh<1;h5rsg`0>5<5s4o357lj;<g:<?4302wxj4:50;0x9`>22;8270k64;5f?xuaj;0;6?u2e659f`=:m1<1>964}rd:7?6=:r7n;>4=289>a=4=?l1vkl>:1818c1=3hn70k84;07<>{tn081<7<t=d42>74>34o<<79j;|qef5<72;q6i8=5bd9>a34=:=20q~h61;296~;b<o09>452e4g93`=z{okm6=4={<g75?db34o><7<;8:pb<6=838p1h=k:30:?8c3k3=n7p}iad83>7}:m;l1nh52e2g961><uzl3j7>52z?f6g<5:016i>o57d9~wcgc2909w0k>d;`f?8c5k38?46s|f9g94?4|5l;26?<6;<g1<?1b3tymmn4?:3y>`c?=jl16i<o525:8yv`?l3:1>v3kf4816<=:m8<1;h5rsgcb>5<5s4nn;7lj;<fe2?4302wxj5l50;0x9ac42;8270ji2;5f?xuai00;6?u2de79f`=:ll>1>964}rd;e?6=:r7oh<4=289>``6=?l1vko7:1818bd;3hn70jk2;07<>{tn131<7<t=e`e>74>34nhi79j;|qee2<72;q6ho?5bd9>`f6=:=20q~h78;296~;cim09>452dca93`=z{ok=6=4={<f:b?db34nji7<;8:pb=1=838p1i7m:30:?8bfi3=n7p}ia483>7}:l1n1nh52d8a961><uzl3:7>52z?g<<<5:016h4657d9~wcg32909w0j8b;`f?8b?i38?46s|f9794?4|5m=<6?<6;<f;2?1b3tymm>4?:3y>`3?=jl16h:6525:8yv`?<3:1>v3k64816<=:l>>1;h5rsgc1>5<5s4n>;7lj;<f52?4302wxj5=50;0x9a342;8270j92;5f?xuai80;6?u2f659f`=:l<>1>964}rd;6?6=:r7m;>4=289>`06=?l1vkli:1818`1=3hn70h84;07<>{tnh:1<7<t=g42>74>34l<<79j;|qef`<72;q6j8=5bd9>b34=:=20q~h6f;296~;a<o09>452f4g93`=z{oho6=4={<d75?db34l><7<;8:pb<c=838p1k=k:30:?8`3k3=n7p}ibb83>7}:n;l1nh52f2g961><uzl2h7>52z?e6g<5:016j>o57d9~wcde2909w0h>d;`f?8`5k38?46s|f8a94?4|5o;26?<6;<d1<?1b3tymnl4?:3y>b5d=jl16j<o525:8yv`>j3:1>v3i06816<=:n8<1;h5rsg`:>5<5s4oo=7lj;<d3<?4302wxj4o50;0x9`ec2;8270h?4;5f?xuaj?0;6?u2e1`9f`=:mjo1>964}rd:3?6=:r7n<:4=289>afg=?l1vkom:1818b3=3hn70k?8;07<>{tn1i1<7<t=e62>74>34o;879j;|qg0<<72;q6h>h57d9>`6c=:;30q~j;a;296~;c<90<i63k3g816<=z{m>i6=4={<f75?1b34n?<7<=9:p`1e=838p1i:=:365?8b4m38>:6s|d5494?4|5m>86?:7;<f76?db3tyo8i4?:3y>`15=:=<01i=i:375?xuc<>0;6?u2d56961><5m>86ok4}rf7a?6=:r7o894=479>`16=:<<0q~j;8;296~;c<<098:52d569f`=z{m>m6=4={<f71?43>27o8<4=579~w`762909w0k?7;5f?8c7>38956s|e0294?4|5l:>6?<6;<g32?1b3tyn=?4?:3y>a52=:<<01h>7:365?xub8j0;6?u2e1;961><5l:36ok4}rg27?6=:r7n<44=479>a53=:<<0q~k?d;296~;b8h098552e1;9f`=z{l;?6=4={<g3e?43>27n<;4=579~w`6b2909w0k?b;073>;b8h0ii6s|e0794?4|5l:i6?:9;<g33?42>2wxi=h50;0x9`632;8270k?5;5f?xubl>0;6?u2ebf93`=:mji1>?74}rgg2?6=:r7noo4=289>afe=?l1vhj7:1818cdi38>:63jcd8103=z{ln96=4={<g`b?43027noh4me:paa?=838p1hmi:365?8cdj38>:6s|ee194?4|5ln;6?:7;<g`b?db3tynhl4?:3y>aa6=:=<01hml:375?xubl=0;6?u2ee39611<5ln;6ok4}rggf?6=:r7nh<4=479>afb=:<<0q~kk5;296~;bkh09>452eb`93`=z{o;:6=4={<d33?1b34l;:7<=9:pb46=838p1k>::30:?8`7>3=n7p}i1383>7}:n9>1>884=g2;>7213tym<n4?:3y>b5?=:=201k>7:cg8yv`6;3:1>v3i088103=:n9?1>884}rd3`?6=:r7m<l4=499>b5?=jl1vk?;:1818`7i38?:63i078113=z{o:n6=4={<d3f?43?27m<l4me:pb43=838p1k>m:365?8`7?38>:6s|f1d94?4|5o:?6?<6;<d31?1b3tym>>4?:3y>b4?=?l16j<6523;8yv`5:3:1>v3i16816<=:n821;h5rsg07>5<5s4l::7<:6:?e5d<5<?1vk?j:1818`6j38?463i1`8aa>{tn;?1<7<t=g3a>72134l:;7<:6:pb4`=838p1k?l:36;?8`6j3hn7p}i2783>7}:n8i1>984=g3;>7313tym>=4?:3y>b4b=:==01k?l:cg8yv`5?3:1>v3i1e8103=:n831>884}rd15?6=:r7m=;4=289>b41=?l1vk=::1818`5j3=n70h=a;01=>{tn:>1<7<t=g0:>74>34l9m79j;|qe73<72;q6j?6524489c4d2;>=7p}i3183>7}:n;n1>964=g0`>gc<uzl8;7>52z?e6a<5<?16j?752448yv`493:1>v3i2d810==:n;n1nh5rsg1;>5<5s4l9i7<;6:?e6d<5=?1vk==:1818`5n38?;63i2d8aa>{tn:31<7<t=g0e>72134l9n7<:6:pb65=838p1k<7:30:?8`513=n7p}i4683>7}:n:n1;h52f2a967?<uzl?:7>52z?e7g<5:016j>m57d9~wc2?2909w0h<a;062>;a;l098;5rsg61>5<5s4l8j7<;8:?e7`<em2wxj9750;0x9c5a2;>=70h<b;062>{tn=91<7<t=g63>72?34l8j7lj;|qe0d<72;q6j9>525489c5d2;?=7p}i4583>7}:n=;1>994=g63>gc<uzl?n7>52z?e04<5<?16j>j52448yv`3=3:1>v3i3`816<=:n:h1;h5rsg7:>5<5s4l?j79j;<d7a?4512wxj8650;0x9c2c2;8270h;e;5f?xua=h0;6?u2f5a9600<5o?;6?:9;|qe11<72;q6j8?525:89c372ko0q~h:b;296~;a=8098;52f5f9600<uzl>97>52z?e17<5<116j8?5bd9~wc3d2909w0h:2;072>;a<l099;5rsg75>5<5s4l>?7<;7:?e17<em2wxj8j50;0x9c342;>=70h;f;062>{tn<=1<7<t=g6`>74>34l?h79j;|qe2g<72;q6j;?57d9>b36=:;30q~h9a;296~;a=o09>452f7293`=z{o<h6=4={<d6a?42>27m:?4=479~wc012909w0h93;07<>;a>;0ii6s|f7f94?4|5o<86?:9;<d6b?42>2wxj;950;0x9c032;>370h93;`f?xua>l0;6?u2f769610<5o<;6?;9;|qe2=<72;q6j;;525589c032ko0q~h9f;296~;a><098;52f739600<uzl=57>52z?e1`<5:016j8h57d9~wc1c2909w0h83;5f?8`0:38956s|f6a94?4|5o=:6?<6;<d46?1b3tym;h4?:3y>b26=:<<01k9;:365?xua?10;6?u2f67961><5o=?6ok4}rd4b?6=:r7m;84=479>b27=:<<0q~h89;296~;a??098552f679f`=z{o2;6=4={<d42?43>27m;?4=579~wc1f2909w0h87;073>;a??0ii6s|f9394?4|5o=<6?:9;<d47?42>2wxj:l50;0x9c172;8270h81;5f?xuc=m0;6?u2d4193`=:l<81>?74}rf6g?6=:r7o9<4=289>`04=?l1vi;j:1818b2838>:63k558103=z{m?36=4={<f61?43027o994me:p`0`=838p1i;::365?8b2938>:6s|d4;94?4|5m?=6?:7;<f61?db3tyo:=4?:3y>`00=:=<01i;=:375?xuc=h0;6?u2d459611<5m?=6ok4}rf55?6=:r7o9:4=479>`05=:<<0q~j:b;296~;c=909>452d4393`=z{m<m6=4={<f51?1b34n=87<=9:p`3c=838p1i8<:30:?8b1<3=n7p}k7183>7}:l?81>884=e45>7213tyo:l4?:3y>`31=:=201i89:cg8yvb093:1>v3k668103=:l?91>884}rf5f?6=:r7o:54=499>`31=jl1vi9=:1818b1038?:63k658113=z{m<h6=4={<f5=?43?27o:54me:p`25=838p1i86:365?8b1=38>:6s|d7f94?4|5m<96?<6;<f57?1b3tyo4<4?:3y>`21=?l16h:8523;8yvb?83:1>v3k74816<=:l><1;h5rse:1>5<5s4n<87<:6:?g3=<5<?1vi9l:1818b0138?463k798aa>{tl191<7<t=e5:>72134n<97<:6:p`2b=838p1i9n:36;?8b013hn7p}k8583>7}:l>k1>984=e55>7313tyo;h4?:3y>`2d=:==01i9n:cg8yvb?=3:1>v3k7c8103=:l>=1>884}rf4b?6=:r7o;94=289>`23=?l1vi7<:1818b?13=n70j78;01=>{tl081<7<t=e:4>74>34n3479j;|qg=1<72;q6h58524489a>f2;>=7p}k8d83>7}:l1h1>964=e:b>gc<uzn297>52z?g<g<5<?16h5952448yvb?n3:1>v3k8b810==:l1h1nh5rse;5>5<5s4n3o7<;6:?g<=<5=?1vi7?:1818b?l38?;63k8b8aa>{tl0=1<7<t=e:g>72134n357<:6:p`<7=838p1i69:30:?8b??3=n7p}ka483>7}:l0h1;h52d8c967?<uznj87>52z?g=<<5:016h4o57d9~wag12909w0j68;062>;c1j098;5rsec3>5<5s4n2h7<;8:?g=f<em2wxhl950;0x9a?c2;>=70j69;062>{tlh;1<7<t=e;f>72?34n2h7lj;|qge=<72;q6h4k525489a?f2;?=7p}ka383>7}:l0l1>994=e;f>gc<uznj57>52z?g=c<5<?16h4l52448yvbf;3:1>v3k99816<=:l031;h5rse`4>5<5s4njh79j;<fbg?4512wxho850;0x9age2;8270jnc;5f?xucj10;6?u2d`c9600<5mkn6?:9;|qgf7<72;q6hlh525:89agb2ko0q~jm9;296~;cio098;52d``9600<uzni?7>52z?gf5<5<116hlh5bd9~wadf2909w0jm0;072>;cij099;5rse`7>5<5s4ni=7<;7:?gf5<em2wxhol50;0x9ad62;>=70jnd;062>{tlk?1<7<t=ecb>74>34njn79j;|qgg<<72;q6hoh57d9>`gc=:;30q~jl8;296~;cjm09>452dcg93`=z{mij6=4={<fag?42>27oo=4=479~wae32909w0jl1;07<>;ck90ii6s|db`94?4|5mi:6?:9;<fa`?42>2wxhn;50;0x9ae52;>370jl1;`f?xuckj0;6?u2db09610<5mhn6?;9;|qgg3<72;q6hn=525589ae52ko0q~jld;296~;ck:098;52dcd9600<uznh;7>52z?gff<5:016hoj57d9~wabe2909w0jk1;5f?8bc838956s|dec94?4|5mim6?<6;<fg4?1b3tyohn4?:3y>`fc=:<<01ij=:365?xucl?0;6?u2de1961><5mn96ok4}rfg`?6=:r7oh>4=479>`f`=:<<0q~jk7;296~;cl=098552de19f`=z{mnn6=4={<fg0?43>27oh=4=579~wab?2909w0jk5;073>;cl=0ii6s|ded94?4|5mn>6?:9;<fg5?42>2wxhi750;0x9aeb2;8270jlf;5f?xucmm0;6?u2dd193`=:ll81>?74}rffg?6=:r7oi<4=289>``4=?l1vikj:1818bb838>:63ke58103=z{mo36=4={<ff1?43027oi94me:p```=838p1ik::365?8bb938>:6s|dd;94?4|5mo=6?:7;<ff1?db3tyoj=4?:3y>``0=:=<01ik=:375?xucmh0;6?u2dd59611<5mo=6ok4}rfe5?6=:r7oi:4=479>``5=:<<0q~jjb;296~;cm909>452dd393`=z{mlm6=4={<fe1?1b34nm87<=9:p`cc=838p1ih<:30:?8ba<3=n7p}j0183>7}:lo81>884=ed5>7213tyojl4?:3y>`c1=:=201ih9:cg8yvc793:1>v3kf68103=:lo91>884}rfef?6=:r7oj54=499>`c1=jl1vh>=:1818ba038?:63kf58113=z{mlh6=4={<fe=?43?27oj54me:pa55=838p1ih6:365?8ba=38>:6s|dgf94?4|5ml96?<6;<fe7?1b3tyn>>4?:3y>a4?=?l16i<6523;8yvc5:3:1>v3j16816<=:m821;h5rsd07>5<5s4o::7<:6:?f5d<5<?1vh?j:1818c6j38?463j1`8aa>{tm;?1<7<t=d3a>72134o:;7<:6:pa4`=838p1h?l:36;?8c6j3hn7p}j2783>7}:m8i1>984=d3;>7313tyn>=4?:3y>a4b=:==01h?l:cg8yvc5?3:1>v3j1e8103=:m831>884}rg15?6=:r7n=;4=289>a41=?l1vh=::1818c5j3=n70k=a;01=>{tm:>1<7<t=d0:>74>34o9m79j;|qf73<72;q6i?6524489`4d2;>=7p}j3183>7}:m;n1>964=d0`>gc<uzo8;7>52z?f6a<5<?16i?752448yvc493:1>v3j2d810==:m;n1nh5rsd1;>5<5s4o9i7<;6:?f6d<5=?1vh==:1818c5n38?;63j2d8aa>{tm:31<7<t=d0e>72134o9n7<:6:pa65=838p1h<7:30:?8c513=n7p}j4683>7}:m:n1;h52e2a967?<uzo?:7>52z?f7g<5:016i>m57d9~w`2?2909w0k<a;062>;b;l098;5rsd61>5<5s4o8j7<;8:?f7`<em2wxi9750;0x9`5a2;>=70k<b;062>{tm=91<7<t=d63>72?34o8j7lj;|qf0d<72;q6i9>525489`5d2;?=7p}j4583>7}:m=;1>994=d63>gc<uzo?n7>52z?f04<5<?16i>j52448yvc3=3:1>v3j3`816<=:m:h1;h5rsd7:>5<5s4o?j79j;<g7a?4512wxi8650;0x9`2c2;8270k;e;5f?xub=h0;6?u2e5a9600<5l?;6?:9;|qf11<72;q6i8?525:89`372ko0q~k:b;296~;b=8098;52e5f9600<uzo>97>52z?f17<5<116i8?5bd9~w`3d2909w0k:2;072>;b<l099;5rsd75>5<5s4o>?7<;7:?f17<em2wxi8j50;0x9`342;>=70k;f;062>{tm<=1<7<t=d6`>74>34o?h79j;|qf2g<72;q6i;?57d9>a36=:;30q~k9a;296~;b=o09>452e7293`=z{l<h6=4={<g6a?42>27n:?4=479~w`012909w0k93;07<>;b>;0ii6s|e7f94?4|5l<86?:9;<g6b?42>2wxi;950;0x9`032;>370k93;`f?xub>l0;6?u2e769610<5l<;6?;9;|qf2=<72;q6i;;525589`032ko0q~k9f;296~;b><098;52e739600<uzo=57>52z?f1`<5:016i8h57d9~w`1c2909w0k83;5f?8c0:38956s|e6a94?4|5l=:6?<6;<g46?1b3tyn;h4?:3y>a26=:<<01h9;:365?xub?10;6?u2e67961><5l=?6ok4}rg4b?6=:r7n;84=479>a27=:<<0q~k89;296~;b??098552e679f`=z{l2;6=4={<g42?43>27n;?4=579~w`1f2909w0k87;073>;b??0ii6s|e9394?4|5l=<6?:9;<g47?42>2wxi:l50;0x9`172;8270k81;5f?xub0o0;6?u2e9793`=:m1>1>?74}rg;a?6=:r7n4>4=289>a=2=?l1vh7?:1818c?:38>:63j878103=z{l2j6=4={<g;3?43027n4;4me:pa<7=838p1h68:365?8c?;38>:6s|e9`94?4|5l236?:7;<g;3?db3tyn5?4?:3y>a=>=:=<01h6;:375?xub0j0;6?u2e9;9611<5l236ok4}rg:7?6=:r7n444=479>a=3=:<<0q~k7d;296~;b0;09>452e9193`=z{lk:6=4={<g:3?1b34o2:7<=9:pad6=838p1h7::30:?8c>>3=n7p}ja383>7}:m0>1>884=d;;>7213tyn5n4?:3y>a<?=:=201h77:cg8yvcf;3:1>v3j988103=:m0?1>884}rg:`?6=:r7n5l4=499>a<?=jl1vho;:1818c>i38?:63j978113=z{l3n6=4={<g:f?43?27n5l4me:pad3=838p1h7m:365?8c>?38>:6s|e8d94?4|5l3?6?<6;<g:1?1b3tynn>4?:3y>ad?=?l16il6523;8yvce:3:1>v3ja6816<=:mh21;h5rsd`7>5<5s4oj:7<:6:?fed<5<?1vhoj:1818cfj38?463ja`8aa>{tmk?1<7<t=dca>72134oj;7<:6:pad`=838p1hol:36;?8cfj3hn7p}jb783>7}:mhi1>984=dc;>7313tynn=4?:3y>adb=:==01hol:cg8yvce?3:1>v3jae8103=:mh31>884}rga5?6=:r7nm;4=289>ad1=?l1vhm::1818cej3=n70kma;01=>{tmj>1<7<t=d`:>74>34oim79j;|qfg3<72;q6io6524489`dd2;>=7p}jc183>7}:mkn1>964=d``>gc<uzoh;7>52z?ffa<5<?16io752448yvcd93:1>v3jbd810==:mkn1nh5rsda;>5<5s4oii7<;6:?ffd<5=?1vhm=:1818cen38?;63jbd8aa>{tmj31<7<t=d`e>72134oin7<:6:paf5=838p1hl7:30:?8ce13=n7p}je883>7}:mml1;h52eeg967?<uzon47>52z?f`a<5:016iik57d9~w`cf2909w0kkc;062>;bm9098;5rsdg7>5<5s4on=7<;8:?fa5<em2wxihl50;0x9`c62;>=70kkd;062>{tml?1<7<t=dg1>72?34on=7lj;|qfaf<72;q6ih<525489`bb2;?=7p}je783>7}:ml91>994=dg1>gc<uzonh7>52z?fa6<5<?16iih52448yvcb?3:1>v3jdb816<=:mmn1;h5rsdde>5<5s4oni7lj;<gfb?4512wxikj50;0x9``42>o01hh=:30:?xubnl0;6?u2eg1967?<5lom6:k4}rgeg?6=:r7nj<4=289>ac4=?l1vk>?:1818ca838>:63jf58103=z{ll36=4={<ge1?43027nj94me:pb57=838p1hh::365?8ca938>:6s|eg;94?4|5ll=6?:7;<ge1?db3tym<?4?:3y>ac0=:=<01hh=:375?xubnh0;6?u2eg59611<5ll=6ok4}rd37?6=:r7nj:4=479>ac5=:<<0q~kib;296~;bn909>452eg393`=z{h326=4={<c:=?44k27j554>d49~wd?f2909w0o6a;00g>;f110:h95rs`a;>5<4s4kh:7<;6:?bg2<em27jo84=489~wde>2909w0ol7;072>;fk<0ii6s|a`194?4|5hk96?:9;<c:a?db3tyjm84?:2y>e<b=jl16m4k525489d?a2ko0q~on6;296~;f1m098452a8d9610<uzkj;7>52z?be4<5<?16ml>5bd9~wdg?2909w0on0;072>;f1j0:h95rs`c7>5<2s4kj>77:;<cb5??234k2o7<<c:?b=`<>=27j5k465:pf40=838p1l8k:31`?8gej3;o46s|b0:94?4|5h<m6?=l;<c`5?7c02wxn<750;0x9d172;9h70omc;3g3>{tj8k1<7=t=`52>75d34kii7?k9:?bfc<6l>1vo?m:1818g0:388o63nbc82`2=z{k;h6=4={<c47?44k27jo<4>d69~wg7c2909w0o84;00g>;fjj0:h;5rsc3f>5<4s4k<97<<c:?bf`<6l116moh51e:8yvd6n3:1>v3n77817f=:ikh1=i74}r`14?6=:r7j;:4=3b9>eg7=9m30q~l>7;296~;f>l09?n52acg95a1<uzk=:7>52z?b==<5;j16mo651e:8yvd5:3:1nv3ncb817f=:j9<1>974=c20>72>34kmj7<;9:?bbg<5<016mk9525;89d`42;>270ojf;07=>;fmk098452ad5961?<5ho86?:6;|qb20<72:q6m5<522a89d?12ko01l7::cg8yvg1i3:1?v3ncd84g>;fkm0<o63n9c817f=z{h3;6=4={<`27?db34ki57<<c:pf77=838p1lmm:31`?8gdk3;o?6s|a4g94?4|5hi>6?:9;<c`e??23tyi>44?:9y>ecd=:=<01lhl:0f7?8gd93;o963nb082`3=:ikh1=i:4=```>4b234kii7?k5:?bfc<6l=1vo<n:18;8gan38?:63m0182`1=:ik;1=i94=``a>4b234kio7?k4:?bg4<6l=16mok51e689dda28n>7p}m2c83>6}:j991>984=c27>4b334ki=7?k5:pf7e=839p1o>9:365?8d7?3;o863nb082`1=z{k8=6=4>2z?b2`<6l>16m:951e589d1128n<70o85;3g3>;f?=0:h:52a6195a1<5h=96<j8;<c45?7c?27j;=4>d69>e3`=9m=01l8k:0f4?8gbn38?:63nf182`1=:ij81=i:4=`a0>4b334ki47?k4:?bf1<6l=16mo;51e48yvd5?3:15v3nf28103=:io>1=i:4=`a1>4b>34ki=7?k8:?bfd<6l<16mn?51e489dd>28n=70ome;3g2>;fjo0:h;5rsc0;>5<2s4km;7<;6:?bb=<6l=16mo751e789de728n?70oma;3g0>{tj;91<7?<{<c:=?7c=27j5l4>d49>e3c=9m>01l98:0f7?8g0>3;o863n7482`1=:i>>1=i:4=`50>4b334k<>7?k4:?b34<6l=16m:>51e689d0a28n?70o9d;3g0>;fm:098;52ad695a2<5hi96<j7;<c`7?7c=27jn:4>d59>eg5=:<<0q~l=4;2956}:i031=i:4=`;b>4b334k=i7?k5:?b32<6l<16m:851e789d1228n>70o84;3g1>;f?:0:h852a6095a3<5h=:6<j:;<c44?7c=27j:k4>d49>e3b=9m?01lk8:365?8gb03;o863nc382`3=:ij91=i84=``5>4b134ki47?k6:pf73=83;8w0o68;062>;f>l0:h;52a6595a0<5h==6<j9;<c41?7c>27j;94>d79>e25=9m<01l9=:0f5?8g093;o:63n7182`3=:i?l1=i84=`4g>4b134knn7<;6:?baf<6l=16mn<51e789de428n<70om8;3g1>;fj=0:h552ac795a2<uzk=?7>53z?b<7<6l?16m48525489dd328n=7p}n6583>6}:i0=1>984=`:1>4b334ki87?k5:pe34=839p1l6=:0f6?8g>=38?:63nb582`2=z{h2o6=4m{<c;5?1d34k3<79l;<c4b?1d34k<i79l;<c4`?1d34k<o79l;<c4f?1d34k<m79l;<c4=?1d34k<479l;<c`0?44k2wxm8h50;0x9def2;>=70om5;3g<>{ti?h1<7<t=`a1>4b034kjo7<;6:pe3e=839p1lm<:0f;?8gfm38?:63nag82`1=z{h3:6=4<{<`20?43>27i=84>d59>eg3=9m=0q~o90;297~;fk:0:h452a809610<5h386<j;;|qb<`<72;q6mnj525489dd328n27p}n8g83>7}:ijo1>984=``6>4b23tyj4>4?:3y>e2>=:=<01l7n:0f:?xuf0=0;6?u2a6;9610<5h3j6<j7;|qb<0<72;q6m:o525489d?f28n=7p}n8783>7}:i>h1>984=`;b>4b03tyj4:4?:3y>e2e=:=<01l76:0f:?xuf010;6?u2a6f9610<5h326<j7;|qb<<<72;q6m:k525489d?>28n=7p}n8`83>7}:i>l1>984=`;:>4b03tyj4o4?:3y>e=6=:=<01ll8:0f6?xuf0j0;6?u2a939610<5hh<6<j9;|qb24<72;q6m4:525489de328n=7p}n6883>1}:ihk1>984=`a7>4b334k2n7?k4:?beg<6l=1vl87:1868gf138?:63na`8aa>;fk=0:h852a8`95a3<5hki6<j:;|qb22<72=q6ml75bd9>e<b=:=<01lo>:6a89dg62ko0q~ok8;296~;fm:0ii63ne4817f=z{him6=4<{<cf2?1b34kn579j;<cf6?4512wxmi750;0x9dc02ko01lk6:31`?xufl90;6>u2adc93`=:iln1;h52ad4967?<uzkom7>52z?bag<em27jii4=3b9~wdb62908w0oje;5f?8ga93=n70oja;01=>{timh1<7<t=`ge>gc<5hl:6?=l;|qb`7<72:q6mk<57d9>ec3=?l16mhk523;8yvgck3:1>v3nf28aa>;fn<09?n5rs`f0>5<4s4km:79j;<ce=?1b34km>7<=9:peab=838p1lh8:cg89d`>2;9h7p}nd583>6}:iok1;h52agf93`=:io<1>?74}rcga?6=:r7jjo4me:?bba<5;j1vlj::1808gam3=n70l?1;5f?8gai38956s|aed94?4|5hlm6ok4=c22>75d3tyjh;4?:2y>f54=?l16n=;57d9>ecc=:;30q~oj0;296~;e8:0ii63m04817f=z{hn<6=4={<`3<?1b34h;>7<=9:pe`7=838p1o>9:cg89g6?2;9h7p}m0883>6}:il>1>>m4=`g1>73134kn97<=8:pf5g=839p1lk7:31`?8gb>38>:63ne8816==z{k:i6=4<{<cfg?44k27jil4=579>e`b=:;20q~l?c;297~;fn909?n52adg9600<5hl:6?<7;|qa4a<72:q6mk:522a89d`52;?=70oi5;01<>{tj9o1<7=t=`d;>75d34km:7<:6:?bb<<5:11vo>i:1808gak388o63nf`8113=:ion1>?64}r`24?6=;r7i<=4=3b9>ecc=:<<01o>>:30;?xue980;6>u2b16966e<5k:96?;9;<`31?4502wxn<<50;0x9g602;9h70l?8;01<>{t?=?1<7<t=673>gc<5>?96?=l;|q47f<72:q6;8=57d9>300=?l16;9h523;8yv13>3:1>v38558aa>;0=?09?n5rs61g>5<4s4=>;79j;<56e?1b34=>?7<=9:p311=838p1:;7:cg8923f2;9h7p}83d83>6}:?<h1;h5274g93`=:?<=1>?74}r57<?6=:r7<9n4me:?41`<5;j1v:=i:180812n3=n70992;5f?812j38956s|75;94?4|5><;6ok4=641>75d3ty<8=4?:2y>335=?l16;;857d9>30`=:;30q~9;a;296~;0>=0ii63867817f=z{>>:6=4<{<553?1b34==m79j;<557?4512wx;9l50;0x920?2ko01:8n:31`?xu0<;0;6>u277`93`=:??o1;h52775967?<uz=?o7>52z?42f<em27<:h4=3b9~w2242908w099f;5f?810:3=n7099b;01=>{t?=n1<7<t=653>gc<5>=96?=l;|q401<72;q6;:;57d9>33`=:;30q~9;e;296~;0?:0ii63874817f=z{>==6=4<{<565?44k27<8k4=579>304=:;20q~987;297~;0=<09?n527419600<5>?=6?<7;|q43=<72:q6;87522a892302;?=709:a;01<>{t?>31<7=t=67g>75d34=>n7<:6:?41`<5:11v:9n:1808119388o6385g8113=:??81>?64}r54f?6=;r7<:84=3b9>335=:<<01:89:30;?xu0?j0;6>u277;966e<5><<6?;9;<55e?4502wx;:j50;1x920c2;9h7099b;062>;0>l09>55rs65f>5<4s4=<=7<<c:?42c<5=?16;:<523:8yv10n3:1>v3875817f=:?>?1>?64}rc6`?6=:r7j>o4me:?b1f<5;j1vl=9:1818g2i3;o;63n5c817f=z{h9>6=46{<c1f?42>27j>n4=579>e7b=:<<01l<j:375?8g5n38>:63n318113=:i:;1>884=`11>73134k>m7<<c:pe65=839p1l;m:0f7?8g3m38?:63n4g8aa>{ti:>1<7<t=`7a>4b234k>57<;6:pe05=83?p1l;>:8789d3720?01l:n:31`?8g3l33>70o;c;;6?xuf=10;6?u2a5d9610<5h>j6<j;;|qb12<72;q6m8>525489d2b2ko0q~o:6;297~;f<k098;52a4293f=:i<:1nh5rs`76>5<5s4k?n7<;9:?b0a<5<?1vl;;:1808g3j3hn70o;d;`f?8g3k38?:6s|a4094?4|5h?:6?:9;<c7g?db3tyj8?4?:4y>e16=1<16m>h5949>e61=::i01l=n:8789d5>20?0q~o;9;296~;f;l098;52a2595a2<uzk?47>52z?b7f<5<?16m>j5bd9~wd202909w0o<b;072>;f;j0ii6s|a5494?4|5h9m6?:9;<c0f?db3tyj884?:2y>e6>=:=<01l=i:6a89d5a2ko0q~o;4;296~;f;1098452a2c9610<uzk??7>53z?b7=<em27j?l4me:?b7<<5<?1vl:>:1818g3838?:63n388aa>{t0hn1<7<t=9c;>4b3342jm7<;6:p<de=838p15o6:cg89=gf2;>37p}7ad83>7}:0h21=i;4=9c:>7213ty3mo4?:3y><d1=jl164l6522a8yv>cn3:1>v37dc82`1=:0mn1>984}r:ga?6=:r73hn4me:?;`a<5<11v5k?:1818>cj3;o9637db8103=z{1h86=4={<:bb?7c<273n<4=479~w=d52909w06m0;`f?8>e938?46s|8c694?4|51km6<j:;<:a4?43>2wx4i;50;0x9=e?28n?706le;072>{t0m81<7<t=9ag>gc<51in6?:7;|q;`3<72;q64n651e789=ec2;>=7p}7d283>7}:0ji1nh528bf961><uz2o;7>52z?;g<<6l=164nm52548yv>c<3:1>v37cc8aa>;?kj09855rs9f;>5<5s42h57?k5:?;gg<5<?1v5mi:1818>di3;o8637c9817f=z{1n;6=4={<:`e?7c=273o44=3b9~w=b62909w06l7;`f?8>di388o6s|8b194?4|51h=6<j;;<:ag?43>2wx4n>50;0x9=de2ko015ll:36;?xu?k=0;6?u28c495a3<51hi6?:9;|q;g4<72;q64oo5bd9><gd=:=20q~6l5;296~;?j>0:h9528cc9610<uz2h>7>52z?;f<<em273nl4=499~w=e12909w06m7;3g1>;?j0098;5rs9`g>5<5s42i47?k4:?;f3<5;j1v5lj:1818>e03;o9637b6817f=z{1hm6=4={<:a1?db342i47<<c:p<`2=83ip15o::cg89=b>2;>=707:9;5`?8?2>3=h707:2;5`?8?3m3=h707;a;5`?8?3>3=h707;2;5`?8?4m3=h707<a;5`?8?4>3=h7p}n2283>7}:0m31>994=9`2>gc<uzk:;7>5bz?;a4<5<?1654j57b9>=<g=?j1654857b9>=<4=?j1655k57b9>==g=?j1655857b9>==4=?j165:k57b9>=2g=?j1vl<=:1818>c13hn706j1;073>{ti;31<7<t=`30>gc<5h;96?=l;|qb6d<72;q6m<=525489d732ko0q~o=8;296~;?i<098;528`49f`=z{h;26=4={<c21?1d342n>7<;6:pe4e=838p1l?9:6a89=c42;>=7p}n2683>7}:0l81nh528d1961><uz2n:7>52z?b54<0k273hl4=479~wd412909w06j3;`f?8>ci38?46s|a3794?4|51k26?:7;<:ge?db3ty3m94?:5y>e43=:=301l?9:36:?8g6938?5637ag817f=z{h8?6=4={<:a4?430273ml4me:p<`>=83hp15jm:31`?8?2138?563657810<=:1<81>974=86f>72>343?m7<;9:?:03<5<01659<525;89<5b2;>2707<a;07=>;>;?09845rs`02>5<5s42n=7lj;<:gg?4302wxm?>50;0x9=d>2;>3706kd;`f?xuf9o0;6?u28ca9f`=:0jh1>964}r:f3?6=kr7j=<4=479><g?=;m164oo53e9><gd=;m164om53e9><fd=;m164nm53e9><fb=;m164nk53e9><d`=:<<015jm:375?8>ci39o7p}n1e83>f}:i8<1>984=9`4>731342i:7<:6:?;g<<5=?164n6524489=d72:n015l>:2f89=bd2:n015jk:2f89=g>2:n015on:2f89=c42:n0q~o>a;291~;f9<098;528c:9600<51ij6?;9;<:b<?42>273i?4<d:pe4c=838p15mj:cg89<b>2;>37p}n1c83>7}:i8<1nh529e6967?<uzk:47>52z?b50<em272o=4=289~w=c22909w0o>1;`f?8?fk38956s|97794?4|50?j6?=l;<;6f?4502wx5;:50;1x9<302;9h707:5;062>;>=109>55rs840>5<4s43>?7<<c:?:14<5=?1658:523:8yv?1:3:1?v364g817f=:1=n1>884=873>74?3ty2:<4?:2y>=1d=::i014:6:375?8?3k38946s|97294?5|50><6?=l;<;71?42>272854=299~w<3a2908w07;3;00g>;><8099;52956967><uz3>i7>53z?:7c<5;j165>j524489<272;837p}65e83>6}:1:h1>>m4=81:>7313438o7<=8:p=0e=839p14=8:31`?8?4=38>:63639816==z{09?6=4={<;6=?db343>n7<<c:p=7g=838p14;m:6g89<322;827p}63283>7}:1<<1nh5294:966e<uz3957>53z?:10<0m2729548e:?:14<5:01v4==:1818?2:3hn707:4;00g>{t1;21<7=t=872>2c<50??6:k4=86g>74>3ty2?<4?:3y>=1c=jl1658>522a8yv?5?3:1?v364e84a>;>=90<i63648816<=z{09;6=4={<;7e?db343?o7<<c:p=70=839p14:6:6g89<2d2>o014:::30:?xu>:o0;6?u29549f`=:1=21>>m4}r;11?6=;r728848e:?:0=<0m2728<4=289~w<4b2909w07;2;`f?8?3<388o6s|93694?5|50>:6:k4=867>2c<509o6?<6;|q:6a<72;q65>k5bd9>=16=::i0q~7=3;297~;>;m0<i6364184a>;>;009>45rs80`>5<5s438m7lj;<;0g?44k2wx5?<50;1x9<5>2>o014=l:6g89<522;827p}62c83>7}:1:<1nh5292:966e<uz3j57>52z?:=`<5;j1654h523:8yv?f03:1?v369c817f=:1031>884=8;`>74?3ty2m:4?:2y>=<1=::i0147::375?8?>038946s|9`494?5|50386?=l;<;:5?42>272594=299~w<g22908w077f;00g>;>0m099;52982967><uz3j87>53z?:<g<5;j16557524489<>d2;837p}6a283>6}:11=1>>m4=8:6>731343347<=8:p=d4=839p146<:31`?8??938>:63685816==z{0k:6=4<{<;4b?44k272;i4=579>==6=:;20q~7n0;297~;>?k09?n5296;9600<50=h6?<7;|q:3=<72;q654j5bd9>=<`=::i0q~79e;296~;>1o0<i63698816<=z{0=<6=4={<;:e?db3432o7<<c:p=3b=839p1476:6g89<?d2>o0147::30:?xu>??0;6?u29849f`=:1021>>m4}r;5g?6=;r725848e:?:==<0m2725<4=289~w<122909w0762;`f?8?><388o6s|97`94?5|503:6:k4=8;7>2c<502o6?<6;|q:31<72;q655k5bd9>=<6=::i0q~79a;297~;>0m0<i6369184a>;>0009>45rs850>5<5s433m7lj;<;;g?44k2wx5;750;1x9<>>2>o0146l:6g89<>22;827p}67383>7}:11<1nh5299:966e<uz3=47>53z?:<0<0m2724548e:?:<4<5:01v49>:1818??:3hn70774;00g>{t1?=1<7=t=8:2>2c<502?6:k4=85g>74>3ty2;=4?:3y>=2c=jl1655>522a8yv?1>3:1?v367e84a>;>090<i63678816<=z{0<m6=4={<;4e?db343<o7<<c:p<c4=838p14<>:cg89<472;9h7p}7f083>7}:18o1nh5290f966e<uz2m<7>52z?:5g<em272=l4=3b9~w=ca2909w07>8;`f?8?6?388o6s|8dg94?4|50;>6ok4=837>75d3ty3ii4?:3y>=44=jl165<?522a8yv>bk3:1>v360g8aa>;>8l09?n5rs9ga>5<5s43;o7lj;<;3f?44k2wx4ho50;0x9<6>2ko014>7:31`?xu?m00;6?u29149f`=:19?1>>m4}r;fg?6=:r72mo4=3b9>=ae=jl1v4kn:1818?fi388o636c98aa>{t1l21<7<t=820>721343i87lj;|q:b6<72;q64k7525489<642ko0q~7i2;296~;?n1098;528g;9f`=z{0l:6=4={<:e3?43>273j54me:p=c6=838p15h9:365?8>a?3hn7p}6eg83>7}:0o?1>984=9d5>gc<uz3ni7>52z?;b1<5<?164k;5bd9~w<cc2908w07?2;072>;?n=0ii6362182`3=z{0lh6=4<{<;35?43>272<?4me:?:5a<6l?1v4hm:1808?7838?:636008aa>;>9h0:h;5rs8db>5<4s42mj7<;6:?:45<em272=:4>d79~w<`>2908w06ie;072>;?no0ii6361582`3=z{0l36=4<{<:e`?43>273jh4me:?:54<6l?1v4h8:1808>ak38?:637fe8aa>;>8l0:h;5rs8d5>5<4s42mn7<;6:?;bf<em272<o4>d79~w<`22908w06ia;072>;?nk0ii6360982`3=z{0l?6=4<{<:e7?43>273jl4me:?:40<6l?1v4km:1818?fj3;o8636c4810==z{0o26=4={<;be?7c<272n<4=499~wd77290?w07=0;3g1>;>=0098;5294c95a2<50h96>j4}rc3b?6=<r72=i4>d49>=00=:=<014;8:0f7?8?e:39i7p}n0d83>1}:18k1=i;4=871>721343>?7?k4:?:f7<4i2wxm=j50;6x9<7028n>707;e;072>;><o0:h9529c097<=z{h:h6=4;{<;20?7c=2728l4=479>=1d=9m>014l=:2:8yvg7j3:18v361082`0=:1=<1>984=864>4b3343i=7=k;|qb4d<72=q65=k51e789<252;>=707;3;3g0>;>j808n6s|a1;94?2|50:i6<j:;<;0a?43>272?k4>d59>=g7=;h1vl>7:1878?703;o96363`8103=:1:h1=i:4=8`2>6?<uzk;;7>54z?:40<6l<165>8525489<5028n?707m1;1;?xuf8?0;6?u29339610<50;m6ok4}rc31?6=:r72=h4=479>=4e=jl1vl>;:1818?6j38?:636188aa>{ti991<7<t=83;>721343::7lj;|qb47<72;q65<;525489<742ko0q~o?1;296~;>9;098;529029f`=z{h:;6=4={<;3b?43>272<i4me:p=c`=838p14>l:365?8?7i3hn7p}6fd83>7}:1931>984=824>gc<uz3mh7>52z?:43<5<?165=:5bd9~w<c72909w07k6;5f?8?c=38956s|9d394?4|50n<6:k4=8f5>74>3ty2i?4?:3y>=a>=?l165i9523;8yv?b;3:1>v36d9816<=:1m>1;h5rs8g7>5<5s43o57<;6:?:`0<5=?1v4jk:1818?ci38?4636d88aa>{t1l?1<7<t=8fb>721343o:7<:6:p=ac=838p14jm:36;?8?ci3hn7p}6e783>7}:1mh1>984=8f4>7313ty2hk4?:3y>=ae=:==014jm:cg8yv?b?3:1>v36db8103=:1m21>884}r;`g?6=:r72o?48e:?:g4<5:01v4mk:1818?d;3=n707l2;01=>{t1jo1<7<t=8a7>2c<50i86?<6;|q:gc<72;q65n:523;89<e72>o0q~7k0;296~;>k<098;529b39600<uz3h57>52z?:g3<5<1165n;5bd9~w<b62909w07l6;072>;>k;099;5rs8ab>5<5s43h;7<;8:?:g3<em2wx5i<50;0x9<e02;>=707l3;062>{t1jh1<7<t=8a;>720343h;7lj;|q:`6<72;q65n6525489<e32;?=7p}6b983>7}:1ho1;h529`f967?<uz3i57>52z?:ec<0m272mh4=289~w<df2909w07m0;5f?8?fn38956s|9c`94?4|50h;6?<6;<;bg?1b3ty2nn4?:3y>=g7=:=<014ok:375?xu>j<0;6?u29c0961><50h:6ok4}r;a`?6=:r72n?4=479>=dc=:<<0q~7m6;296~;>j:0985529c09f`=z{0hn6=4={<;a7?43>272mk4=579~w<d02909w07m4;073>;>j:0ii6s|9cd94?4|50h?6?:9;<;a4?42>2wx::850;0x93>72ko01;6=:31`?xu1>l0;6>u269193`=:>1<1;h5266d967?<uz<<;7>52z?5<1<em27=4;4=3b9~w30a2908w0877;5f?80?i3=n70873;01=>{t>>21<7<t=7:;>gc<5?2j6?=l;|q535<72:q6:5l57d9>2=c=?l16:59523;8yv0013:1>v398b8aa>;10l09?n5rs752>5<4s4<3j79j;<4:6?1b34<3n7<=9:p22g=838p1;7?:cg893?52;9h7p}97383>6}:>091;h5268493`=:>1l1>?74}r44f?6=:r7=594me:?5=3<5;j1v;9<:18080>?3=n7086a;5f?80>;38956s|66a94?4|5?336ok4=7;b>75d3ty=;94?:2y>2<d=?l16:4k57d9>2<1=:;30q~88d;296~;11j0ii6399d817f=z{?=>6=4={<4b5?1b34<2n7<=9:p22c=838p1;7i:cg893g62;9h7p}9a383>6}:>1;1>>m4=75e>73134<3>7<=8:p2d5=839p1;6::31`?80?;38>:63987816==z{?k?6=4<{<4;=?44k27=4:4=579>2=g=:;20q~8n5;297~;10m09?n5269`9600<5?2n6?<7;|q5e3<72:q6:4?522a893>a2;?=70862;01<>{t>h=1<7=t=7;6>75d34<2?7<:6:?5=3<5:11v;o7:18080>1388o639968113=:>0k1>?64}r4b=?6=;r7=5i4=3b9>2<d=:<<01;7j:30;?xu1ih0;6?u26`2966e<5?k:6?<7;|qbf5<72;q6mo?522a89de728n>7p}nb083>7}:ikk1>>m4=``a>4b13tyjnl4?:3y>egd=::i01lm?:0f;?xufjk0;6?u2aca966e<5hi;6<j9;|qbfa<72;q6mo751e;89de72;9h7p}nc183>7}:ij;1>>m4=``5>4b>3tyjo<4?:3y>ef4=::i01ll9:0f;?xufk;0;6?u2ab1966e<5hh=6<j8;|qbf7<72;q6mo851e789dd42;9h7p}nb283>7}:ik<1>>m4=``:>4b?3tyjn;4?:3y>eg1=::i01ll7:0f4?xufj>0;6?u2ac:966e<5hh26<j8;|qbea<72><>w0onc;`f?8728<09?h52b27970=:j:?1?;52b27972=:j::1?852b22973=:j::1?:5237:971=:;?21?85237:973=:<=<1?952454970=:<=<1?;524ba971=:<ji1?8524ba973=:<l81?9524d0970=:<l81?;524g:971=:<o21?8524g:973=:=9o1?95251g970=:=9o1?;52536971=:=;>1?852536973=:=:k1?95252c970=:=:k1?;52542971=:=<:1?852542973=:=?<1?952574970=:=?<1?;5236g971=:;>o1?85236g973=:;0>1?952386970=:;0>1?;523`c971=:;hk1?8523`c973=:;j:1?9523b2970=:;j:1?;523e4971=:;m<1?8523e4973=:;li1?9523da970=:;li1?;52410971=:<981?852410973=:<821?95240:970=:<821?;5243g971=:<;o1?85243g973=:<=>1?952456970=:<=>1?;5244a971=:<<i1?85244a973=:<>81?952460970=:<>81?;5249:971=:<121?85249:973=:<0o1?95248g970=:<0o1?;524c6971=:<k>1?8524c6973=:<j81?9524b0970=:<j81?;524b6971=:<j>1?8524b6973=:<j<1?9524b4970=:<j<1?;524b:971=:<j21?8524b:973=:<jk1?9524bc970=:<jk1?;524bg971=:<jo1?8524bg973=:<m:1?9524e2970=:<m:1?;524e0971=:<m81?8524e0973=:<m>1?9524e6970=:<m>1?;524e4971=:<m<1?8524e4973=:<m21?9524e:970=:<m21?;524ec971=:<mk1?8524ec973=:<mi1?9524ea970=:<mi1?;524eg971=:<mo1?8524eg973=:<l:1?9524d2970=:<l:1?;524d6971=:<l>1?8524d6973=:<l<1?9524d4970=:<l<1?;524d:971=:<l21?8524d:973=:<lk1?9524dc970=:<lk1?;524da971=:<li1?8524da973=:<lo1?9524dg970=:<lo1?;524g2971=:<o:1?8524g2973=:<o81?9524g0970=:<o81?;524g6971=:<o>1?8524g6973=:<o<1?9524g4970=:<o<1?;524gc971=:<ok1?8524gc973=:<oi1?9524ga970=:<oi1?;524gg971=:<oo1?8524gg973=:=9:1?952512970=:=9:1?;52510971=:=981?852510973=:=9>1?952516970=:=9>1?;52514971=:=9<1?852514973=:=921?95251:970=:=921?;5251c971=:=9k1?85251c973=:=9i1?95251a970=:=9i1?;52502971=:=8:1?852502973=:=881?952500970=:=881?;52506971=:=8>1?852506973=:=8<1?952504970=:=8<1?;5250:971=:=821?85250:973=:=8k1?95250c970=:=8k1?;5250a971=:=8i1?85250a973=:=8o1?95250g970=:=8o1?;52532971=:=;:1?852532973=:=;81?952530970=:=;81?;52534971=:=;<1?852534973=:=;21?95253:970=:=;21?;5253c971=:=;k1?85253c973=:=;i1?95253a970=:=;i1?;5253g971=:=;o1?85253g973=:=::1?952522970=:=::1?;52520971=:=:81?852520973=:=:>1?952526970=:=:>1?;52524971=:=:<1?852524973=:=:21?95252:970=:=:21?;5252a971=:=:i1?85252a973=:=:o1?95252g970=:=:o1?;52552971=:==:1?852552973=:==81?952550970=:==81?;52556971=:==>1?852556973=:==<1?952554970=:==<1?;5255:971=:==21?85255:973=:==k1?95255c970=:==k1?;5255a971=:==i1?85255a973=:==o1?95255g970=:==o1?;52540971=:=<81?852540973=:=<>1?952546970=:=<>1?;52544971=:=<<1?852544973=:=<21?95254:970=:=<21?;5254c971=:=<k1?85254c973=:=<i1?95254a970=:=<i1?;5254g971=:=<o1?85254g973=:=?:1?952572970=:=?:1?;52570971=:=?81?852570973=:=?>1?952576970=:=?>1?;5237c971=:;?k1?85237c973=:;?i1?95237a970=:;?i1?;5237g971=:;?o1?85237g973=:;>:1?952362970=:;>:1?;52360971=:;>81?852360973=:;>>1?952366970=:;>>1?;52364971=:;><1?852364973=:;>21?95236:970=:;>21?;5236c971=:;>k1?85236c973=:;>i1?95236a970=:;>i1?;52392971=:;1:1?852392973=:;181?952390970=:;181?;52396971=:;1>1?852396973=:;1<1?952394970=:;1<1?;5239:971=:;121?85239:973=:;1k1?95239c970=:;1k1?;5239a971=:;1i1?85239a973=:;1o1?95239g970=:;1o1?;52382971=:;0:1?852382973=:;081?952380970=:;081?;52384971=:;0<1?852384973=:;021?95238:970=:;021?;5238c971=:;0k1?85238c973=:;0i1?95238a970=:;0i1?;5238g971=:;0o1?85238g973=:;h:1?9523`2970=:;h:1?;523`0971=:;h81?8523`0973=:;h>1?9523`6970=:;h>1?;523`4971=:;h<1?8523`4973=:;h21?9523`:970=:;h21?;523`a971=:;hi1?8523`a973=:;ho1?9523`g970=:;ho1?;523c2971=:;k:1?8523c2973=:;k81?9523c0970=:;k81?;523c6971=:;k>1?8523c6973=:;k<1?9523c4970=:;k<1?;523c:971=:;k21?8523c:973=:;kk1?9523cc970=:;kk1?;523ca971=:;ki1?8523ca973=:;ko1?9523cg970=:;ko1?;523b0971=:;j81?8523b0973=:;j>1?9523b6970=:;j>1?;523b4971=:;j<1?8523b4973=:;j21?9523b:970=:;j21?;523bc971=:;jk1?8523bc973=:;ji1?9523ba970=:;ji1?;523bg971=:;jo1?8523bg973=:;m:1?9523e2970=:;m:1?;523e0971=:;m81?8523e0973=:;m>1?9523e6970=:;m>1?;523e:971=:;m21?8523e:973=:;mk1?9523ec970=:;mk1?;523ea971=:;mi1?8523ea973=:;mo1?9523eg970=:;mo1?;523d2971=:;l:1?8523d2973=:;l81?9523d0970=:;l81?;523d6971=:;l>1?8523d6973=:;l<1?9523d4970=:;l<1?;523d:971=:;l21?8523d:973=:;lk1?9523dc970=:;lk1?;523dg971=:;lo1?8523dg973=:;o:1?9523g2970=:;o:1?;523g0971=:;o81?8523g0973=:;o>1?9523g6970=:;o>1?;523g4971=:;o<1?8523g4973=:;o21?9523g:970=:;o21?;523gc971=:;ok1?8523gc973=:;oi1?9523ga970=:;oi1?;523gg971=:;oo1?8523gg973=:<9:1?952412970=:<9:1?;52416971=:<9>1?852416973=:<9<1?952414970=:<9<1?;5241:971=:<921?85241:973=:<9k1?95241c970=:<9k1?;5241a971=:<9i1?85241a973=:<9o1?95241g970=:<9o1?;52402971=:<8:1?852402973=:<881?952400970=:<881?;52406971=:<8>1?852406973=:<8<1?952404970=:<8<1?;5240c971=:<8k1?85240c973=:<8i1?95240a970=:<8i1?;5240g971=:<8o1?85240g973=:<;:1?952432970=:<;:1?;52430971=:<;81?852430973=:<;>1?952436970=:<;>1?;52434971=:<;<1?852434973=:<;21?95243:970=:<;21?;5243c971=:<;k1?85243c973=:<;i1?95243a970=:<;i1?;52422971=:<::1?852422973=:<:81?952420970=:<:81?;52426971=:<:>1?852426973=:<:<1?952424970=:<:<1?;5242:971=:<:21?85242:973=:<:k1?95242c970=:<:k1?;5242a971=:<:i1?85242a973=:<:o1?95242g970=:<:o1?;52452971=:<=:1?852452973=:<=81?952450970=:<=81?;5245:971=:<=21?85245:973=:<=k1?95245c970=:<=k1?;5245a971=:<=i1?85245a973=:<=o1?95245g970=:<=o1?;52442971=:<<:1?852442973=:<<81?952440970=:<<81?;52446971=:<<>1?852446973=:<<<1?952444970=:<<<1?;5244:971=:<<21?85244:973=:<<k1?95244c970=:<<k1?;5244g971=:<<o1?85244g973=:<?:1?952472970=:<?:1?;52470971=:<?81?852470973=:<?>1?952476970=:<?>1?;52474971=:<?<1?852474973=:<?21?95247:970=:<?21?;5247c971=:<?k1?85247c973=:<?i1?95247a970=:<?i1?;5247g971=:<?o1?85247g973=:<>:1?952462970=:<>:1?;52466971=:<>>1?852466973=:<><1?952464970=:<><1?;5246:971=:<>21?85246:973=:<>k1?95246c970=:<>k1?;5246a971=:<>i1?85246a973=:<>o1?95246g970=:<>o1?;52492971=:<1:1?852492973=:<181?952490970=:<181?;52496971=:<1>1?852496973=:<1<1?952494970=:<1<1?;5249c971=:<1k1?85249c973=:<1i1?95249a970=:<1i1?;5249g971=:<1o1?85249g973=:<0:1?952482970=:<0:1?;52480971=:<081?852480973=:<0>1?952486970=:<0>1?;52484971=:<0<1?852484973=:<021?95248:970=:<021?;5248c971=:<0k1?85248c973=:<0i1?95248a970=:<0i1?;524`2971=:<h:1?8524`2973=:<h81?9524`0970=:<h81?;524`6971=:<h>1?8524`6973=:<h<1?9524`4970=:<h<1?;524`:971=:<h21?8524`:973=:<hk1?9524`c970=:<hk1?;524`a971=:<hi1?8524`a973=:<ho1?9524`g970=:<ho1?;524c2971=:<k:1?8524c2973=:<k81?9524c0970=:<k81?;524c4971=:<k<1?8524c4973=:<k21?9524c:970=:<k21?;524cc971=:<kk1?8524cc973=:<ki1?9524ca970=:<ki1?;524cg971=:<ko1?8524cg973=:<j:1?9524b2970=:<j:1?;52d5697a=:l=91?i52d5097a=:l:o1;h52e1c97a=:m931?i52e1:97a=:mm:1?i52ebd97a=:mjo1?i52f1c97a=:n931?i52f1:97a=:n8i1?i52f0`97a=:n8k1?i52f3g97a=:n;n1?i52f3a97a=:n=:1?i52f2d97a=:n:o1?i52f4097a=:n<;1?i52f4297a=:n?>1?i52f7197a=:n?81?i52f6497a=:n>?1?i52f6697a=:l<<1?i52d4797a=:l<>1?i52d7:97a=:l?=1?i52d7497a=:l>k1?i52d6;97a=:l>21?i52d9a97a=:l1h1?i52d9c97a=:l0o1?i52d8f97a=:l0i1?i52dc297a=:lhl1?i52d`g97a=:lj81?i52db397a=:lj:1?i52de697a=:lm91?i52de097a=:ll<1?i52dd797a=:ll>1?i52dg:97a=:lo=1?i52dg497a=:m8i1?i52e0`97a=:m8k1?i52e3g97a=:m;n1?i52e3a97a=:m=:1?i52e2d97a=:m:o1?i52e4097a=:m<;1?i52e4297a=:m?>1?i52e7197a=:m?81?i52e6497a=:m>?1?i52e6697a=:m121?i52e9597a=:m1<1?i52e8c97a=:m031?i52e8:97a=:mhi1?i52e``97a=:mhk1?i52ecg97a=:mkn1?i52eca97a=:ml81?i52ed397a=:ml:1?i52eg497a=:mo?1?i52eg697a=:mll1>884=`c:>2e<5hkj6:m4=`;7>2e<5h3<6ok4=c30>2e<5hk;6:m4=`a5>2e<5hi<6:m4=c25>2e<5k:86:m4=`de>2e<5hli6:m4=`d4>2e<5hl86:m4=`ge>2e<5hoi6:m4=`g4>2e<5ho86:m4=`g1>2c<5ho>6:k4=66e>2c<5>?96:k4=`7a>4b134k?i79l;<c7b?1d34k8n79l;<c0g?1d34k8h79l;<c0a?1d343;?7=;;<;37?52343;?7=9;<;37?50343<579j;<;4g?1b3438979j;<;0<?1b343on7=7;<;gf?5>343on7=n;<;gf?5e343on7=k;<;gg?53343oo7=:;<;gg?51343oo7=8;<;g1?1b343o87<:6:?:g2<40272o:4<9:?:g2<4i272o:4<b:?:g2<4l272o54<4:?:g=<4=272o54<6:?:g=<4?272o<48e:?:g5<5=?165o=5399>=g5=;0165o=53`9>=g5=;k165o=53e9>=g2=;=165o:5349>=g2=;?165o:5369>=db=?l165lm52448931a2>o01;6=:6g89def2ko01;jj:41893bb2<801;jj:5f893bb2>:01;jj:7d893bb2?k01;jj:da893bb2lk01;jj:d;893bb2l201;jj:d5893bb2l<01;jj:g7893bb2o>01;jj:g1893bb2o801;jj:g3893bb2o:01;jj:dd893bb2lo01;jj:df893bb2l?01;jj:03f?80cm3;:o639dd825g=:>mo1=<o4=7ff>47>34<oi7?>8:?5``<6:>16:ik5134893bb288m708ke;31`>;1ll0:?:526eg95g3<5?nn6?:k;<4ga?43n27=n84;6:?5f0<3=27=n84;4:?5f0<4m27=n8493:?5f0<1:27=n8491:?5f0<2j27=n84kd:?5f0<cj27=n84k9:?5f0<c027=n84k7:?5f0<c>27=n84k5:?5f0<c<27=n84k3:?5f0<c:27=n84k1:?5f0<c827=n84le:?5f0<dl27=n84lc:?5f0<dj27=n84la:?5f0<d127=n84l8:?5f0<d?27=n84l6:?5f0<d=27=n84j4:?5f0<b;27=n84j2:?5f0<b927=n84j0:?5f0<cn27=n84ke:?5f0<ci27=n84lf:?5f0<d<27=n84>0g9>2g3=99n01;l::02a?80e=3;;m639b4824<=:>k?1==64=7`6>46034<i97??6:?5f0<68<16:o;5116893d228:8708m5;336>;1j<0:<=526c79bc=:>k?1jh526c79ba=:>k?1=?m4=7`6>44f34<i97?=9:?5f0<6:116:o;5126893d22899708m5;3a0>;1j<098i526c7961c<5?i?6984=7a7>13<5?i?69:4=7a7>6c<5?i?6;=4=7a7>34<5?i?6;?4=7a7>0d<5?i?6ij4=7a7>ad<5?i?6i74=7a7>a><5?i?6i94=7a7>a0<5?i?6i;4=7a7>a2<5?i?6i=4=7a7>a4<5?i?6i?4=7a7>a6<5?i?6nk4=7a7>fb<5?i?6nm4=7a7>fd<5?i?6no4=7a7>f?<5?i?6n64=7a7>f1<5?i?6n84=7a7>f3<5?i?6h:4=7a7>`5<5?i?6h<4=7a7>`7<5?i?6h>4=7a7>a`<5?i?6ik4=7a7>ag<5?i?6nh4=7a7>f2<5?i?6<>i;<4`0?77l27=o94>0c9>2f2=99k01;m;:02:?80d<3;;4639c58242=:>j>1==84=7a7>46234<h87??4:?5g1<68:16:n:5110893e328:;708l4;de?80d<3ln708l4;dg?80d<3;9o639c5826d=:>j>1=?74=7a7>44?34<h87?<4:?5g1<6;;16:n:51c6893e32;>o708l4;07a>;1k>0?:639c6871>;1k>0?8639c680a>;1k>0=?639c6856>;1k>0==639c686f>;1k>0oh639c68gf>;1k>0o5639c68g<>;1k>0o;639c68g2>;1k>0o9639c68g0>;1k>0o?639c68g6>;1k>0o=639c68g4>;1k>0hi639c68``>;1k>0ho639c68`f>;1k>0hm639c68`=>;1k>0h4639c68`3>;1k>0h:639c68`1>;1k>0n8639c68f7>;1k>0n>639c68f5>;1k>0n<639c68gb>;1k>0oi639c68ge>;1k>0hj639c68`0>;1k>0:<k526b5955b<5?i<6<>m;<4`3?77i27=o:4>089>2f1=99201;m8:024?80d?3;;:639c68240=:>j=1==:4=7a4>46434<h;7??2:?5g2<68916:n95fg9>2f1=nl16:n95fe9>2f1=9;i01;m8:00b?80d?3;95639c6826==:>j=1=>:4=7a4>45534<h;7?m4:?5g2<5<m16:n9525g893ef2=<01;mn:57893ef2=>01;mn:2g893ef2?901;mn:70893ef2?;01;mn:4`893ef2mn01;mn:e`893ef2m301;mn:e:893ef2m=01;mn:e4893ef2m?01;mn:e6893ef2m901;mn:e0893ef2m;01;mn:e2893ef2jo01;mn:bf893ef2ji01;mn:b`893ef2jk01;mn:b;893ef2j201;mn:b5893ef2j<01;mn:b7893ef2l>01;mn:d1893ef2l801;mn:d3893ef2l:01;mn:ed893ef2mo01;mn:ec893ef2jl01;mn:b6893ef28:m708la;33`>;1kh0:<o526bc955g<5?ij6<>6;<4`e?77027=ol4>069>2fg=99<01;mn:026?80di3;;8639c`8246=:>jk1==<4=7ab>46734<hm7hi;<4`e?`b34<hm7hk;<4`e?75k27=ol4>2`9>2fg=9;301;mn:00;?80di3;88639c`8277=:>jk1=o:4=7ab>72c34<hm7<;e:?5ga<3>27=oi4;5:?5ga<3<27=oi4<e:?5ga<1;27=oi492:?5ga<1927=oi4:b:?5ga<cl27=oi4kb:?5ga<c127=oi4k8:?5ga<c?27=oi4k6:?5ga<c=27=oi4k4:?5ga<c;27=oi4k2:?5ga<c927=oi4k0:?5ga<dm27=oi4ld:?5ga<dk27=oi4lb:?5ga<di27=oi4l9:?5ga<d027=oi4l7:?5ga<d>27=oi4l5:?5ga<b<27=oi4j3:?5ga<b:27=oi4j1:?5ga<b827=oi4kf:?5ga<cm27=oi4ka:?5ga<dn27=oi4l4:?5ga<68o16:nj511f893ec28:i708ld;33e>;1km0:<4526bf955><5?io6<>8;<4``?77>27=oi4>049>2fb=99>01;mk:020?80dl3;;>639ce8245=:>jn1jk526bf9b`=:>jn1ji526bf957e<5?io6<<n;<4``?75127=oi4>299>2fb=9:>01;mk:011?80dl3;i8639ce810a=:>jn1>9k4=7f3>10<5?n;69;4=7f3>12<5?n;6>k4=7f3>35<5?n;6;<4=7f3>37<5?n;68l4=7f3>ab<5?n;6il4=7f3>a?<5?n;6i64=7f3>a1<5?n;6i84=7f3>a3<5?n;6i:4=7f3>a5<5?n;6i<4=7f3>a7<5?n;6i>4=7f3>fc<5?n;6nj4=7f3>fe<5?n;6nl4=7f3>fg<5?n;6n74=7f3>f><5?n;6n94=7f3>f0<5?n;6n;4=7f3>`2<5?n;6h=4=7f3>`4<5?n;6h?4=7f3>`6<5?n;6ih4=7f3>ac<5?n;6io4=7f3>f`<5?n;6n:4=7f3>46a34<o<7??d:?5`5<68k16:i>511c893b728:2708k0;33<>;1l90:<:526e29550<5?n;6<>:;<4g4?77<27=h=4>029>2a6=99801;j?:023?80c83lm708k0;df?80c83lo708k0;31g>;1l90:>l526e2957?<5?n;6<<7;<4g4?74<27=h=4>339>2a6=9k>01;j?:36g?80c838?i639d2872>;1l:0?9639d2870>;1l:08i639d2857>;1l:0=>639d2855>;1l:0>n639d28g`>;1l:0on639d28g=>;1l:0o4639d28g3>;1l:0o:639d28g1>;1l:0o8639d28g7>;1l:0o>639d28g5>;1l:0o<639d28`a>;1l:0hh639d28`g>;1l:0hn639d28`e>;1l:0h5639d28`<>;1l:0h;639d28`2>;1l:0h9639d28f0>;1l:0n?639d28f6>;1l:0n=639d28f4>;1l:0oj639d28ga>;1l:0om639d28`b>;1l:0h8639d2824c=:>m91==j4=7f0>46e34<o?7??a:?5`6<68016:i=511:893b428:<708k3;332>;1l:0:<8526e19552<5?n86<><;<4g7?77:27=h>4>019>2a5=no16:i=5fd9>2a5=nm16:i=513a893b4288j708k3;31=>;1l:0:>5526e19562<5?n86<==;<4g7?7e<27=h>4=4e9>2a5=:=o01;j::54893b22=?01;j::56893b22:o01;j::71893b22?801;j::73893b22<h01;j::ef893b22mh01;j::e;893b22m201;j::e5893b22m<01;j::e7893b22m>01;j::e1893b22m801;j::e3893b22m:01;j::bg893b22jn01;j::ba893b22jh01;j::bc893b22j301;j::b:893b22j=01;j::b4893b22j?01;j::d6893b22l901;j::d0893b22l;01;j::d2893b22ml01;j::eg893b22mk01;j::bd893b22j>01;j::02e?80c=3;;h639d4824g=:>m?1==o4=7f6>46>34<o97??8:?5`0<68>16:i;5114893b228:>708k5;330>;1l<0:<>526e79554<5?n>6<>?;<4g1?`a34<o97hj;<4g1?`c34<o97?=c:?5`0<6:h16:i;513;893b22883708k5;300>;1l<0:??526e795g2<5?n>6?:k;<4g1?43m27=h54;6:?5`=<3=27=h54;4:?5`=<4m27=h5493:?5`=<1:27=h5491:?5`=<2j27=h54kd:?5`=<cj27=h54k9:?5`=<c027=h54k7:?5`=<c>27=h54k5:?5`=<c<27=h54k3:?5`=<c:27=h54k1:?5`=<c827=h54le:?5`=<dl27=h54lc:?5`=<dj27=h54la:?5`=<d127=h54l8:?5`=<d?27=h54l6:?5`=<d=27=h54j4:?5`=<b;27=h54j2:?5`=<b927=h54j0:?5`=<cn27=h54ke:?5`=<ci27=h54lf:?5`=<d<27=h54>0g9>2a>=99n01;j7:02a?80c03;;m639d9824<=:>m21==64=7f;>46034<o47??6:?5`=<68<16:i65116893b?28:8708k8;336>;1l10:<=526e:9bc=:>m21jh526e:9ba=:>m21=?m4=7f;>44f34<o47?=9:?5`=<6:116:i65126893b?2899708k8;3a0>;1l1098i526e:961c<5?ni6984=7fa>13<5?ni69:4=7fa>6c<5?ni6;=4=7fa>34<5?ni6;?4=7fa>0d<5?ni6ij4=7fa>ad<5?ni6i74=7fa>a><5?ni6i94=7fa>a0<5?ni6i;4=7fa>a2<5?ni6i=4=7fa>a4<5?ni6i?4=7fa>a6<5?ni6nk4=7fa>fb<5?ni6nm4=7fa>fd<5?ni6no4=7fa>f?<5?ni6n64=7fa>f1<5?ni6n84=7fa>f3<5?ni6h:4=7fa>`5<5?ni6h<4=7fa>`7<5?ni6h>4=7fa>a`<5?ni6ik4=7fa>ag<5?ni6nh4=7fa>f2<5?ni6<>i;<4gf?77l27=ho4>0c9>2ad=99k01;jm:02:?80cj3;;4639dc8242=:>mh1==84=7fa>46234<on7??4:?5`g<68:16:il5110893be28:;708kb;de?80cj3ln708kb;dg?80cj3;9o639dc826d=:>mh1=?74=7fa>44?34<on7?<4:?5`g<6;;16:il51c6893be2;>o708kb;07a>;1j10?:639b9871>;1j10?8639b980a>;1j10=?639b9856>;1j10==639b986f>;1j10oh639b98gf>;1j10o5639b98g<>;1j10o;639b98g2>;1j10o9639b98g0>;1j10o?639b98g6>;1j10o=639b98g4>;1j10hi639b98``>;1j10ho639b98`f>;1j10hm639b98`=>;1j10h4639b98`3>;1j10h:639b98`1>;1j10n8639b98f7>;1j10n>639b98f5>;1j10n<639b98gb>;1j10oi639b98ge>;1j10hj639b98`0>;1j10:<k526c:955b<5?h36<>m;<4a<?77i27=n54>089>2g>=99201;l7:024?80e03;;:639b98240=:>k21==:4=7`;>46434<i47??2:?5f=<68916:o65fg9>2g>=nl16:o65fe9>2g>=9;i01;l7:00b?80e03;95639b9826==:>k21=>:4=7`;>45534<i47?m4:?5f=<5<m16:o6525g893de2=<01;lm:57893de2=>01;lm:2g893de2?901;lm:70893de2?;01;lm:4`893de2mn01;lm:e`893de2m301;lm:e:893de2m=01;lm:e4893de2m?01;lm:e6893de2m901;lm:e0893de2m;01;lm:e2893de2jo01;lm:bf893de2ji01;lm:b`893de2jk01;lm:b;893de2j201;lm:b5893de2j<01;lm:b7893de2l>01;lm:d1893de2l801;lm:d3893de2l:01;lm:ed893de2mo01;lm:ec893de2jl01;lm:b6893de28:m708mb;33`>;1jk0:<o526c`955g<5?hi6<>6;<4af?77027=no4>069>2gd=99<01;lm:026?80ej3;;8639bc8246=:>kh1==<4=7`a>46734<in7hi;<4af?`b34<in7hk;<4af?75k27=no4>2`9>2gd=9;301;lm:00;?80ej3;88639bc8277=:>kh1=o:4=7`a>72c34<in7<;e:?5f`<3>27=nh4;5:?5f`<3<27=nh4<e:?5f`<1;27=nh492:?5f`<1927=nh4:b:?5f`<cl27=nh4kb:?5f`<c127=nh4k8:?5f`<c?27=nh4k6:?5f`<c=27=nh4k4:?5f`<c;27=nh4k2:?5f`<c927=nh4k0:?5f`<dm27=nh4ld:?5f`<dk27=nh4lb:?5f`<di27=nh4l9:?5f`<d027=nh4l7:?5f`<d>27=nh4l5:?5f`<b<27=nh4j3:?5f`<b:27=nh4j1:?5f`<b827=nh4kf:?5f`<cm27=nh4ka:?5f`<dn27=nh4l4:?5f`<68o16:ok511f893db28:i708me;33e>;1jl0:<4526cg955><5?hn6<>8;<4aa?77>27=nh4>049>2gc=99>01;lj:020?80em3;;>639bd8245=:>ko1jk526cg9b`=:>ko1ji526cg957e<5?hn6<<n;<4aa?75127=nh4>299>2gc=9:>01;lj:011?80em3;i8639bd810a=:>ko1>9k4=7a2>10<5?i:69;4=7a2>12<5?i:6>k4=7a2>35<5?i:6;<4=7a2>37<5?i:68l4=7a2>ab<5?i:6il4=7a2>a?<5?i:6i64=7a2>a1<5?i:6i84=7a2>a3<5?i:6i:4=7a2>a5<5?i:6i<4=7a2>a7<5?i:6i>4=7a2>fc<5?i:6nj4=7a2>fe<5?i:6nl4=7a2>fg<5?i:6n74=7a2>f><5?i:6n94=7a2>f0<5?i:6n;4=7a2>`2<5?i:6h=4=7a2>`4<5?i:6h?4=7a2>`6<5?i:6ih4=7a2>ac<5?i:6io4=7a2>f`<5?i:6n:4=7a2>46a34<h=7??d:?5g4<68k16:n?511c893e628:2708l1;33<>;1k80:<:526b39550<5?i:6<>:;<4`5?77<27=o<4>029>2f7=99801;m>:023?80d93lm708l1;df?80d93lo708l1;31g>;1k80:>l526b3957?<5?i:6<<7;<4`5?74<27=o<4>339>2f7=9k>01;m>:36g?80d938?i6s|a`d94?4|5hkm6?=l;<cba?db3tyi=84?:3y>f43=::i01o?;:cg8yvg>;3:1>v3n92817f=:i081nh5rs315>5<5s4kii7<<c:?bg5<6l01v?=8:1818gen388o63nc182`2=z{;936=4={<ca0?44k27jn>4>d59~w75>2909w0om5;00g>;fj:0:h85r}o1:gg<728qC=9kn;|l0=fe=83;pD<:ja:m7<ec290:wE?;e`9~j6?dm3:1=vF>4dc8yk5>ko0;6<uG15gb?xh41m:1<7?tH06fe>{i;0n:6=4>{I37ad=zf:3o>7>51zJ20`g<ug92h>4?:0yK51cf3td85i:50;3xL42bi2we?4j::182M73mh1vb>7k6;295~N6<lk0qc=6d683>4}O9=oj7p`<9e:94?7|@8>nm6sa38f:>5<6sA;?il5rn2;ge?6=9rB:8ho4}o1:`g<728qC=9kn;|l0=ae=83;pD<:ja:m7<bc290:wE?;e`9~j6?cm3:1=vF>4dc8yk5>lo0;6<uG15gb?xh41l:1<7?tH06fe>{i;0o:6=4>{I37ad=zf:3n>7>51zJ20`g<ug92i>4?:0yK51cf3td85h:50;3xL42bi2we?4k::182M73mh1vb>7j6;295~N6<lk0qc=6e683>4}O9=oj7p`<9d:94?7|@8>nm6sa38g:>5<6sA;?il5rn2;fe?6=9rB:8ho4}o1:ag<728qC=9kn;|l0=`e=83;pD<:ja:m7<cc290:wE?;e`9~j6?bm3:1=vF>4dc8yk5>mo0;6<uG15gb?xh41o:1<7?tH06fe>{i;0l:6=4>{I37ad=zf:3m>7>51zJ20`g<ug92j>4?:0yK51cf3td85k:50;3xL42bi2we?4h::182M73mh1vb>7i6;295~N6<lk0qc=6f683>4}O9=oj7p`<9g:94?7|@8>nm6sa38d:>5<6sA;?il5rn2;ee?6=9rB:8ho4}o1:bg<728qC=9kn;|l0=ce=83;pD<:ja:m7<`c290:wE?;e`9~j6?am3:1=vF>4dc8yk5>no0;6<uG15gb?xh4i9:1<7?tH06fe>{i;h::6=4>{I37ad=zf:k;>7>51zJ20`g<ug9j<>4?:0yK51cf3td8m=:50;3xL42bi2we?l>::182M73mh1vb>o?6;295~N6<lk0qc=n0683>4}O9=oj7p`<a1:94?7|@8>nm6sa3`2:>5<6sA;?il5rn2c3e?6=9rB:8ho4}o1b4g<728qC=9kn;|l0e5e=83;pD<:ja:m7d6c290:wE?;e`9~j6g7m3:1=vF>4dc8yk5f8o0;6<uG15gb?xh4i8:1<7?tH06fe>{i;h;:6=4>{I37ad=zf:k:>7>51zJ20`g<ug9j=>4?:0yK51cf3td8m<:50;3xL42bi2we?l?::182M73mh1vb>o>6;295~N6<lk0qc=n1683>4}O9=oj7p`<a0:94?7|@8>nm6sa3`3:>5<6sA;?il5rn2c2e?6=9rB:8ho4}o1b5g<728qC=9kn;|l0e4e=83;pD<:ja:m7d7c290:wE?;e`9~j6g6m3:1=vF>4dc8yk5f9o0;6<uG15gb?xh4i;:1<7?tH06fe>{i;h8:6=4>{I37ad=zf:k9>7>51zJ20`g<ug9j>>4?:0yK51cf3td8m?:50;3xL42bi2we?l<::182M73mh1vb>o=6;295~N6<lk0qc=n2683>4}O9=oj7p`<a3:94?7|@8>nm6sa3`0:>5<6sA;?il5rn2c1e?6=9rB:8ho4}o1b6g<728qC=9kn;|l0e7e=83;pD<:ja:m7d4c290:wE?;e`9~j6g5m3:1=vF>4dc8yk5f:o0;6<uG15gb?xh4i::1<7?tH06fe>{i;h9:6=4>{I37ad=zf:k8>7>51zJ20`g<ug9j?>4?:0yK51cf3td8m>:50;3xL42bi2we?l=::182M73mh1vb>o<6;295~N6<lk0qc=n3683>4}O9=oj7p`<a2:94?7|@8>nm6sa3`1:>5<6sA;?il5rn2c0e?6=9rB:8ho4}o1b7g<728qC=9kn;|l0e6e=83;pD<:ja:m7d5c290:wE?;e`9~j6g4m3:1=vF>4dc8yk5f;o0;6<uG15gb?xh4i=:1<7?tH06fe>{i;h>:6=4>{I37ad=zf:k?>7>51zJ20`g<ug9j8>4?:0yK51cf3td8m9:50;3xL42bi2we?l:::182M73mh1vb>o;6;295~N6<lk0qc=n4683>4}O9=oj7p`<a5:94?7|@8>nm6sa3`6:>5<6sA;?il5rn2c7e?6=9rB:8ho4}o1b0g<728qC=9kn;|l0e1e=83;pD<:ja:m7d2c290:wE?;e`9~j6g3m3:1=vF>4dc8yk5f<o0;6<uG15gb?xh4i<:1<7?tH06fe>{i;h?:6=4>{I37ad=zf:k>>7>51zJ20`g<ug9j9>4?:0yK51cf3td8m8:50;3xL42bi2we?l;::182M73mh1vb>o:6;295~N6<lk0qc=n5683>4}O9=oj7p`<a4:94?7|@8>nm6sa3`7:>5<6sA;?il5rn2c6e?6=9rB:8ho4}o1b1g<728qC=9kn;|l0e0e=83;pD<:ja:m7d3c290:wE?;e`9~j6g2m3:1=vF>4dc8yk5f=o0;6<uG15gb?xh4i?:1<7?tH06fe>{i;h<:6=4>{I37ad=zf:k=>7>51zJ20`g<ug9j:>4?:0yK51cf3td8m;:50;3xL42bi2we?l8::182M73mh1vb>o96;295~N6<lk0qc=n6683>4}O9=oj7p`<a7:94?7|@8>nm6sa3`4:>5<6sA;?il5rn2c5e?6=9rB:8ho4}o1b2g<728qC=9kn;|l0e3e=83;pD<:ja:m7d0c290:wE?;e`9~j6g1m3:1=vF>4dc8yk5f>o0;6<uG15gb?xh4i>:1<7?tH06fe>{i;h=:6=4>{I37ad=zf:k<>7>51zJ20`g<ug9j;>4?:0yK51cf3td8m::50;3xL42bi2we?l9::182M73mh1vb>o86;295~N6<lk0qc=n7683>4}O9=oj7p`<a6:94?7|@8>nm6sa3`5:>5<6sA;?il5rn2c4e?6=9rB:8ho4}o1b3g<728qC=9kn;|l0e2e=83;pD<:ja:m7d1c290:wE?;e`9~j6g0m3:1=vF>4dc8yk5f?o0;6<uG15gb?xh4i1:1<7?tH06fe>{i;h2:6=4>{I37ad=zf:k3>7>51zJ20`g<ug9j4>4?:0yK51cf3td8m5:50;3xL42bi2we?l6::182M73mh1vb>o76;295~N6<lk0qc=n8683>4}O9=oj7p`<a9:94?7|@8>nm6sa3`::>5<6sA;?il5rn2c;e?6=9rB:8ho4}o1b<g<728qC=9kn;|l0e=e=83;pD<:ja:m7d>c290:wE?;e`9~j6g?m3:1=vF>4dc8yk5f0o0;6<uG15gb?xh4i0:1<7?tH06fe>{i;h3:6=4>{I37ad=zf:k2>7>51zJ20`g<ug9j5>4?:0yK51cf3td8m4:50;3xL42bi2we?l7::182M73mh1vb>o66;295~N6<lk0qc=n9683>4}O9=oj7p`<a8:94?7|@8>nm6sa3`;:>5<6sA;?il5rn2c:e?6=9rB:8ho4}o1b=g<728qC=9kn;|l0e<e=83;pD<:ja:m7d?c290:wE?;e`9~j6g>m3:1=vF>4dc8yk5f1o0;6<uG15gb?xh4ih:1<7?tH06fe>{i;hk:6=4>{I37ad=zf:kj>7>51zJ20`g<ug9jm>4?:0yK51cf3td8ml:50;3xL42bi2we?lo::182M73mh1vb>on6;295~N6<lk0qc=na683>4}O9=oj7p`<a`:94?7|@8>nm6sa3`c:>5<6sA;?il5rn2cbe?6=9rB:8ho4}o1beg<728qC=9kn;|l0ede=83;pD<:ja:m7dgc290:wE?;e`9~j6gfm3:1=vF>4dc8yk5fio0;6<uG15gb?xh4ik:1<7?tH06fe>{i;hh:6=4>{I37ad=zf:ki>7>51zJ20`g<ug9jn>4?:0yK51cf3td8mo:50;3xL42bi2we?ll::182M73mh1vb>om6;295~N6<lk0qc=nb683>4}O9=oj7p`<ac:94?7|@8>nm6sa3``:>5<6sA;?il5rn2cae?6=9rB:8ho4}o1bfg<728qC=9kn;|l0ege=83;pD<:ja:m7ddc290:wE?;e`9~j6gem3:1=vF>4dc8yk5fjo0;6<uG15gb?xh4ij:1<7?tH06fe>{i;hi:6=4>{I37ad=zf:kh>7>51zJ20`g<ug9jo>4?:0yK51cf3td8mn:50;3xL42bi2we?lm::182M73mh1vb>ol6;295~N6<lk0qc=nc683>4}O9=oj7p`<ab:94?7|@8>nm6sa3`a:>5<6sA;?il5rn2c`e?6=9rB:8ho4}o1bgg<728qC=9kn;|l0efe=83;pD<:ja:m7dec290:wE?;e`9~j6gdm3:1=vF>4dc8yk5fko0;6<uG15gb?xh4im:1<7?tH06fe>{i;hn:6=4>{I37ad=zf:ko>7>51zJ20`g<ug9jh>4?:0yK51cf3td8mi:50;3xL42bi2we?lj::182M73mh1vb>ok6;295~N6<lk0qc=nd683>4}O9=oj7p`<ae:94?7|@8>nm6sa3`f:>5<6sA;?il5rn2cge?6=9rB:8ho4}o1b`g<728qC=9kn;|l0eae=83;pD<:ja:m7dbc290:wE?;e`9~j6gcm3:1=vF>4dc8yk5flo0;6<uG15gb?xh4il:1<7?tH06fe>{i;ho:6=4>{I37ad=zf:kn>7>51zJ20`g<ug9ji>4?:0yK51cf3td8mh:50;3xL42bi2we?lk::182M73mh1vb>oj6;295~N6<lk0qc=ne683>4}O9=oj7p`<ad:94?7|@8>nm6sa3`g:>5<6sA;?il5rn2cfe?6=9rB:8ho4}o1bag<728qC=9kn;|l0e`e=83;pD<:ja:m7dcc290:wE?;e`9~j6gbm3:1=vF>4dc8yk5fmo0;6<uG15gb?xh4io:1<7?tH06fe>{i;hl:6=4>{I37ad=zf:km>7>51zJ20`g<ug9jj>4?:0yK51cf3td8mk:50;3xL42bi2we?lh::182M73mh1vb>oi6;295~N6<lk0qc=nf683>4}O9=oj7p`<ag:94?7|@8>nm6sa3`d:>5<6sA;?il5rn2cee?6=9rB:8ho4}o1bbg<728qC=9kn;|l0ece=83;pD<:ja:m7d`c290:wE?;e`9~j6gam3:1=vF>4dc8yk5fno0;6<uG15gb?xh4j9:1<7?tH06fe>{i;k::6=4>{I37ad=zf:h;>7>51zJ20`g<ug9i<>4?:0yK51cf3td8n=:50;3xL42bi2we?o>::182M73mh1vb>l?6;295~N6<lk0qc=m0683>4}O9=oj7p`<b1:94?7|@8>nm6sa3c2:>5<6sA;?il5rn2`3e?6=9rB:8ho4}o1a4g<728qC=9kn;|l0f5e=83;pD<:ja:m7g6c290:wE?;e`9~j6d7m3:1=vF>4dc8yk5e8o0;6<uG15gb?xh4j8:1<7?tH06fe>{i;k;:6=4>{I37ad=zf:h:>7>51zJ20`g<ug9i=>4?:0yK51cf3td8n<:50;3xL42bi2we?o?::182M73mh1vb>l>6;295~N6<lk0qc=m1683>4}O9=oj7p`<b0:94?7|@8>nm6sa3c3:>5<6sA;?il5rn2`2e?6=9rB:8ho4}o1a5g<728qC=9kn;|l0f4e=83;pD<:ja:m7g7c290:wE?;e`9~j6d6m3:1=vF>4dc8yk5e9o0;6<uG15gb?xh4j;:1<7?tH06fe>{i;k8:6=4>{I37ad=zf:h9>7>51zJ20`g<ug9i>>4?:0yK51cf3td8n?:50;3xL42bi2we?o<::182M73mh1vb>l=6;295~N6<lk0qc=m2683>4}O9=oj7p`<b3:94?7|@8>nm6sa3c0:>5<6sA;?il5rn2`1e?6=9rB:8ho4}o1a6g<728qC=9kn;|l0f7e=83;pD<:ja:m7g4c290:wE?;e`9~j6d5m3:1=vF>4dc8yk5e:o0;6<uG15gb?xh4j::1<7?tH06fe>{i;k9:6=4>{I37ad=zf:h8>7>51zJ20`g<ug9i?>4?:0yK51cf3td8n>:50;3xL42bi2we?o=::182M73mh1vb>l<6;295~N6<lk0qc=m3683>4}O9=oj7p`<b2:94?7|@8>nm6sa3c1:>5<6sA;?il5rn2`0e?6=9rB:8ho4}o1a7g<728qC=9kn;|l0f6e=83;pD<:ja:m7g5c290:wE?;e`9~j6d4m3:1=vF>4dc8yk5e;o0;6<uG15gb?xh4j=:1<7?tH06fe>{i;k>:6=4>{I37ad=zf:h?>7>51zJ20`g<ug9i8>4?:0yK51cf3td8n9:50;3xL42bi2we?o:::182M73mh1vb>l;6;295~N6<lk0qc=m4683>4}O9=oj7p`<b5:94?7|@8>nm6sa3c6:>5<6sA;?il5rn2`7e?6=9rB:8ho4}o1a0g<728qC=9kn;|l0f1e=83;pD<:ja:m7g2c290:wE?;e`9~j6d3m3:1=vF>4dc8yk5e<o0;6<uG15gb?xh4j<:1<7?tH06fe>{i;k?:6=4>{I37ad=zf:h>>7>51zJ20`g<ug9i9>4?:0yK51cf3td8n8:50;3xL42bi2we?o;::182M73mh1vb>l:6;295~N6<lk0qc=m5683>4}O9=oj7p`<b4:94?7|@8>nm6sa3c7:>5<6sA;?il5rn2`6e?6=9rB:8ho4}o1a1g<728qC=9kn;|l0f0e=83;pD<:ja:m7g3c290:wE?;e`9~j6d2m3:1=vF>4dc8yk5e=o0;6<uG15gb?xh4j?:1<7?tH06fe>{i;k<:6=4>{I37ad=zf:h=>7>51zJ20`g<ug9i:>4?:0yK51cf3td8n;:50;3xL42bi2we?o8::182M73mh1vb>l96;295~N6<lk0qc=m6683>4}O9=oj7p`<b7:94?7|@8>nm6sa3c4:>5<6sA;?il5rn2`5e?6=9rB:8ho4}o1a2g<728qC=9kn;|l0f3e=83;pD<:ja:m7g0c290:wE?;e`9~j6d1m3:1=vF>4dc8yk5e>o0;6<uG15gb?xh4j>:1<7?tH06fe>{i;k=:6=4>{I37ad=zf:h<>7>51zJ20`g<ug9i;>4?:0yK51cf3td8n::50;3xL42bi2we?o9::182M73mh1vb>l86;295~N6<lk0qc=m7683>4}O9=oj7p`<b6:94?7|@8>nm6sa3c5:>5<6sA;?il5rn2`4e?6=9rB:8ho4}o1a3g<728qC=9kn;|l0f2e=83;pD<:ja:m7g1c290:wE?;e`9~j6d0m3:1=vF>4dc8yk5e?o0;6<uG15gb?xh4j1:1<7?tH06fe>{i;k2:6=4>{I37ad=zf:h3>7>51zJ20`g<ug9i4>4?:0yK51cf3td8n5:50;3xL42bi2we?o6::182M73mh1vb>l76;295~N6<lk0qc=m8683>4}O9=oj7p`<b9:94?7|@8>nm6sa3c::>5<6sA;?il5rn2`;e?6=9rB:8ho4}o1a<g<728qC=9kn;|l0f=e=83;pD<:ja:m7g>c290:wE?;e`9~j6d?m3:1=vF>4dc8yk5e0o0;6<uG15gb?xh4j0:1<7?tH06fe>{i;k3:6=4>{I37ad=zf:h2>7>51zJ20`g<ug9i5>4?:0yK51cf3td8n4:50;3xL42bi2we?o7::182M73mh1vb>l66;295~N6<lk0qc=m9683>4}O9=oj7p`<b8:94?7|@8>nm6sa3c;:>5<6sA;?il5rn2`:e?6=9rB:8ho4}o1a=g<728qC=9kn;|l0f<e=83;pD<:ja:m7g?c290:wE?;e`9~j6d>m3:1=vF>4dc8yk5e1o0;6<uG15gb?xh4jh:1<7?tH06fe>{i;kk:6=4>{I37ad=zf:hj>7>51zJ20`g<ug9im>4?:0yK51cf3td8nl:50;3xL42bi2we?oo::182M73mh1vb>ln6;295~N6<lk0qc=ma683>4}O9=oj7p`<b`:94?7|@8>nm6sa3cc:>5<6sA;?il5rn2`be?6=9rB:8ho4}o1aeg<728qC=9kn;|l0fde=83;pD<:ja:m7ggc290:wE?;e`9~j6dfm3:1=vF>4dc8yk5eio0;6<uG15gb?xh4jk:1<7?tH06fe>{i;kh:6=4>{I37ad=zf:hi>7>51zJ20`g<ug9in>4?:0yK51cf3td8no:50;3xL42bi2we?ol::182M73mh1vb>lm6;295~N6<lk0qc=mb683>4}O9=oj7p`<bc:94?7|@8>nm6sa3c`:>5<6sA;?il5rn2`ae?6=9rB:8ho4}o1afg<728qC=9kn;|l0fge=83;pD<:ja:m7gdc290:wE?;e`9~j6dem3:1=vF>4dc8yk5ejo0;6<uG15gb?xh4jj:1<7?tH06fe>{i;ki:6=4>{I37ad=zf:hh>7>51zJ20`g<ug9io>4?:0yK51cf3td8nn:50;3xL42bi2we?om::182M73mh1vb>ll6;295~N6<lk0qc=mc683>4}O9=oj7p`<bb:94?7|@8>nm6sa3ca:>5<6sA;?il5rn2``e?6=9rB:8ho4}o1agg<728qC=9kn;|l0ffe=83;pD<:ja:m7gec290:wE?;e`9~j6ddm3:1=vF>4dc8yk5eko0;6<uG15gb?xh4jm:1<7?tH06fe>{i;kn:6=4>{I37ad=zf:ho>7>51zJ20`g<ug9ih>4?:0yK51cf3td8ni:50;3xL42bi2we?oj::182M73mh1vb>lk6;295~N6<lk0qc=md683>4}O9=oj7p`<be:94?7|@8>nm6sa3cf:>5<6sA;?il5rn2`ge?6=9rB:8ho4}o1a`g<728qC=9kn;|l0fae=83;pD<:ja:m7gbc290:wE?;e`9~j6dcm3:1=vF>4dc8yk5elo0;6<uG15gb?xh4jl:1<7?tH06fe>{i;ko:6=4>{I37ad=zf:hn>7>51zJ20`g<ug9ii>4?:0yK51cf3td8nh:50;3xL42bi2we?ok::182M73mh1vb>lj6;295~N6<lk0qc=me683>4}O9=oj7p`<bd:94?7|@8>nm6sa3cg:>5<6sA;?il5rn2`fe?6=9rB:8ho4}o1aag<728qC=9kn;|l0f`e=83;pD<:ja:m7gcc290:wE?;e`9~j6dbm3:1=vF>4dc8yk5emo0;6<uG15gb?xh4jo:1<7?tH06fe>{i;kl:6=4>{I37ad=zf:hm>7>51zJ20`g<ug9ij>4?:0yK51cf3td8nk:50;3xL42bi2we?oh::182M73mh1vb>li6;295~N6<lk0qc=mf683>4}O9=oj7p`<bg:94?7|@8>nm6sa3cd:>5<6sA;?il5rn2`ee?6=9rB:8ho4}o1abg<728qC=9kn;|l0fce=83;pD<:ja:m7g`c290:wE?;e`9~j6dam3:1=vF>4dc8yk5eno0;6<uG15gb?xh4k9:1<7?tH06fe>{i;j::6=4>{I37ad=zf:i;>7>51zJ20`g<ug9h<>4?:0yK51cf3td8o=:50;3xL42bi2we?n>::182M73mh1vb>m?6;295~N6<lk0qc=l0683>4}O9=oj7p`<c1:94?7|@8>nm6sa3b2:>5<6sA;?il5rn2a3e?6=9rB:8ho4}o1`4g<728qC=9kn;|l0g5e=83;pD<:ja:m7f6c290:wE?;e`9~j6e7m3:1=vF>4dc8yk5d8o0;6<uG15gb?xh4k8:1<7?tH06fe>{i;j;:6=4>{I37ad=zf:i:>7>51zJ20`g<ug9h=>4?:0yK51cf3td8o<:50;3xL42bi2we?n?::182M73mh1vb>m>6;295~N6<lk0qc=l1683>4}O9=oj7p`<c0:94?7|@8>nm6sa3b3:>5<6sA;?il5rn2a2e?6=9rB:8ho4}o1`5g<728qC=9kn;|l0g4e=83;pD<:ja:m7f7c290:wE?;e`9~j6e6m3:1=vF>4dc8yk5d9o0;6<uG15gb?xh4k;:1<7?tH06fe>{i;j8:6=4>{I37ad=zf:i9>7>51zJ20`g<ug9h>>4?:0yK51cf3td8o?:50;3xL42bi2we?n<::182M73mh1vb>m=6;295~N6<lk0qc=l2683>4}O9=oj7p`<c3:94?7|@8>nm6sa3b0:>5<6sA;?il5rn2a1e?6=9rB:8ho4}o1`6g<728qC=9kn;|l0g7e=83;pD<:ja:m7f4c290:wE?;e`9~j6e5m3:1=vF>4dc8yk5d:o0;6<uG15gb?xh4k::1<7?tH06fe>{i;j9:6=4>{I37ad=zf:i8>7>51zJ20`g<ug9h?>4?:0yK51cf3td8o>:50;3xL42bi2we?n=::182M73mh1vb>m<6;295~N6<lk0qc=l3683>4}O9=oj7p`<c2:94?7|@8>nm6sa3b1:>5<6sA;?il5rn2a0e?6=9rB:8ho4}o1`7g<728qC=9kn;|l0g6e=83;pD<:ja:m7f5c290:wE?;e`9~j6e4m3:1=vF>4dc8yk5d;o0;6<uG15gb?xh4k=:1<7?tH06fe>{i;j>:6=4>{I37ad=zf:i?>7>51zJ20`g<ug9h8>4?:0yK51cf3td8o9:50;3xL42bi2we?n:::182M73mh1vb>m;6;295~N6<lk0qc=l4683>4}O9=oj7p`<c5:94?7|@8>nm6sa3b6:>5<6sA;?il5rn2a7e?6=9rB:8ho4}o1`0g<728qC=9kn;|l0g1e=83;pD<:ja:m7f2c290:wE?;e`9~j6e3m3:1=vF>4dc8yk5d<o0;6<uG15gb?xh4k<:1<7?tH06fe>{i;j?:6=4>{I37ad=zf:i>>7>51zJ20`g<ug9h9>4?:0yK51cf3td8o8:50;3xL42bi2we?n;::182M73mh1vb>m:6;295~N6<lk0qc=l5683>4}O9=oj7p`<c4:94?7|@8>nm6sa3b7:>5<6sA;?il5rn2a6e?6=9rB:8ho4}o1`1g<728qC=9kn;|l0g0e=83;pD<:ja:m7f3c290:wE?;e`9~j6e2m3:1=vF>4dc8yk5d=o0;6<uG15gb?xh4k?:1<7?tH06fe>{i;j<:6=4>{I37ad=zf:i=>7>51zJ20`g<ug9h:>4?:0yK51cf3td8o;:50;3xL42bi2we?n8::182M73mh1vb>m96;295~N6<lk0qc=l6683>4}O9=oj7p`<c7:94?7|@8>nm6sa3b4:>5<6sA;?il5rn2a5e?6=9rB:8ho4}o1`2g<728qC=9kn;|l0g3e=83;pD<:ja:m7f0c290:wE?;e`9~j6e1m3:1=vF>4dc8yk5d>o0;6<uG15gb?xh4k>:1<7?tH06fe>{i;j=:6=4>{I37ad=zf:i<>7>51zJ20`g<ug9h;>4?:0yK51cf3td8o::50;3xL42bi2we?n9::182M73mh1vb>m86;295~N6<lk0qc=l7683>4}O9=oj7p`<c6:94?7|@8>nm6sa3b5:>5<6sA;?il5rn2a4e?6=9rB:8ho4}o1`3g<728qC=9kn;|l0g2e=83;pD<:ja:m7f1c290:wE?;e`9~j6e0m3:1=vF>4dc8yk5d?o0;6<uG15gb?xh4k1:1<7?tH06fe>{i;j2:6=4>{I37ad=zf:i3>7>51zJ20`g<ug9h4>4?:0yK51cf3td8o5:50;3xL42bi2we?n6::182M73mh1vb>m76;295~N6<lk0qc=l8683>4}O9=oj7p`<c9:94?7|@8>nm6sa3b::>5<6sA;?il5rn2a;e?6=9rB:8ho4}o1`<g<728qC=9kn;|l0g=e=83;pD<:ja:m7f>c290:wE?;e`9~j6e?m3:1=vF>4dc8yk5d0o0;6<uG15gb?xh4k0:1<7?tH06fe>{i;j3:6=4>{I37ad=zf:i2>7>51zJ20`g<ug9h5>4?:0yK51cf3td8o4:50;3xL42bi2we?n7::182M73mh1vb>m66;295~N6<lk0qc=l9683>4}O9=oj7p`<c8:94?7|@8>nm6sa3b;:>5<6sA;?il5rn2a:e?6=9rB:8ho4}o1`=g<728qC=9kn;|l0g<e=83;pD<:ja:m7f?c290:wE?;e`9~j6e>m3:1=vF>4dc8yk5d1o0;6<uG15gb?xh4kh:1<7?tH06fe>{i;jk:6=4>{I37ad=zf:ij>7>51zJ20`g<ug9hm>4?:0yK51cf3td8ol:50;3xL42bi2we?no::182M73mh1vb>mn6;295~N6<lk0qc=la683>4}O9=oj7p`<c`:94?7|@8>nm6sa3bc:>5<6sA;?il5rn2abe?6=9rB:8ho4}o1`eg<728qC=9kn;|l0gde=83;pD<:ja:m7fgc290:wE?;e`9~j6efm3:1=vF>4dc8yk5dio0;6<uG15gb?xh4kk:1<7?tH06fe>{i;jh:6=4>{I37ad=zf:ii>7>51zJ20`g<ug9hn>4?:0yK51cf3td8oo:50;3xL42bi2we?nl::182M73mh1vb>mm6;295~N6<lk0qc=lb683>4}O9=oj7p`<cc:94?7|@8>nm6sa3b`:>5<6sA;?il5rn2aae?6=9rB:8ho4}o1`fg<728qC=9kn;|l0gge=83;pD<:ja:m7fdc290:wE?;e`9~j6eem3:1=vF>4dc8yk5djo0;6<uG15gb?xh4kj:1<7?tH06fe>{i;ji:6=4>{I37ad=zf:ih>7>51zJ20`g<ug9ho>4?:0yK51cf3td8on:50;3xL42bi2we?nm::182M73mh1vb>ml6;295~N6<lk0qc=lc683>4}O9=oj7p`<cb:94?7|@8>nm6sa3ba:>5<6sA;?il5rn2a`e?6=9rB:8ho4}o1`gg<728qC=9kn;|l0gfe=83;pD<:ja:m7fec290:wE?;e`9~j6edm3:1=vF>4dc8yk5dko0;6<uG15gb?xh4km:1<7?tH06fe>{i;jn:6=4>{I37ad=zf:io>7>51zJ20`g<ug9hh>4?:0yK51cf3td8oi:50;3xL42bi2we?nj::182M73mh1vb>mk6;295~N6<lk0qc=ld683>4}O9=oj7p`<ce:94?7|@8>nm6sa3bf:>5<6sA;?il5rn2age?6=9rB:8ho4}o1``g<728qC=9kn;|l0gae=83;pD<:ja:m7fbc290:wE?;e`9~j6ecm3:1=vF>4dc8yk5dlo0;6<uG15gb?xh4kl:1<7?tH06fe>{i;jo:6=4>{I37ad=zf:in>7>51zJ20`g<ug9hi>4?:0yK51cf3td8oh:50;3xL42bi2we?nk::182M73mh1vb>mj6;295~N6<lk0qc=le683>4}O9=oj7p`<cd:94?7|@8>nm6sa3bg:>5<6sA;?il5rn2afe?6=9rB:8ho4}o1`ag<728qC=9kn;|l0g`e=83;pD<:ja:m7fcc290:wE?;e`9~j6ebm3:1=vF>4dc8yk5dmo0;6<uG15gb?xh4ko:1<7?tH06fe>{i;jl:6=4>{I37ad=zf:im>7>51zJ20`g<ug9hj>4?:0yK51cf3td8ok:50;3xL42bi2we?nh::182M73mh1vb>mi6;295~N6<lk0qc=lf683>4}O9=oj7p`<cg:94?7|@8>nm6sa3bd:>5<6sA;?il5rn2aee?6=9rB:8ho4}o1`bg<728qC=9kn;|l0gce=83;pD<:ja:m7f`c290:wE?;e`9~j6eam3:1=vF>4dc8yk5dno0;6<uG15gb?xh4l9:1<7?tH06fe>{i;m::6=4>{I37ad=zf:n;>7>51zJ20`g<ug9o<>4?:0yK51cf3td8h=:50;3xL42bi2we?i>::182M73mh1vb>j?6;295~N6<lk0qc=k0683>4}O9=oj7p`<d1:94?7|@8>nm6sa3e2:>5<6sA;?il5rn2f3e?6=9rB:8ho4}o1g4g<728qC=9kn;|l0`5e=83;pD<:ja:m7a6c290:wE?;e`9~j6b7m3:1=vF>4dc8yk5c8o0;6<uG15gb?xh4l8:1<7?tH06fe>{i;m;:6=4>{I37ad=zf:n:>7>51zJ20`g<ug9o=>4?:0yK51cf3td8h<:50;3xL42bi2we?i?::182M73mh1vb>j>6;295~N6<lk0qc=k1683>4}O9=oj7p`<d0:94?7|@8>nm6sa3e3:>5<6sA;?il5rn2f2e?6=9rB:8ho4}o1g5g<728qC=9kn;|l0`4e=83;pD<:ja:m7a7c290:wE?;e`9~j6b6m3:1=vF>4dc8yk5c9o0;6<uG15gb?xh4l;:1<7?tH06fe>{i;m8:6=4>{I37ad=zf:n9>7>51zJ20`g<ug9o>>4?:0yK51cf3td8h?:50;3xL42bi2we?i<::182M73mh1vb>j=6;295~N6<lk0qc=k2683>4}O9=oj7p`<d3:94?7|@8>nm6sa3e0:>5<6sA;?il5rn2f1e?6=9rB:8ho4}o1g6g<728qC=9kn;|l0`7e=83;pD<:ja:m7a4c290:wE?;e`9~j6b5m3:1=vF>4dc8yk5c:o0;6<uG15gb?xh4l::1<7?tH06fe>{i;m9:6=4>{I37ad=zf:n8>7>51zJ20`g<ug9o?>4?:0yK51cf3td8h>:50;3xL42bi2we?i=::182M73mh1vb>j<6;295~N6<lk0qc=k3683>4}O9=oj7p`<d2:94?7|@8>nm6sa3e1:>5<6sA;?il5rn2f0e?6=9rB:8ho4}o1g7g<728qC=9kn;|l0`6e=83;pD<:ja:m7a5c290:wE?;e`9~j6b4m3:1=vF>4dc8yk5c;o0;6<uG15gb?xh4l=:1<7?tH06fe>{i;m>:6=4>{I37ad=zf:n?>7>51zJ20`g<ug9o8>4?:0yK51cf3td8h9:50;3xL42bi2we?i:::182M73mh1vb>j;6;295~N6<lk0qc=k4683>4}O9=oj7p`<d5:94?7|@8>nm6sa3e6:>5<6sA;?il5rn2f7e?6=9rB:8ho4}o1g0g<728qC=9kn;|l0`1e=83;pD<:ja:m7a2c290:wE?;e`9~j6b3m3:1=vF>4dc8yk5c<o0;6<uG15gb?xh4l<:1<7?tH06fe>{i;m?:6=4>{I37ad=zf:n>>7>51zJ20`g<ug9o9>4?:0yK51cf3td8h8:50;3xL42bi2we?i;::182M73mh1vb>j:6;295~N6<lk0qc=k5683>4}O9=oj7p`<d4:94?7|@8>nm6sa3e7:>5<6sA;?il5rn2f6e?6=9rB:8ho4}o1g1g<728qC=9kn;|l0`0e=83;pD<:ja:m7a3c290:wE?;e`9~j6b2m3:1=vF>4dc8yk5c=o0;6<uG15gb?xh4l?:1<7?tH06fe>{i;m<:6=4>{I37ad=zf:n=>7>51zJ20`g<ug9o:>4?:0yK51cf3td8h;:50;3xL42bi2we?i8::182M73mh1vb>j96;295~N6<lk0qc=k6683>4}O9=oj7p`<d7:94?7|@8>nm6sa3e4:>5<6sA;?il5rn2f5e?6=9rB:8ho4}o1g2g<728qC=9kn;|l0`3e=83;pD<:ja:m7a0c290:wE?;e`9~j6b1m3:1=vF>4dc8yk5c>o0;6<uG15gb?xh4l>:1<7?tH06fe>{i;m=:6=4>{I37ad=zf:n<>7>51zJ20`g<ug9o;>4?:0yK51cf3td8h::50;3xL42bi2we?i9::182M73mh1vb>j86;295~N6<lk0qc=k7683>4}O9=oj7p`<d6:94?7|@8>nm6sa3e5:>5<6sA;?il5rn2f4e?6=9rB:8ho4}o1g3g<728qC=9kn;|l0`2e=83;pD<:ja:m7a1c290:wE?;e`9~j6b0m3:1=vF>4dc8yk5c?o0;6<uG15gb?xh4l1:1<7?tH06fe>{i;m2:6=4>{I37ad=zf:n3>7>51zJ20`g<ug9o4>4?:0yK51cf3td8h5:50;3xL42bi2we?i6::182M73mh1vb>j76;295~N6<lk0qc=k8683>4}O9=oj7p`<d9:94?7|@8>nm6sa3e::>5<6sA;?il5rn2f;e?6=9rB:8ho4}o1g<g<728qC=9kn;|l0`=e=83;pD<:ja:m7a>c290:wE?;e`9~j6b?m3:1=vF>4dc8yk5c0o0;6<uG15gb?xh4l0:1<7?tH06fe>{i;m3:6=4>{I37ad=zf:n2>7>51zJ20`g<ug9o5>4?:0yK51cf3td8h4:50;3xL42bi2we?i7::182M73mh1vb>j66;295~N6<lk0qc=k9683>4}O9=oj7p`<d8:94?7|@8>nm6sa3e;:>5<6sA;?il5rn2f:e?6=9rB:8ho4}o1g=g<728qC=9kn;|l0`<e=83;pD<:ja:m7a?c290:wE?;e`9~j6b>m3:1=vF>4dc8yk5c1o0;6<uG15gb?xh4lh:1<7?tH06fe>{i;mk:6=4>{I37ad=zf:nj>7>51zJ20`g<ug9om>4?:0yK51cf3td8hl:50;3xL42bi2we?io::182M73mh1vb>jn6;295~N6<lk0qc=ka683>4}O9=oj7p`<d`:94?7|@8>nm6sa3ec:>5<6sA;?il5rn2fbe?6=9rB:8ho4}o1geg<728qC=9kn;|l0`de=83;pD<:ja:m7agc290:wE?;e`9~j6bfm3:1=vF>4dc8yk5cio0;6<uG15gb?xh4lk:1<7?tH06fe>{i;mh:6=4>{I37ad=zf:ni>7>51zJ20`g<ug9on>4?:0yK51cf3td8ho:50;3xL42bi2we?il::182M73mh1vb>jm6;295~N6<lk0qc=kb683>4}O9=oj7p`<dc:94?7|@8>nm6sa3e`:>5<6sA;?il5rn2fae?6=9rB:8ho4}o1gfg<728qC=9kn;|l0`ge=83;pD<:ja:m7adc290:wE?;e`9~j6bem3:1=vF>4dc8yk5cjo0;6<uG15gb?xh4lj:1<7?tH06fe>{i;mi:6=4>{I37ad=zf:nh>7>51zJ20`g<ug9oo>4?:0yK51cf3td8hn:50;3xL42bi2we?im::182M73mh1vb>jl6;295~N6<lk0qc=kc683>4}O9=oj7p`<db:94?7|@8>nm6sa3ea:>5<6sA;?il5rn2f`e?6=9rB:8ho4}o1ggg<728qC=9kn;|l0`fe=83;pD<:ja:m7aec290:wE?;e`9~j6bdm3:1=vF>4dc8yk5cko0;6<uG15gb?xh4lm:1<7?tH06fe>{i;mn:6=4>{I37ad=zf:no>7>51zJ20`g<ug9oh>4?:0yK51cf3td8hi:50;3xL42bi2we?ij::182M73mh1vb>jk6;295~N6<lk0qc=kd683>4}O9=oj7p`<de:94?7|@8>nm6sa3ef:>5<6sA;?il5rn2fge?6=9rB:8ho4}o1g`g<728qC=9kn;|l0`ae=83;pD<:ja:m7abc290:wE?;e`9~j6bcm3:1=vF>4dc8yk5clo0;6<uG15gb?xh4ll:1<7?tH06fe>{i;mo:6=4>{I37ad=zf:nn>7>51zJ20`g<ug9oi>4?:0yK51cf3td8hh:50;3xL42bi2we?ik::182M73mh1vb>jj6;295~N6<lk0qc=ke683>4}O9=oj7p`<dd:94?7|@8>nm6sa3eg:>5<6sA;?il5rn2ffe?6=9rB:8ho4}o1gag<728qC=9kn;|l0``e=83;pD<:ja:m7acc290:wE?;e`9~j6bbm3:1=vF>4dc8yk5cmo0;6<uG15gb?xh4lo:1<7?tH06fe>{i;ml:6=4>{I37ad=zf:nm>7>51zJ20`g<ug9oj>4?:0yK51cf3td8hk:50;3xL42bi2we?ih::182M73mh1vb>ji6;295~N6<lk0qc=kf683>4}O9=oj7p`<dg:94?7|@8>nm6sa3ed:>5<6sA;?il5rn2fee?6=9rB:8ho4}o1gbg<728qC=9kn;|l0`ce=83;pD<:ja:m7a`c290:wE?;e`9~j6bam3:1=vF>4dc8yk5cno0;6<uG15gb?xh4m9:1<7?tH06fe>{i;l::6=4>{I37ad=zf:o;>7>51zJ20`g<ug9n<>4?:0yK51cf3td8i=:50;3xL42bi2we?h>::182M73mh1vb>k?6;295~N6<lk0qc=j0683>4}O9=oj7p`<e1:94?7|@8>nm6sa3d2:>5<6sA;?il5rn2g3e?6=9rB:8ho4}o1f4g<728qC=9kn;|l0a5e=83;pD<:ja:m7`6c290:wE?;e`9~j6c7m3:1=vF>4dc8yk5b8o0;6<uG15gb?xh4m8:1<7?tH06fe>{i;l;:6=4>{I37ad=zf:o:>7>51zJ20`g<ug9n=>4?:0yK51cf3td8i<:50;3xL42bi2we?h?::182M73mh1vb>k>6;295~N6<lk0qc=j1683>4}O9=oj7p`<e0:94?7|@8>nm6sa3d3:>5<6sA;?il5rn2g2e?6=9rB:8ho4}o1f5g<728qC=9kn;|l0a4e=83;pD<:ja:m7`7c290:wE?;e`9~j6c6m3:1=vF>4dc8yk5b9o0;6<uG15gb?xh4m;:1<7?tH06fe>{i;l8:6=4>{I37ad=zf:o9>7>51zJ20`g<ug9n>>4?:0yK51cf3td8i?:50;3xL42bi2we?h<::182M73mh1vb>k=6;295~N6<lk0qc=j2683>4}O9=oj7p`<e3:94?7|@8>nm6sa3d0:>5<6sA;?il5rn2g1e?6=9rB:8ho4}o1f6g<728qC=9kn;|l0a7e=83;pD<:ja:m7`4c290:wE?;e`9~j6c5m3:1=vF>4dc8yk5b:o0;6<uG15gb?xh4m::1<7?tH06fe>{i;l9:6=4>{I37ad=zf:o8>7>51zJ20`g<ug9n?>4?:0yK51cf3td8i>:50;3xL42bi2we?h=::182M73mh1vb>k<6;295~N6<lk0qc=j3683>4}O9=oj7p`<e2:94?7|@8>nm6sa3d1:>5<6sA;?il5rn2g0e?6=9rB:8ho4}o1f7g<728qC=9kn;|l0a6e=83;pD<:ja:m7`5c290:wE?;e`9~j6c4m3:1=vF>4dc8yk5b;o0;6<uG15gb?xh4m=:1<7?tH06fe>{i;l>:6=4>{I37ad=zf:o?>7>51zJ20`g<ug9n8>4?:0yK51cf3td8i9:50;3xL42bi2we?h:::182M73mh1vb>k;6;295~N6<lk0qc=j4683>4}O9=oj7p`<e5:94?7|@8>nm6sa3d6:>5<6sA;?il5rn2g7e?6=9rB:8ho4}o1f0g<728qC=9kn;|l0a1e=83;pD<:ja:m7`2c290:wE?;e`9~j6c3m3:1=vF>4dc8yk5b<o0;6<uG15gb?xh4m<:1<7?tH06fe>{i;l?:6=4>{I37ad=zf:o>>7>51zJ20`g<ug9n9>4?:0yK51cf3td8i8:50;3xL42bi2we?h;::182M73mh1vb>k:6;295~N6<lk0qc=j5683>4}O9=oj7p`<e4:94?7|@8>nm6sa3d7:>5<6sA;?il5rn2g6e?6=9rB:8ho4}o1f1g<728qC=9kn;|l0a0e=83;pD<:ja:m7`3c290:wE?;e`9~j6c2m3:1=vF>4dc8yk5b=o0;6<uG15gb?xh4m?:1<7?tH06fe>{i;l<:6=4>{I37ad=zf:o=>7>51zJ20`g<ug9n:>4?:0yK51cf3td8i;:50;3xL42bi2we?h8::182M73mh1vb>k96;295~N6<lk0qc=j6683>4}O9=oj7p`<e7:94?7|@8>nm6sa3d4:>5<6sA;?il5rn2g5e?6=9rB:8ho4}o1f2g<728qC=9kn;|l0a3e=83;pD<:ja:m7`0c290:wE?;e`9~j6c1m3:1=vF>4dc8yk5b>o0;6<uG15gb?xh4m>:1<7?tH06fe>{i;l=:6=4>{I37ad=zf:o<>7>51zJ20`g<ug9n;>4?:0yK51cf3td8i::50;3xL42bi2we?h9::182M73mh1vb>k86;295~N6<lk0qc=j7683>4}O9=oj7p`<e6:94?7|@8>nm6sa3d5:>5<6sA;?il5rn2g4e?6=9rB:8ho4}o1f3g<728qC=9kn;|l0a2e=83;pD<:ja:m7`1c290:wE?;e`9~j6c0m3:1=vF>4dc8yk5b?o0;6<uG15gb?xh4m1:1<7?tH06fe>{i;l2:6=4>{I37ad=zf:o3>7>51zJ20`g<ug9n4>4?:0yK51cf3td8i5:50;3xL42bi2we?h6::182M73mh1vb>k76;295~N6<lk0qc=j8683>4}O9=oj7p`<e9:94?7|@8>nm6sa3d::>5<6sA;?il5rn2g;e?6=9rB:8ho4}o1f<g<728qC=9kn;|l0a=e=83;pD<:ja:m7`>c290:wE?;e`9~j6c?m3:1=vF>4dc8yk5b0o0;6<uG15gb?xh4m0:1<7?tH06fe>{i;l3:6=4>{I37ad=zf:o2>7>51zJ20`g<ug9n5>4?:0yK51cf3td8i4:50;3xL42bi2we?h7::182M73mh1vb>k66;295~N6<lk0qc=j9683>4}O9=oj7p`<e8:94?7|@8>nm6sa3d;:>5<6sA;?il5rn2g:e?6=9rB:8ho4}o1f=g<728qC=9kn;|l0a<e=83;pD<:ja:m7`?c290:wE?;e`9~j6c>m3:1=vF>4dc8yk5b1o0;6<uG15gb?xh4mh:1<7?tH06fe>{i;lk:6=4>{I37ad=zf:oj>7>51zJ20`g<ug9nm>4?:0yK51cf3td8il:50;3xL42bi2we?ho::182M73mh1vb>kn6;295~N6<lk0qc=ja683>4}O9=oj7p`<e`:94?7|@8>nm6sa3dc:>5<6sA;?il5rn2gbe?6=9rB:8ho4}o1feg<728qC=9kn;|l0ade=83;pD<:ja:m7`gc290:wE?;e`9~j6cfm3:1=vF>4dc8yk5bio0;6<uG15gb?xh4mk:1<7?tH06fe>{i;lh:6=4>{I37ad=zf:oi>7>51zJ20`g<ug9nn>4?:0yK51cf3td8io:50;3xL42bi2we?hl::182M73mh1vb>km6;295~N6<lk0qc=jb683>4}O9=oj7p`<ec:94?7|@8>nm6sa3d`:>5<6sA;?il5rn2gae?6=9rB:8ho4}o1ffg<728qC=9kn;|l0age=83;pD<:ja:m7`dc290:wE?;e`9~j6cem3:1=vF>4dc8yk5bjo0;6<uG15gb?xh4mj:1<7?tH06fe>{i;li:6=4>{I37ad=zf:oh>7>51zJ20`g<ug9no>4?:0yK51cf3td8in:50;3xL42bi2we?hm::182M73mh1vb>kl6;295~N6<lk0qc=jc683>4}O9=oj7p`<eb:94?7|@8>nm6sa3da:>5<6sA;?il5rn2g`e?6=9rB:8ho4}o1fgg<728qC=9kn;|l0afe=83;pD<:ja:m7`ec290:wE?;e`9~j6cdm3:1=vF>4dc8yk5bko0;6<uG15gb?xh4mm:1<7?tH06fe>{i;ln:6=4>{I37ad=zf:oo>7>51zJ20`g<ug9nh>4?:0yK51cf3td8ii:50;3xL42bi2we?hj::182M73mh1vb>kk6;295~N6<lk0qc=jd683>4}O9=oj7p`<ee:94?7|@8>nm6sa3df:>5<6sA;?il5rn2gge?6=9rB:8ho4}o1f`g<728qC=9kn;|l0aae=83;pD<:ja:m7`bc290:wE?;e`9~j6ccm3:1=vF>4dc8yk5blo0;6<uG15gb?xh4ml:1<7?tH06fe>{i;lo:6=4>{I37ad=zf:on>7>51zJ20`g<ug9ni>4?:0yK51cf3td8ih:50;3xL42bi2we?hk::182M73mh1vb>kj6;295~N6<lk0qc=je683>4}O9=oj7p`<ed:94?7|@8>nm6sa3dg:>5<6sA;?il5rn2gfe?6=9rB:8ho4}o1fag<728qC=9kn;|l0a`e=83;pD<:ja:m7`cc290:wE?;e`9~j6cbm3:1=vF>4dc8yk5bmo0;6<uG15gb?xh4mo:1<7?tH06fe>{i;ll:6=4>{I37ad=zf:om>7>51zJ20`g<ug9nj>4?:0yK51cf3td8ik:50;3xL42bi2we?hh::182M73mh1vb>ki6;295~N6<lk0qc=jf683>4}O9=oj7p`<eg:94?7|@8>nm6sa3dd:>5<6sA;?il5rn2gee?6=9rB:8ho4}o1fbg<728qC=9kn;|l0ace=83;pD<:ja:m7``c290:wE?;e`9~j6cam3:1=vF>4dc8yk5bno0;6<uG15gb?xh4n9:1<7?tH06fe>{i;o::6=4>{I37ad=zf:l;>7>51zJ20`g<ug9m<>4?:0yK51cf3td8j=:50;3xL42bi2we?k>::182M73mh1vb>h?6;295~N6<lk0qc=i0683>4}O9=oj7p`<f1:94?7|@8>nm6sa3g2:>5<6sA;?il5rn2d3e?6=9rB:8ho4}o1e4g<728qC=9kn;|l0b5e=83;pD<:ja:m7c6c290:wE?;e`9~j6`7m3:1=vF>4dc8yk5a8o0;6<uG15gb?xh4n8:1<7?tH06fe>{i;o;:6=4>{I37ad=zf:l:>7>51zJ20`g<ug9m=>4?:0yK51cf3td8j<:50;3xL42bi2we?k?::182M73mh1vb>h>6;295~N6<lk0qc=i1683>4}O9=oj7p`<f0:94?7|@8>nm6sa3g3:>5<6sA;?il5rn2d2e?6=9rB:8ho4}o1e5g<728qC=9kn;|l0b4e=83;pD<:ja:m7c7c290:wE?;e`9~j6`6m3:1=vF>4dc8yk5a9o0;6<uG15gb?xh4n;:1<7?tH06fe>{i;o8:6=4>{I37ad=zf:l9>7>51zJ20`g<ug9m>>4?:0yK51cf3td8j?:50;3xL42bi2we?k<::182M73mh1vb>h=6;295~N6<lk0qc=i2683>4}O9=oj7p`<f3:94?7|@8>nm6sa3g0:>5<6sA;?il5rn2d1e?6=9rB:8ho4}o1e6g<728qC=9kn;|l0b7e=83;pD<:ja:m7c4c290:wE?;e`9~j6`5m3:1=vF>4dc8yk5a:o0;6<uG15gb?xh4n::1<7?tH06fe>{i;o9:6=4>{I37ad=zf:l8>7>51zJ20`g<ug9m?>4?:0yK51cf3td8j>:50;3xL42bi2we?k=::182M73mh1vb>h<6;295~N6<lk0qc=i3683>4}O9=oj7p`<f2:94?7|@8>nm6sa3g1:>5<6sA;?il5rn2d0e?6=9rB:8ho4}o1e7g<728qC=9kn;|l0b6e=83;pD<:ja:m7c5c290:wE?;e`9~j6`4m3:1=vF>4dc8yk5a;o0;6<uG15gb?xh4n=:1<7?tH06fe>{i;o>:6=4>{I37ad=zf:l?>7>51zJ20`g<ug9m8>4?:0yK51cf3td8j9:50;3xL42bi2we?k:::182M73mh1vb>h;6;295~N6<lk0qc=i4683>4}O9=oj7p`<f5:94?7|@8>nm6sa3g6:>5<6sA;?il5rn2d7e?6=9rB:8ho4}o1e0g<728qC=9kn;|l0b1e=83;pD<:ja:m7c2c290:wE?;e`9~j6`3m3:1=vF>4dc8yk5a<o0;6<uG15gb?xh4n<:1<7?tH06fe>{i;o?:6=4>{I37ad=zf:l>>7>51zJ20`g<ug9m9>4?:0yK51cf3td8j8:50;3xL42bi2we?k;::182M73mh1vb>h:6;295~N6<lk0qc=i5683>4}O9=oj7p`<f4:94?7|@8>nm6sa3g7:>5<6sA;?il5rn2d6e?6=9rB:8ho4}o1e1g<728qC=9kn;|l0b0e=83;pD<:ja:m7c3c290:wE?;e`9~j6`2m3:1=vF>4dc8yk5a=o0;6<uG15gb?xh4n?:1<7?tH06fe>{i;o<:6=4>{I37ad=zf:l=>7>51zJ20`g<ug9m:>4?:0yK51cf3td8j;:50;3xL42bi2we?k8::182M73mh1vb>h96;295~N6<lk0qc=i6683>4}O9=oj7p`<f7:94?7|@8>nm6sa3g4:>5<6sA;?il5rn2d5e?6=9rB:8ho4}o1e2g<728qC=9kn;|l0b3e=83;pD<:ja:m7c0c290:wE?;e`9~j6`1m3:1=vF>4dc8yk5a>o0;6<uG15gb?xh4n>:1<7?tH06fe>{i;o=:6=4>{I37ad=zf:l<>7>51zJ20`g<ug9m;>4?:0yK51cf3td8j::50;3xL42bi2we?k9::182M73mh1vb>h86;295~N6<lk0qc=i7683>4}O9=oj7p`<f6:94?7|@8>nm6sa3g5:>5<6sA;?il5rn2d4e?6=9rB:8ho4}o1e3g<728qC=9kn;|l0b2e=83;pD<:ja:m7c1c290:wE?;e`9~j6`0m3:1=vF>4dc8yk5a?o0;6<uG15gb?xh4n1:1<7?tH06fe>{i;o2:6=4>{I37ad=zf:l3>7>51zJ20`g<ug9m4>4?:0yK51cf3td8j5:50;3xL42bi2we?k6::182M73mh1vb>h76;295~N6<lk0qc=i8683>4}O9=oj7p`<f9:94?7|@8>nm6sa3g::>5<6sA;?il5rn2d;e?6=9rB:8ho4}o1e<g<728qC=9kn;|l0b=e=83;pD<:ja:m7c>c290:wE?;e`9~j6`?m3:1=vF>4dc8yk5a0o0;6<uG15gb?xh4n0:1<7?tH06fe>{i;o3:6=4>{I37ad=zf:l2>7>51zJ20`g<ug9m5>4?:0yK51cf3td8j4:50;3xL42bi2we?k7::182M73mh1vb>h66;295~N6<lk0qc=i9683>4}O9=oj7p`<f8:94?7|@8>nm6sa3g;:>5<6sA;?il5rn2d:e?6=9rB:8ho4}o1e=g<728qC=9kn;|l0b<e=83;pD<:ja:m7c?c290:wE?;e`9~j6`>m3:1=vF>4dc8yk5a1o0;6<uG15gb?xh4nh:1<7?tH06fe>{i;ok:6=4>{I37ad=zf:lj>7>51zJ20`g<ug9mm>4?:0yK51cf3td8jl:50;3xL42bi2we?ko::182M73mh1vb>hn6;295~N6<lk0qc=ia683>4}O9=oj7p`<f`:94?7|@8>nm6sa3gc:>5<6sA;?il5rn2dbe?6=9rB:8ho4}o1eeg<728qC=9kn;|l0bde=83;pD<:ja:m7cgc290:wE?;e`9~j6`fm3:1=vF>4dc8yk5aio0;6<uG15gb?xh4nk:1<7?tH06fe>{i;oh:6=4>{I37ad=zf:li>7>51zJ20`g<ug9mn>4?:0yK51cf3td8jo:50;3xL42bi2we?kl::182M73mh1vb>hm6;295~N6<lk0qc=ib683>4}O9=oj7p`<fc:94?7|@8>nm6sa3g`:>5<6sA;?il5rn2dae?6=9rB:8ho4}o1efg<728qC=9kn;|l0bge=83;pD<:ja:m7cdc290:wE?;e`9~j6`em3:1=vF>4dc8yk5ajo0;6<uG15gb?xh4nj:1<7?tH06fe>{i;oi:6=4>{I37ad=zf:lh>7>51zJ20`g<ug9mo>4?:0yK51cf3td8jn:50;3xL42bi2we?km::182M73mh1vb>hl6;295~N6<lk0qc=ic683>4}O9=oj7p`<fb:94?7|@8>nm6sa3ga:>5<6sA;?il5rn2d`e?6=9rB:8ho4}o1egg<728qC=9kn;|l0bfe=83;pD<:ja:m7cec290:wE?;e`9~j6`dm3:1=vF>4dc8yk5ako0;6<uG15gb?xh4nm:1<7?tH06fe>{i;on:6=4>{I37ad=zf:lo>7>51zJ20`g<ug9mh>4?:0yK51cf3td8ji:50;3xL42bi2we?kj::182M73mh1vb>hk6;295~N6<lk0qc=id683>4}O9=oj7p`<fe:94?7|@8>nm6sa3gf:>5<6sA;?il5rn2dge?6=9rB:8ho4}o1e`g<728qC=9kn;|l0bae=83;pD<:ja:m7cbc290:wE?;e`9~j6`cm3:1=vF>4dc8yk5alo0;6<uG15gb?xh4nl:1<7?tH06fe>{i;oo:6=4>{I37ad=zf:ln>7>51zJ20`g<ug9mi>4?:0yK51cf3td8jh:50;3xL42bi2we?kk::182M73mh1vb>hj6;295~N6<lk0qc=ie683>4}O9=oj7p`<fd:94?7|@8>nm6sa3gg:>5<6sA;?il5rn2dfe?6=9rB:8ho4}o1eag<728qC=9kn;|l0b`e=83;pD<:ja:m7ccc290:wE?;e`9~j6`bm3:1=vF>4dc8yk5amo0;6<uG15gb?xh4no:1<7?tH06fe>{i;ol:6=4>{I37ad=zf:lm>7>51zJ20`g<ug9mj>4?:0yK51cf3td8jk:50;3xL42bi2we?kh::182M73mh1vb>hi6;295~N6<lk0qc=if683>4}O9=oj7p`<fg:94?7|@8>nm6sa3gd:>5<6sA;?il5rn2dee?6=9rB:8ho4}o1ebg<728qC=9kn;|l0bce=83;pD<:ja:m7c`c290:wE?;e`9~j6`am3:1=vF>4dc8yk5ano0;6<uG15gb?xh389:1<7?tH06fe>{i<9::6=4>{I37ad=zf=:;>7>51zJ20`g<ug>;<>4?:0yK51cf3td?<=:50;3xL42bi2we8=>::182M73mh1vb9>?6;295~N6<lk0qc:?0683>4}O9=oj7p`;01:94?7|@8>nm6sa412:>5<6sA;?il5rn523e?6=9rB:8ho4}o634g<728qC=9kn;|l745e=83;pD<:ja:m056c290:wE?;e`9~j167m3:1=vF>4dc8yk278o0;6<uG15gb?xh388:1<7?tH06fe>{i<9;:6=4>{I37ad=zf=::>7>51zJ20`g<ug>;=>4?:0yK51cf3td?<<:50;3xL42bi2we8=?::182M73mh1vb9>>6;295~N6<lk0qc:?1683>4}O9=oj7p`;00:94?7|@8>nm6sa413:>5<6sA;?il5rn522e?6=9rB:8ho4}o635g<728qC=9kn;|l744e=83;pD<:ja:m057c290:wE?;e`9~j166m3:1=vF>4dc8yk279o0;6<uG15gb?xh38;:1<7?tH06fe>{i<98:6=4>{I37ad=zf=:9>7>51zJ20`g<ug>;>>4?:0yK51cf3td?<?:50;3xL42bi2we8=<::182M73mh1vb9>=6;295~N6<lk0qc:?2683>4}O9=oj7p`;03:94?7|@8>nm6sa410:>5<6sA;?il5rn521e?6=9rB:8ho4}o636g<728qC=9kn;|l747e=83;pD<:ja:m054c290:wE?;e`9~j165m3:1=vF>4dc8yk27:o0;6<uG15gb?xh38::1<7?tH06fe>{i<99:6=4>{I37ad=zf=:8>7>51zJ20`g<ug>;?>4?:0yK51cf3td?<>:50;3xL42bi2we8==::182M73mh1vb9><6;295~N6<lk0qc:?3683>4}O9=oj7p`;02:94?7|@8>nm6sa411:>5<6sA;?il5rn520e?6=9rB:8ho4}o637g<728qC=9kn;|l746e=83;pD<:ja:m055c290:wE?;e`9~j164m3:1=vF>4dc8yk27;o0;6<uG15gb?xh38=:1<7?tH06fe>{i<9>:6=4>{I37ad=zf=:?>7>51zJ20`g<ug>;8>4?:0yK51cf3td?<9:50;3xL42bi2we8=:::182M73mh1vb9>;6;295~N6<lk0qc:?4683>4}O9=oj7p`;05:94?7|@8>nm6sa416:>5<6sA;?il5rn527e?6=9rB:8ho4}o630g<728qC=9kn;|l741e=83;pD<:ja:m052c290:wE?;e`9~j163m3:1=vF>4dc8yk27<o0;6<uG15gb?xh38<:1<7?tH06fe>{i<9?:6=4>{I37ad=zf=:>>7>51zJ20`g<ug>;9>4?:0yK51cf3td?<8:50;3xL42bi2we8=;::182M73mh1vb9>:6;295~N6<lk0qc:?5683>4}O9=oj7p`;04:94?7|@8>nm6sa417:>5<6sA;?il5rn526e?6=9rB:8ho4}o631g<728qC=9kn;|l740e=83;pD<:ja:m053c290:wE?;e`9~j162m3:1=vF>4dc8yk27=o0;6<uG15gb?xh38?:1<7?tH06fe>{i<9<:6=4>{I37ad=zf=:=>7>51zJ20`g<ug>;:>4?:0yK51cf3td?<;:50;3xL42bi2we8=8::182M73mh1vb9>96;295~N6<lk0qc:?6683>4}O9=oj7p`;07:94?7|@8>nm6sa414:>5<6sA;?il5rn525e?6=9rB:8ho4}o632g<728qC=9kn;|l743e=83;pD<:ja:m050c290:wE?;e`9~j161m3:1=vF>4dc8yk27>o0;6<uG15gb?xh38>:1<7?tH06fe>{i<9=:6=4>{I37ad=zf=:<>7>51zJ20`g<ug>;;>4?:0yK51cf3td?<::50;3xL42bi2we8=9::182M73mh1vb9>86;295~N6<lk0qc:?7683>4}O9=oj7p`;06:94?7|@8>nm6sa415:>5<6sA;?il5rn524e?6=9rB:8ho4}o633g<728qC=9kn;|l742e=83;pD<:ja:m051c290:wE?;e`9~j160m3:1=vF>4dc8yk27?o0;6<uG15gb?xh381:1<7?tH06fe>{i<92:6=4>{I37ad=zf=:3>7>51zJ20`g<ug>;4>4?:0yK51cf3td?<5:50;3xL42bi2we8=6::182M73mh1vb9>76;295~N6<lk0qc:?8683>4}O9=oj7p`;09:94?7|@8>nm6sa41::>5<6sA;?il5rn52;e?6=9rB:8ho4}o63<g<728qC=9kn;|l74=e=83;pD<:ja:m05>c290:wE?;e`9~j16?m3:1=vF>4dc8yk270o0;6<uG15gb?xh380:1<7?tH06fe>{i<93:6=4>{I37ad=zf=:2>7>51zJ20`g<ug>;5>4?:0yK51cf3td?<4:50;3xL42bi2we8=7::182M73mh1vb9>66;295~N6<lk0qc:?9683>4}O9=oj7p`;08:94?7|@8>nm6sa41;:>5<6sA;?il5rn52:e?6=9rB:8ho4}o63=g<728qC=9kn;|l74<e=83;pD<:ja:m05?c290:wE?;e`9~j16>m3:1=vF>4dc8yk271o0;6<uG15gb?xh38h:1<7?tH06fe>{i<9k:6=4>{I37ad=zf=:j>7>51zJ20`g<ug>;m>4?:0yK51cf3td?<l:50;3xL42bi2we8=o::182M73mh1vb9>n6;295~N6<lk0qc:?a683>4}O9=oj7p`;0`:94?7|@8>nm6sa41c:>5<6sA;?il5rn52be?6=9rB:8ho4}o63eg<728qC=9kn;|l74de=83;pD<:ja:m05gc290:wE?;e`9~j16fm3:1=vF>4dc8yk27io0;6<uG15gb?xh38k:1<7?tH06fe>{i<9h:6=4>{I37ad=zf=:i>7>51zJ20`g<ug>;n>4?:0yK51cf3td?<o:50;3xL42bi2we8=l::182M73mh1vb9>m6;295~N6<lk0qc:?b683>4}O9=oj7p`;0c:94?7|@8>nm6sa41`:>5<6sA;?il5rn52ae?6=9rB:8ho4}o63fg<728qC=9kn;|l74ge=83;pD<:ja:m05dc290:wE?;e`9~j16em3:1=vF>4dc8yk27jo0;6<uG15gb?xh38j:1<7?tH06fe>{i<9i:6=4>{I37ad=zf=:h>7>51zJ20`g<ug>;o>4?:0yK51cf3td?<n:50;3xL42bi2we8=m::182M73mh1vb9>l6;295~N6<lk0qc:?c683>4}O9=oj7p`;0b:94?7|@8>nm6sa41a:>5<6sA;?il5rn52`e?6=9rB:8ho4}o63gg<728qC=9kn;|l74fe=83;pD<:ja:m05ec290:wE?;e`9~j16dm3:1=vF>4dc8yk27ko0;6<uG15gb?xh38m:1<7?tH06fe>{i<9n:6=4>{I37ad=zf=:o>7>51zJ20`g<ug>;h>4?:0yK51cf3td?<i:50;3xL42bi2we8=j::182M73mh1vb9>k6;295~N6<lk0qc:?d683>4}O9=oj7p`;0e:94?7|@8>nm6sa41f:>5<6sA;?il5rn52ge?6=9rB:8ho4}o63`g<728qC=9kn;|l74ae=83;pD<:ja:m05bc290:wE?;e`9~j16cm3:1=vF>4dc8yk27lo0;6<uG15gb?xh38l:1<7?tH06fe>{i<9o:6=4>{I37ad=zf=:n>7>51zJ20`g<ug>;i>4?:0yK51cf3td?<h:50;3xL42bi2we8=k::182M73mh1vb9>j6;295~N6<lk0qc:?e683>4}O9=oj7p`;0d:94?7|@8>nm6sa41g:>5<6sA;?il5rn52fe?6=9rB:8ho4}o63ag<728qC=9kn;|l74`e=83;pD<:ja:m05cc290:wE?;e`9~j16bm3:1=vF>4dc8yk27mo0;6<uG15gb?xh38o:1<7?tH06fe>{i<9l:6=4>{I37ad=zf=:m>7>51zJ20`g<ug>;j>4?:0yK51cf3td?<k:50;3xL42bi2we8=h::182M73mh1vb9>i6;295~N6<lk0qc:?f683>4}O9=oj7p`;0g:94?7|@8>nm6sa41d:>5<6sA;?il5rn52ee?6=9rB:8ho4}o63bg<728qC=9kn;|l74ce=83;pD<:ja:m05`c290:wE?;e`9~j16am3:1=vF>4dc8yk27no0;6<uG15gb?xh399:1<7?tH06fe>{i<8::6=4>{I37ad=zf=;;>7>51zJ20`g<ug>:<>4?:0yK51cf3td?==:50;3xL42bi2we8<>::182M73mh1vb9??6;295~N6<lk0qc:>0683>4}O9=oj7p`;11:94?7|@8>nm6sa402:>5<6sA;?il5rn533e?6=9rB:8ho4}o624g<728qC=9kn;|l755e=83;pD<:ja:m046c290:wE?;e`9~j177m3:1=vF>4dc8yk268o0;6<uG15gb?xh398:1<7?tH06fe>{i<8;:6=4>{I37ad=zf=;:>7>51zJ20`g<ug>:=>4?:0yK51cf3td?=<:50;3xL42bi2we8<?::182M73mh1vb9?>6;295~N6<lk0qc:>1683>4}O9=oj7p`;10:94?7|@8>nm6sa403:>5<6sA;?il5rn532e?6=9rB:8ho4}o625g<728qC=9kn;|l754e=83;pD<:ja:m047c290:wE?;e`9~j176m3:1=vF>4dc8yk269o0;6<uG15gb?xh39;:1<7?tH06fe>{i<88:6=4>{I37ad=zf=;9>7>51zJ20`g<ug>:>>4?:0yK51cf3td?=?:50;3xL42bi2we8<<::182M73mh1vb9?=6;295~N6<lk0qc:>2683>4}O9=oj7p`;13:94?7|@8>nm6sa400:>5<6sA;?il5rn531e?6=9rB:8ho4}o626g<728qC=9kn;|l757e=83;pD<:ja:m044c290:wE?;e`9~j175m3:1=vF>4dc8yk26:o0;6<uG15gb?xh39::1<7?tH06fe>{i<89:6=4>{I37ad=zf=;8>7>51zJ20`g<ug>:?>4?:0yK51cf3td?=>:50;3xL42bi2we8<=::182M73mh1vb9?<6;295~N6<lk0qc:>3683>4}O9=oj7p`;12:94?7|@8>nm6sa401:>5<6sA;?il5rn530e?6=9rB:8ho4}o627g<728qC=9kn;|l756e=83;pD<:ja:m045c290:wE?;e`9~j174m3:1=vF>4dc8yk26;o0;6<uG15gb?xh39=:1<7?tH06fe>{i<8>:6=4>{I37ad=zf=;?>7>51zJ20`g<ug>:8>4?:0yK51cf3td?=9:50;3xL42bi2we8<:::182M73mh1vb9?;6;295~N6<lk0qc:>4683>4}O9=oj7p`;15:94?7|@8>nm6sa406:>5<6sA;?il5rn537e?6=9rB:8ho4}o620g<728qC=9kn;|l751e=83;pD<:ja:m042c290:wE?;e`9~j173m3:1=vF>4dc8yk26<o0;6<uG15gb?xh39<:1<7?tH06fe>{i<8?:6=4>{I37ad=zf=;>>7>51zJ20`g<ug>:9>4?:0yK51cf3td?=8:50;3xL42bi2we8<;::182M73mh1vb9?:6;295~N6<lk0qc:>5683>4}O9=oj7p`;14:94?7|@8>nm6sa407:>5<6sA;?il5rn536e?6=9rB:8ho4}o621g<728qC=9kn;|l750e=83;pD<:ja:m043c290:wE?;e`9~j172m3:1=vF>4dc8yk26=o0;6<uG15gb?xh39?:1<7?tH06fe>{i<8<:6=4>{I37ad=zf=;=>7>51zJ20`g<ug>::>4?:0yK51cf3td?=;:50;3xL42bi2we8<8::182M73mh1vb9?96;295~N6<lk0qc:>6683>4}O9=oj7p`;17:94?7|@8>nm6sa404:>5<6sA;?il5rn535e?6=9rB:8ho4}o622g<728qC=9kn;|l753e=83;pD<:ja:m040c290:wE?;e`9~j171m3:1=vF>4dc8yk26>o0;6<uG15gb?xh39>:1<7?tH06fe>{i<8=:6=4>{I37ad=zf=;<>7>51zJ20`g<ug>:;>4?:0yK51cf3td?=::50;3xL42bi2we8<9::182M73mh1vb9?86;295~N6<lk0qc:>7683>4}O9=oj7p`;16:94?7|@8>nm6sa405:>5<6sA;?il5rn534e?6=9rB:8ho4}o623g<728qC=9kn;|l752e=83;pD<:ja:m041c290:wE?;e`9~j170m3:1=vF>4dc8yk26?o0;6<uG15gb?xh391:1<7?tH06fe>{i<82:6=4>{I37ad=zf=;3>7>51zJ20`g<ug>:4>4?:0yK51cf3td?=5:50;3xL42bi2we8<6::182M73mh1vb9?76;295~N6<lk0qc:>8683>4}O9=oj7p`;19:94?7|@8>nm6sa40::>5<6sA;?il5rn53;e?6=9rB:8ho4}o62<g<728qC=9kn;|l75=e=83;pD<:ja:m04>c290:wE?;e`9~j17?m3:1=vF>4dc8yk260o0;6<uG15gb?xh390:1<7?tH06fe>{i<83:6=4>{I37ad=zf=;2>7>51zJ20`g<ug>:5>4?:0yK51cf3td?=4:50;3xL42bi2we8<7::182M73mh1vb9?66;295~N6<lk0qc:>9683>4}O9=oj7p`;18:94?7|@8>nm6sa40;:>5<6sA;?il5rn53:e?6=9rB:8ho4}o62=g<728qC=9kn;|l75<e=83;pD<:ja:m04?c290:wE?;e`9~j17>m3:1=vF>4dc8yk261o0;6<uG15gb?xh39h:1<7?tH06fe>{i<8k:6=4>{I37ad=zf=;j>7>51zJ20`g<ug>:m>4?:0yK51cf3td?=l:50;3xL42bi2we8<o::182M73mh1vb9?n6;295~N6<lk0qc:>a683>4}O9=oj7p`;1`:94?7|@8>nm6sa40c:>5<6sA;?il5rn53be?6=9rB:8ho4}o62eg<728qC=9kn;|l75de=83;pD<:ja:m04gc290:wE?;e`9~j17fm3:1=vF>4dc8yk26io0;6<uG15gb?xh39k:1<7?tH06fe>{i<8h:6=4>{I37ad=zf=;i>7>51zJ20`g<ug>:n>4?:0yK51cf3td?=o:50;3xL42bi2we8<l::182M73mh1vb9?m6;295~N6<lk0qc:>b683>4}O9=oj7p`;1c:94?7|@8>nm6sa40`:>5<6sA;?il5rn53ae?6=9rB:8ho4}o62fg<728qC=9kn;|l75ge=83;pD<:ja:m04dc290:wE?;e`9~j17em3:1=vF>4dc8yk26jo0;6<uG15gb?xh39j:1<7?tH06fe>{i<8i:6=4>{I37ad=zf=;h>7>51zJ20`g<ug>:o>4?:0yK51cf3td?=n:50;3xL42bi2we8<m::182M73mh1vb9?l6;295~N6<lk0qc:>c683>4}O9=oj7p`;1b:94?7|@8>nm6sa40a:>5<6sA;?il5rn53`e?6=9rB:8ho4}o62gg<728qC=9kn;|l75fe=83;pD<:ja:m04ec290:wE?;e`9~j17dm3:1=vF>4dc8yk26ko0;6<uG15gb?xh39m:1<7?tH06fe>{i<8n:6=4>{I37ad=zf=;o>7>51zJ20`g<ug>:h>4?:0yK51cf3td?=i:50;3xL42bi2we8<j::182M73mh1vb9?k6;295~N6<lk0qc:>d683>4}O9=oj7p`;1e:94?7|@8>nm6sa40f:>5<6sA;?il5rn53ge?6=9rB:8ho4}o62`g<728qC=9kn;|l75ae=83;pD<:ja:m04bc290:wE?;e`9~j17cm3:1=vF>4dc8yk26lo0;6<uG15gb?xh39l:1<7?tH06fe>{i<8o:6=4>{I37ad=zf=;n>7>51zJ20`g<ug>:i>4?:0yK51cf3td?=h:50;3xL42bi2we8<k::182M73mh1vb9?j6;295~N6<lk0qc:>e683>4}O9=oj7p`;1d:94?7|@8>nm6sa40g:>5<6sA;?il5rn53fe?6=9rB:8ho4}o62ag<728qC=9kn;|l75`e=83;pD<:ja:m04cc290:wE?;e`9~j17bm3:1=vF>4dc8yk26mo0;6<uG15gb?xh39o:1<7?tH06fe>{i<8l:6=4>{I37ad=zf=;m>7>51zJ20`g<ug>:j>4?:0yK51cf3td?=k:50;3xL42bi2we8<h::182M73mh1vb9?i6;295~N6<lk0qc:>f683>4}O9=oj7p`;1g:94?7|@8>nm6sa40d:>5<6sA;?il5rn53ee?6=9rB:8ho4}o62bg<728qC=9kn;|l75ce=83;pD<:ja:m04`c290:wE?;e`9~j17am3:1=vF>4dc8yk26no0;6<uG15gb?xh3:9:1<7?tH06fe>{i<;::6=4>{I37ad=zf=8;>7>51zJ20`g<ug>9<>4?:0yK51cf3td?>=:50;3xL42bi2we8?>::182M73mh1vb9<?6;295~N6<lk0qc:=0683>4}O9=oj7p`;21:94?7|@8>nm6sa432:>5<6sA;?il5rn503e?6=9rB:8ho4}o614g<728qC=9kn;|l765e=83;pD<:ja:m076c290:wE?;e`9~j147m3:1=vF>4dc8yk258o0;6<uG15gb?xh3:8:1<7?tH06fe>{i<;;:6=4>{I37ad=zf=8:>7>51zJ20`g<ug>9=>4?:0yK51cf3td?><:50;3xL42bi2we8??::182M73mh1vb9<>6;295~N6<lk0qc:=1683>4}O9=oj7p`;20:94?7|@8>nm6sa433:>5<6sA;?il5rn502e?6=9rB:8ho4}o615g<728qC=9kn;|l764e=83;pD<:ja:m077c290:wE?;e`9~j146m3:1=vF>4dc8yk259o0;6<uG15gb?xh3:;:1<7?tH06fe>{i<;8:6=4>{I37ad=zf=89>7>51zJ20`g<ug>9>>4?:0yK51cf3td?>?:50;3xL42bi2we8?<::182M73mh1vb9<=6;295~N6<lk0qc:=2683>4}O9=oj7p`;23:94?7|@8>nm6sa430:>5<6sA;?il5rn501e?6=9rB:8ho4}o616g<728qC=9kn;|l767e=83;pD<:ja:m074c290:wE?;e`9~j145m3:1=vF>4dc8yk25:o0;6<uG15gb?xh3:::1<7?tH06fe>{i<;9:6=4>{I37ad=zf=88>7>51zJ20`g<ug>9?>4?:0yK51cf3td?>>:50;3xL42bi2we8?=::182M73mh1vb9<<6;295~N6<lk0qc:=3683>4}O9=oj7p`;22:94?7|@8>nm6sa431:>5<6sA;?il5rn500e?6=9rB:8ho4}o617g<728qC=9kn;|l766e=83;pD<:ja:m075c290:wE?;e`9~j144m3:1=vF>4dc8yk25;o0;6<uG15gb?xh3:=:1<7?tH06fe>{i<;>:6=4>{I37ad=zf=8?>7>51zJ20`g<ug>98>4?:0yK51cf3td?>9:50;3xL42bi2we8?:::182M73mh1vb9<;6;295~N6<lk0qc:=4683>4}O9=oj7p`;25:94?7|@8>nm6sa436:>5<6sA;?il5rn507e?6=9rB:8ho4}o610g<728qC=9kn;|l761e=83;pD<:ja:m072c290:wE?;e`9~j143m3:1=vF>4dc8yk25<o0;6<uG15gb?xh3:<:1<7?tH06fe>{i<;?:6=4>{I37ad=zf=8>>7>51zJ20`g<ug>99>4?:0yK51cf3td?>8:50;3xL42bi2we8?;::182M73mh1vb9<:6;295~N6<lk0qc:=5683>4}O9=oj7p`;24:94?7|@8>nm6sa437:>5<6sA;?il5rn506e?6=9rB:8ho4}o611g<728qC=9kn;|l760e=83;pD<:ja:m073c290:wE?;e`9~j142m3:1=vF>4dc8yk25=o0;6<uG15gb?xh3:?:1<7?tH06fe>{i<;<:6=4>{I37ad=zf=8=>7>51zJ20`g<ug>9:>4?:0yK51cf3td?>;:50;3xL42bi2we8?8::182M73mh1vb9<96;295~N6<lk0qc:=6683>4}O9=oj7p`;27:94?7|@8>nm6sa434:>5<6sA;?il5rn505e?6=9rB:8ho4}o612g<728qC=9kn;|l763e=83;pD<:ja:m070c290:wE?;e`9~j141m3:1=vF>4dc8yk25>o0;6<uG15gb?xh3:>:1<7?tH06fe>{i<;=:6=4>{I37ad=zf=8<>7>51zJ20`g<ug>9;>4?:0yK51cf3td?>::50;3xL42bi2we8?9::182M73mh1vb9<86;295~N6<lk0qc:=7683>4}O9=oj7p`;26:94?7|@8>nm6sa435:>5<6sA;?il5rn504e?6=9rB:8ho4}o613g<728qC=9kn;|l762e=83;pD<:ja:m071c290:wE?;e`9~j140m3:1=vF>4dc8yk25?o0;6<uG15gb?xh3:1:1<7?tH06fe>{i<;2:6=4>{I37ad=zf=83>7>51zJ20`g<ug>94>4?:0yK51cf3td?>5:50;3xL42bi2we8?6::182M73mh1vb9<76;295~N6<lk0qc:=8683>4}O9=oj7p`;29:94?7|@8>nm6sa43::>5<6sA;?il5rn50;e?6=9rB:8ho4}o61<g<728qC=9kn;|l76=e=83;pD<:ja:m07>c290:wE?;e`9~j14?m3:1=vF>4dc8yk250o0;6<uG15gb?xh3:0:1<7?tH06fe>{i<;3:6=4>{I37ad=zf=82>7>51zJ20`g<ug>95>4?:0yK51cf3td?>4:50;3xL42bi2we8?7::182M73mh1vb9<66;295~N6<lk0qc:=9683>4}O9=oj7p`;28:94?7|@8>nm6sa43;:>5<6sA;?il5rn50:e?6=9rB:8ho4}o61=g<728qC=9kn;|l76<e=83;pD<:ja:m07?c290:wE?;e`9~j14>m3:1=vF>4dc8yk251o0;6<uG15gb?xh3:h:1<7?tH06fe>{i<;k:6=4>{I37ad=zf=8j>7>51zJ20`g<ug>9m>4?:0yK51cf3td?>l:50;3xL42bi2we8?o::182M73mh1vb9<n6;295~N6<lk0qc:=a683>4}O9=oj7p`;2`:94?7|@8>nm6sa43c:>5<6sA;?il5rn50be?6=9rB:8ho4}o61eg<728qC=9kn;|l76de=83;pD<:ja:m07gc290:wE?;e`9~j14fm3:1=vF>4dc8yk25io0;6<uG15gb?xh3:k:1<7?tH06fe>{i<;h:6=4>{I37ad=zf=8i>7>51zJ20`g<ug>9n>4?:0yK51cf3td?>o:50;3xL42bi2we8?l::182M73mh1vb9<m6;295~N6<lk0qc:=b683>4}O9=oj7p`;2c:94?7|@8>nm6sa43`:>5<6sA;?il5rn50ae?6=9rB:8ho4}o61fg<728qC=9kn;|l76ge=83;pD<:ja:m07dc290:wE?;e`9~j14em3:1=vF>4dc8yk25jo0;6<uG15gb?xh3:j:1<7?tH06fe>{i<;i:6=4>{I37ad=zf=8h>7>51zJ20`g<ug>9o>4?:0yK51cf3td?>n:50;3xL42bi2we8?m::182M73mh1vb9<l6;295~N6<lk0qc:=c683>4}O9=oj7p`;2b:94?7|@8>nm6sa43a:>5<6sA;?il5rn50`e?6=9rB:8ho4}o61gg<728qC=9kn;|l76fe=83;pD<:ja:m07ec290:wE?;e`9~j14dm3:1=vF>4dc8yk25ko0;6<uG15gb?xh3:m:1<7?tH06fe>{i<;n:6=4>{I37ad=zf=8o>7>51zJ20`g<ug>9h>4?:0yK51cf3td?>i:50;3xL42bi2we8?j::182M73mh1vb9<k6;295~N6<lk0qc:=d683>4}O9=oj7p`;2e:94?7|@8>nm6sa43f:>5<6sA;?il5rn50ge?6=9rB:8ho4}o61`g<728qC=9kn;|l76ae=83;pD<:ja:m07bc290:wE?;e`9~j14cm3:1=vF>4dc8yk25lo0;6<uG15gb?xh3:l:1<7?tH06fe>{i<;o:6=4>{I37ad=zf=8n>7>51zJ20`g<ug>9i>4?:0yK51cf3td?>h:50;3xL42bi2we8?k::182M73mh1vb9<j6;295~N6<lk0qc:=e683>4}O9=oj7p`;2d:94?7|@8>nm6sa43g:>5<6sA;?il5rn50fe?6=9rB:8ho4}o61ag<728qC=9kn;|l76`e=83;pD<:ja:m07cc290:wE?;e`9~j14bm3:1=vF>4dc8yk25mo0;6<uG15gb?xh3:o:1<7?tH06fe>{i<;l:6=4>{I37ad=zf=8m>7>51zJ20`g<ug>9j>4?:0yK51cf3td?>k:50;3xL42bi2we8?h::182M73mh1vb9<i6;295~N6<lk0qc:=f683>4}O9=oj7p`;2g:94?7|@8>nm6sa43d:>5<6sA;?il5rn50ee?6=9rB:8ho4}o61bg<728qC=9kn;|l76ce=83;pD<:ja:m07`c290:wE?;e`9~j14am3:1=vF>4dc8yk25no0;6<uG15gb?xh3;9:1<7?tH06fe>{i<:::6=4>{I37ad=zf=9;>7>51zJ20`g<ug>8<>4?:0yK51cf3td??=:50;3xL42bi2we8>>::182M73mh1vb9=?6;295~N6<lk0qc:<0683>4}O9=oj7p`;31:94?7|@8>nm6sa422:>5<6sA;?il5rn513e?6=9rB:8ho4}o604g<728qC=9kn;|l775e=83;pD<:ja:m066c290:wE?;e`9~j157m3:1=vF>4dc8yk248o0;6<uG15gb?xh3;8:1<7?tH06fe>{i<:;:6=4>{I37ad=zf=9:>7>51zJ20`g<ug>8=>4?:0yK51cf3td??<:50;3xL42bi2we8>?::182M73mh1vb9=>6;295~N6<lk0qc:<1683>4}O9=oj7p`;30:94?7|@8>nm6sa423:>5<6sA;?il5rn512e?6=9rB:8ho4}o605g<728qC=9kn;|l774e=83;pD<:ja:m067c290:wE?;e`9~j156m3:1=vF>4dc8yk249o0;6<uG15gb?xh3;;:1<7?tH06fe>{i<:8:6=4>{I37ad=zf=99>7>51zJ20`g<ug>8>>4?:0yK51cf3td???:50;3xL42bi2we8><::182M73mh1vb9==6;295~N6<lk0qc:<2683>4}O9=oj7p`;33:94?7|@8>nm6sa420:>5<6sA;?il5rn511e?6=9rB:8ho4}o606g<728qC=9kn;|l777e=83;pD<:ja:m064c290:wE?;e`9~j155m3:1=vF>4dc8yk24:o0;6<uG15gb?xh3;::1<7?tH06fe>{i<:9:6=4>{I37ad=zf=98>7>51zJ20`g<ug>8?>4?:0yK51cf3td??>:50;3xL42bi2we8>=::182M73mh1vb9=<6;295~N6<lk0qc:<3683>4}O9=oj7p`;32:94?7|@8>nm6sa421:>5<6sA;?il5rn510e?6=9rB:8ho4}o607g<728qC=9kn;|l776e=83;pD<:ja:m065c290:wE?;e`9~j154m3:1=vF>4dc8yk24;o0;6<uG15gb?xh3;=:1<7?tH06fe>{i<:>:6=4>{I37ad=zf=9?>7>51zJ20`g<ug>88>4?:0yK51cf3td??9:50;3xL42bi2we8>:::182M73mh1vb9=;6;295~N6<lk0qc:<4683>4}O9=oj7p`;35:94?7|@8>nm6sa426:>5<6sA;?il5rn517e?6=9rB:8ho4}o600g<728qC=9kn;|l771e=83;pD<:ja:m062c290:wE?;e`9~j153m3:1=vF>4dc8yk24<o0;6<uG15gb?xh3;<:1<7?tH06fe>{i<:?:6=4>{I37ad=zf=9>>7>51zJ20`g<ug>89>4?:0yK51cf3td??8:50;3xL42bi2we8>;::182M73mh1vb9=:6;295~N6<lk0qc:<5683>4}O9=oj7p`;34:94?7|@8>nm6sa427:>5<6sA;?il5rn516e?6=9rB:8ho4}o601g<728qC=9kn;|l770e=83;pD<:ja:m063c290:wE?;e`9~j152m3:1=vF>4dc8yk24=o0;6<uG15gb?xh3;?:1<7?tH06fe>{i<:<:6=4>{I37ad=zf=9=>7>51zJ20`g<ug>8:>4?:0yK51cf3td??;:50;3xL42bi2we8>8::182M73mh1vb9=96;295~N6<lk0qc:<6683>4}O9=oj7p`;37:94?7|@8>nm6sa424:>5<6sA;?il5rn515e?6=9rB:8ho4}o602g<728qC=9kn;|l773e=83;pD<:ja:m060c290:wE?;e`9~j151m3:1=vF>4dc8yk24>o0;6<uG15gb?xh3;>:1<7?tH06fe>{i<:=:6=4>{I37ad=zf=9<>7>51zJ20`g<ug>8;>4?:0yK51cf3td??::50;3xL42bi2we8>9::182M73mh1vb9=86;295~N6<lk0qc:<7683>4}O9=oj7p`;36:94?7|@8>nm6sa425:>5<6sA;?il5rn514e?6=9rB:8ho4}o603g<728qC=9kn;|l772e=83;pD<:ja:m061c290:wE?;e`9~j150m3:1=vF>4dc8yk24?o0;6<uG15gb?xh3;1:1<7?tH06fe>{i<:2:6=4>{I37ad=zf=93>7>51zJ20`g<ug>84>4?:0yK51cf3td??5:50;3xL42bi2we8>6::182M73mh1vb9=76;295~N6<lk0qc:<8683>4}O9=oj7p`;39:94?7|@8>nm6sa42::>5<6sA;?il5rn51;e?6=9rB:8ho4}o60<g<728qC=9kn;|l77=e=83;pD<:ja:m06>c290:wE?;e`9~j15?m3:1=vF>4dc8yk240o0;6<uG15gb?xh3;0:1<7?tH06fe>{i<:3:6=4>{I37ad=zf=92>7>51zJ20`g<ug>85>4?:0yK51cf3td??4:50;3xL42bi2we8>7::182M73mh1vb9=66;295~N6<lk0qc:<9683>4}O9=oj7p`;38:94?7|@8>nm6sa42;:>5<6sA;?il5rn51:e?6=9rB:8ho4}o60=g<728qC=9kn;|l77<e=83;pD<:ja:m06?c290:wE?;e`9~j15>m3:1=vF>4dc8yk241o0;6<uG15gb?xh3;h:1<7?tH06fe>{i<:k:6=4>{I37ad=zf=9j>7>51zJ20`g<ug>8m>4?:0yK51cf3td??l:50;3xL42bi2we8>o::182M73mh1vb9=n6;295~N6<lk0qc:<a683>4}O9=oj7p`;3`:94?7|@8>nm6sa42c:>5<6sA;?il5rn51be?6=9rB:8ho4}o60eg<728qC=9kn;|l77de=83;pD<:ja:m06gc290:wE?;e`9~j15fm3:1=vF>4dc8yk24io0;6<uG15gb?xh3;k:1<7?tH06fe>{i<:h:6=4>{I37ad=zf=9i>7>51zJ20`g<ug>8n>4?:0yK51cf3td??o:50;3xL42bi2we8>l::182M73mh1vb9=m6;295~N6<lk0qc:<b683>4}O9=oj7p`;3c:94?7|@8>nm6sa42`:>5<6sA;?il5rn51ae?6=9rB:8ho4}o60fg<728qC=9kn;|l77ge=83;pD<:ja:m06dc290:wE?;e`9~j15em3:1=vF>4dc8yk24jo0;6<uG15gb?xh3;j:1<7?tH06fe>{i<:i:6=4>{I37ad=zf=9h>7>51zJ20`g<ug>8o>4?:0yK51cf3td??n:50;3xL42bi2we8>m::182M73mh1vb9=l6;295~N6<lk0qc:<c683>4}O9=oj7p`;3b:94?7|@8>nm6sa42a:>5<6sA;?il5rn51`e?6=9rB:8ho4}o60gg<728qC=9kn;|l77fe=83;pD<:ja:m06ec290:wE?;e`9~j15dm3:1=vF>4dc8yk24ko0;6<uG15gb?xh3;m:1<7?tH06fe>{i<:n:6=4>{I37ad=zf=9o>7>51zJ20`g<ug>8h>4?:0yK51cf3td??i:50;3xL42bi2we8>j::182M73mh1vb9=k6;295~N6<lk0qc:<d683>4}O9=oj7p`;3e:94?7|@8>nm6sa42f:>5<6sA;?il5rn51ge?6=9rB:8ho4}o60`g<728qC=9kn;|l77ae=83;pD<:ja:m06bc290:wE?;e`9~j15cm3:1=vF>4dc8yk24lo0;6<uG15gb?xh3;l:1<7?tH06fe>{i<:o:6=4>{I37ad=zf=9n>7>51zJ20`g<ug>8i>4?:0yK51cf3td??h:50;3xL42bi2we8>k::182M73mh1vb9=j6;295~N6<lk0qc:<e683>4}O9=oj7p`;3d:94?7|@8>nm6sa42g:>5<6sA;?il5rn51fe?6=9rB:8ho4}o60ag<728qC=9kn;|l77`e=83;pD<:ja:m06cc290:wE?;e`9~j15bm3:1=vF>4dc8yk24mo0;6<uG15gb?xh3;o:1<7?tH06fe>{i<:l:6=4>{I37ad=zf=9m>7>51zJ20`g<ug>8j>4?:0yK51cf3td??k:50;3xL42bi2we8>h::182M73mh1vb9=i6;295~N6<lk0qc:<f683>4}O9=oj7p`;3g:94?7|@8>nm6sa42d:>5<6sA;?il5rn51ee?6=9rB:8ho4}o60bg<728qC=9kn;|l77ce=83;pD<:ja:m06`c290:wE?;e`9~j15am3:1=vF>4dc8yk24no0;6<uG15gb?xh3<9:1<7?tH06fe>{i<=::6=4>{I37ad=zf=>;>7>51zJ20`g<ug>?<>4?:0yK51cf3td?8=:50;3xL42bi2we89>::182M73mh1vb9:?6;295~N6<lk0qc:;0683>4}O9=oj7p`;41:94?7|@8>nm6sa452:>5<6sA;?il5rn563e?6=9rB:8ho4}o674g<728qC=9kn;|l705e=83;pD<:ja:m016c290:wE?;e`9~j127m3:1=vF>4dc8yk238o0;6<uG15gb?xh3<8:1<7?tH06fe>{i<=;:6=4>{I37ad=zf=>:>7>51zJ20`g<ug>?=>4?:0yK51cf3td?8<:50;3xL42bi2we89?::182M73mh1vb9:>6;295~N6<lk0qc:;1683>4}O9=oj7p`;40:94?7|@8>nm6sa453:>5<6sA;?il5rn562e?6=9rB:8ho4}o675g<728qC=9kn;|l704e=83;pD<:ja:m017c290:wE?;e`9~j126m3:1=vF>4dc8yk239o0;6<uG15gb?xh3<;:1<7?tH06fe>{i<=8:6=4>{I37ad=zf=>9>7>51zJ20`g<ug>?>>4?:0yK51cf3td?8?:50;3xL42bi2we89<::182M73mh1vb9:=6;295~N6<lk0qc:;2683>4}O9=oj7p`;43:94?7|@8>nm6sa450:>5<6sA;?il5rn561e?6=9rB:8ho4}o676g<728qC=9kn;|l707e=83;pD<:ja:m014c290:wE?;e`9~j125m3:1=vF>4dc8yk23:o0;6<uG15gb?xh3<::1<7?tH06fe>{i<=9:6=4>{I37ad=zf=>8>7>51zJ20`g<ug>??>4?:0yK51cf3td?8>:50;3xL42bi2we89=::182M73mh1vb9:<6;295~N6<lk0qc:;3683>4}O9=oj7p`;42:94?7|@8>nm6sa451:>5<6sA;?il5rn560e?6=9rB:8ho4}o677g<728qC=9kn;|l706e=83;pD<:ja:m015c290:wE?;e`9~j124m3:1=vF>4dc8yk23;o0;6<uG15gb?xh3<=:1<7?tH06fe>{i<=>:6=4>{I37ad=zf=>?>7>51zJ20`g<ug>?8>4?:0yK51cf3td?89:50;3xL42bi2we89:::182M73mh1vb9:;6;295~N6<lk0qc:;4683>4}O9=oj7p`;45:94?7|@8>nm6sa456:>5<6sA;?il5rn567e?6=9rB:8ho4}o670g<728qC=9kn;|l701e=83;pD<:ja:m012c290:wE?;e`9~j123m3:1=vF>4dc8yk23<o0;6<uG15gb?xh3<<:1<7?tH06fe>{i<=?:6=4>{I37ad=zf=>>>7>51zJ20`g<ug>?9>4?:0yK51cf3td?88:50;3xL42bi2we89;::182M73mh1vb9::6;295~N6<lk0qc:;5683>4}O9=oj7p`;44:94?7|@8>nm6sa457:>5<6sA;?il5rn566e?6=9rB:8ho4}o671g<728qC=9kn;|l700e=83;pD<:ja:m013c290:wE?;e`9~j122m3:1=vF>4dc8yk23=o0;6<uG15gb?xh3<?:1<7?tH06fe>{i<=<:6=4>{I37ad=zf=>=>7>51zJ20`g<ug>?:>4?:0yK51cf3td?8;:50;3xL42bi2we898::182M73mh1vb9:96;295~N6<lk0qc:;6683>4}O9=oj7p`;47:94?7|@8>nm6sa454:>5<6sA;?il5rn565e?6=9rB:8ho4}o672g<728qC=9kn;|l703e=83;pD<:ja:m010c290:wE?;e`9~j121m3:1=vF>4dc8yk23>o0;6<uG15gb?xh3<>:1<7?tH06fe>{i<==:6=4>{I37ad=zf=><>7>51zJ20`g<ug>?;>4?:0yK51cf3td?8::50;3xL42bi2we899::182M73mh1vb9:86;295~N6<lk0qc:;7683>4}O9=oj7p`;46:94?7|@8>nm6sa455:>5<6sA;?il5rn564e?6=9rB:8ho4}o673g<728qC=9kn;|l702e=83;pD<:ja:m011c290:wE?;e`9~j120m3:1=vF>4dc8yk23?o0;6<uG15gb?xh3<1:1<7?tH06fe>{i<=2:6=4>{I37ad=zf=>3>7>51zJ20`g<ug>?4>4?:0yK51cf3td?85:50;3xL42bi2we896::182M73mh1vb9:76;295~N6<lk0qc:;8683>4}O9=oj7p`;49:94?7|@8>nm6sr}|BCG~2a<>0<95o8adc~DED|8tJK\vsO@ \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf
new file mode 100644
index 000000000..b458eed9c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_256 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_256 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v
new file mode 100644
index 000000000..2f0ec9349
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.v
@@ -0,0 +1,31 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_256.v
+// /___/ /\ Timestamp : Fri Mar 08 16:13:02 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module chipscope_ila_256(
+ CONTROL,
+ CLK,
+ TRIG0) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL;
+input CLK;
+input [255 : 0] TRIG0;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo
new file mode 100644
index 000000000..201512ffb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.veo
@@ -0,0 +1,30 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_256.veo
+// /___/ /\ Timestamp : Fri Mar 08 16:13:02 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+chipscope_ila_256 YourInstanceName (
+ .CONTROL(CONTROL), // INOUT BUS [35:0]
+ .CLK(CLK), // IN
+ .TRIG0(TRIG0) // IN BUS [255:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco
new file mode 100644
index 000000000..4272296fc
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xco
@@ -0,0 +1,141 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Sat Mar 9 00:11:24 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
+# END Select
+# BEGIN Parameters
+CSET check_bramcount=false
+CSET component_name=chipscope_ila_256
+CSET constraint_type=external
+CSET counter_width_1=Disabled
+CSET counter_width_10=Disabled
+CSET counter_width_11=Disabled
+CSET counter_width_12=Disabled
+CSET counter_width_13=Disabled
+CSET counter_width_14=Disabled
+CSET counter_width_15=Disabled
+CSET counter_width_16=Disabled
+CSET counter_width_2=Disabled
+CSET counter_width_3=Disabled
+CSET counter_width_4=Disabled
+CSET counter_width_5=Disabled
+CSET counter_width_6=Disabled
+CSET counter_width_7=Disabled
+CSET counter_width_8=Disabled
+CSET counter_width_9=Disabled
+CSET data_port_width=0
+CSET data_same_as_trigger=true
+CSET disable_save_keep=false
+CSET enable_storage_qualification=true
+CSET enable_trigger_output_port=false
+CSET example_design=false
+CSET exclude_from_data_storage_1=false
+CSET exclude_from_data_storage_10=false
+CSET exclude_from_data_storage_11=false
+CSET exclude_from_data_storage_12=false
+CSET exclude_from_data_storage_13=false
+CSET exclude_from_data_storage_14=false
+CSET exclude_from_data_storage_15=false
+CSET exclude_from_data_storage_16=false
+CSET exclude_from_data_storage_2=false
+CSET exclude_from_data_storage_3=false
+CSET exclude_from_data_storage_4=false
+CSET exclude_from_data_storage_5=false
+CSET exclude_from_data_storage_6=false
+CSET exclude_from_data_storage_7=false
+CSET exclude_from_data_storage_8=false
+CSET exclude_from_data_storage_9=false
+CSET match_type_1=basic_with_edges
+CSET match_type_10=basic_with_edges
+CSET match_type_11=basic_with_edges
+CSET match_type_12=basic_with_edges
+CSET match_type_13=basic_with_edges
+CSET match_type_14=basic_with_edges
+CSET match_type_15=basic_with_edges
+CSET match_type_16=basic_with_edges
+CSET match_type_2=basic_with_edges
+CSET match_type_3=basic_with_edges
+CSET match_type_4=basic_with_edges
+CSET match_type_5=basic_with_edges
+CSET match_type_6=basic_with_edges
+CSET match_type_7=basic_with_edges
+CSET match_type_8=basic_with_edges
+CSET match_type_9=basic_with_edges
+CSET match_units_1=1
+CSET match_units_10=1
+CSET match_units_11=1
+CSET match_units_12=1
+CSET match_units_13=1
+CSET match_units_14=1
+CSET match_units_15=1
+CSET match_units_16=1
+CSET match_units_2=1
+CSET match_units_3=1
+CSET match_units_4=1
+CSET match_units_5=1
+CSET match_units_6=1
+CSET match_units_7=1
+CSET match_units_8=1
+CSET match_units_9=1
+CSET max_sequence_levels=1
+CSET number_of_trigger_ports=1
+CSET sample_data_depth=1024
+CSET sample_on=Rising
+CSET trigger_port_width_1=256
+CSET trigger_port_width_10=8
+CSET trigger_port_width_11=8
+CSET trigger_port_width_12=8
+CSET trigger_port_width_13=8
+CSET trigger_port_width_14=8
+CSET trigger_port_width_15=8
+CSET trigger_port_width_16=8
+CSET trigger_port_width_2=8
+CSET trigger_port_width_3=8
+CSET trigger_port_width_4=8
+CSET trigger_port_width_5=8
+CSET trigger_port_width_6=8
+CSET trigger_port_width_7=8
+CSET trigger_port_width_8=8
+CSET trigger_port_width_9=8
+CSET use_rpms=false
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:40Z
+# END Extra information
+GENERATE
+# CRC: b8a8f4bd
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise
new file mode 100644
index 000000000..f8c51bac1
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="chipscope_ila_256.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="chipscope_ila_256.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_ila_256" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_ila_256.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_ila_256" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_ila_256" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-03-08T16:13:04" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="2F48FC702B99E05DB4E13DA9BB134584" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt
new file mode 100644
index 000000000..da4f99bbe
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_flist.txt
@@ -0,0 +1,18 @@
+# Output products list for <chipscope_ila_256>
+_xmsgs/pn_parser.xmsgs
+chipscope_ila_256.asy
+chipscope_ila_256.cdc
+chipscope_ila_256.constraints/chipscope_ila_256.ucf
+chipscope_ila_256.constraints/chipscope_ila_256.xdc
+chipscope_ila_256.gise
+chipscope_ila_256.ncf
+chipscope_ila_256.ngc
+chipscope_ila_256.ucf
+chipscope_ila_256.v
+chipscope_ila_256.veo
+chipscope_ila_256.xco
+chipscope_ila_256.xdc
+chipscope_ila_256.xise
+chipscope_ila_256_flist.txt
+chipscope_ila_256_readme.txt
+chipscope_ila_256_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt
new file mode 100644
index 000000000..764247d3b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_readme.txt
@@ -0,0 +1,53 @@
+The following files were generated for 'chipscope_ila_256' in directory
+/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/
+
+ISE file generator:
+ Add description here...
+
+ * chipscope_ila_32_flist.txt
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * chipscope_ila_256.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * chipscope_ila_256.cdc
+ * chipscope_ila_256.constraints/chipscope_ila_256.ucf
+ * chipscope_ila_256.constraints/chipscope_ila_256.xdc
+ * chipscope_ila_256.ncf
+ * chipscope_ila_256.ngc
+ * chipscope_ila_256.ucf
+ * chipscope_ila_256.v
+ * chipscope_ila_256.veo
+ * chipscope_ila_256.xdc
+ * chipscope_ila_256_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * chipscope_ila_256.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * _xmsgs/pn_parser.xmsgs
+ * chipscope_ila_256.gise
+ * chipscope_ila_256.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * chipscope_ila_256_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * chipscope_ila_256_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl
new file mode 100755
index 000000000..1fb67dd98
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_256_xmdf.tcl
@@ -0,0 +1,87 @@
+# The package naming convention is <core_name>_xmdf
+package provide chipscope_ila_256_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::chipscope_ila_256_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::chipscope_ila_256_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_256
+}
+# ::chipscope_ila_256_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::chipscope_ila_256_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.cdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.constraints/chipscope_ila_256.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.constraints/chipscope_ila_256.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_256_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_256
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy
new file mode 100644
index 000000000..69e989c04
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.asy
@@ -0,0 +1,17 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 chipscope_ila_32
+RECTANGLE Normal 32 32 288 704
+LINE Wide 0 80 32 80
+PIN 0 80 LEFT 36
+PINATTR PinName control[35:0]
+PINATTR Polarity IN
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName clk
+PINATTR Polarity IN
+LINE Wide 0 176 32 176
+PIN 0 176 LEFT 36
+PINATTR PinName trig0[31:0]
+PINATTR Polarity IN
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc
new file mode 100644
index 000000000..d0ba2170c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.cdc
@@ -0,0 +1,48 @@
+#ChipScope Core Generator Project File Version 3.0
+#Fri Mar 08 11:59:29 PST 2013
+SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31
+SignalExport.bus<0000>.name=TRIG0
+SignalExport.bus<0000>.offset=0.0
+SignalExport.bus<0000>.precision=0
+SignalExport.bus<0000>.radix=Bin
+SignalExport.bus<0000>.scaleFactor=1.0
+SignalExport.clockChannel=CLK
+SignalExport.dataEqualsTrigger=true
+SignalExport.triggerChannel<0000><0000>=TRIG0[0]
+SignalExport.triggerChannel<0000><0001>=TRIG0[1]
+SignalExport.triggerChannel<0000><0002>=TRIG0[2]
+SignalExport.triggerChannel<0000><0003>=TRIG0[3]
+SignalExport.triggerChannel<0000><0004>=TRIG0[4]
+SignalExport.triggerChannel<0000><0005>=TRIG0[5]
+SignalExport.triggerChannel<0000><0006>=TRIG0[6]
+SignalExport.triggerChannel<0000><0007>=TRIG0[7]
+SignalExport.triggerChannel<0000><0008>=TRIG0[8]
+SignalExport.triggerChannel<0000><0009>=TRIG0[9]
+SignalExport.triggerChannel<0000><0010>=TRIG0[10]
+SignalExport.triggerChannel<0000><0011>=TRIG0[11]
+SignalExport.triggerChannel<0000><0012>=TRIG0[12]
+SignalExport.triggerChannel<0000><0013>=TRIG0[13]
+SignalExport.triggerChannel<0000><0014>=TRIG0[14]
+SignalExport.triggerChannel<0000><0015>=TRIG0[15]
+SignalExport.triggerChannel<0000><0016>=TRIG0[16]
+SignalExport.triggerChannel<0000><0017>=TRIG0[17]
+SignalExport.triggerChannel<0000><0018>=TRIG0[18]
+SignalExport.triggerChannel<0000><0019>=TRIG0[19]
+SignalExport.triggerChannel<0000><0020>=TRIG0[20]
+SignalExport.triggerChannel<0000><0021>=TRIG0[21]
+SignalExport.triggerChannel<0000><0022>=TRIG0[22]
+SignalExport.triggerChannel<0000><0023>=TRIG0[23]
+SignalExport.triggerChannel<0000><0024>=TRIG0[24]
+SignalExport.triggerChannel<0000><0025>=TRIG0[25]
+SignalExport.triggerChannel<0000><0026>=TRIG0[26]
+SignalExport.triggerChannel<0000><0027>=TRIG0[27]
+SignalExport.triggerChannel<0000><0028>=TRIG0[28]
+SignalExport.triggerChannel<0000><0029>=TRIG0[29]
+SignalExport.triggerChannel<0000><0030>=TRIG0[30]
+SignalExport.triggerChannel<0000><0031>=TRIG0[31]
+SignalExport.triggerPort<0000>.name=TRIG0
+SignalExport.triggerPortCount=1
+SignalExport.triggerPortIsData<0000>=true
+SignalExport.triggerPortWidth<0000>=32
+SignalExport.type=ila
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf
new file mode 100644
index 000000000..228071c37
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_32 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/chipscope_ila_32.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise
new file mode 100644
index 000000000..4bc01d034
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_ila_32.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_ila_32.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="chipscope_ila_32.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ncf
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc
new file mode 100644
index 000000000..29677d942
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$65`7=*981;86>?01:8456789:;56>?0123456382:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789;;7=>?012345678HI;<<>40123456689:;<=>?1193456789K;<=>?0BD24>6789:;==>I0G2E5C2<89:?86>?3G684466<2::J=??;10;E10DM892N89KF028416389:?<9>;01230>6A:;;0=95>11D20>732@D[YY4NDEPB845=87;?7<:5IORVP?GCL[H7=>4?>0916>479;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?37?64=AGZ^X7Z]IF2?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:40<;?0BBB[[:BMMPWIK4:?1<3?44597556692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0>0:761032=<;:=<?>11g81?OIX\^1M1:50?38<7=F8;1J=?5N239B71=F494?7L2>>59B87833H682;5N<583:1=F4=437LO7092;446<IH2JML6?81:B<5>702KJMLO=81:8EABUI5:556OKDSC?558>3HNO^L2>1?;8EABUI5;92o5NDEPB845=8730MIJ]A=30:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:7h0MIJ]B=30>58>3HNO^O2>3?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF91902KOH_L38?:8EABUJ53546L?11C345><J\YTECH@1:A1?FG53JO:>6MGEBI\HLEBFZOTXT^J2:AJ7>EKC=1H@F>9;BNH5=613JF@>:>:;BNH6]><KEA9T<6?5:AOO<633JF@M85LLJC23>EKCH;O>:5LLJC2@11<KEAJ=I6;;BNHF0=DDBH:96MCKB36?FJLL8?0OAEKVb9@HNBQWMC]EIK:;BNHB]><KEAMT<6?3:AOV<=DGDGBXYKKa:ALJQTHD5:5n6M@NUPLH9776k1HCCZ]OM>25;d<KFD_^BB313<a?FII\[EG0<=1b:ALJQTHD5;?2o5LOOVQKI:6=7h0OB@[RNN?538e3JEEX_AC<05=f>EHF]XD@1?7>c9@KKRUGE6:53o4CNLWVJJ;97h0OB@[RNN?658e3JEEX_AC<33=f>EHF]XD@1<=>c9@KKRUGE69?3l4CNLWVJJ;:=4i7NAATSMO8739j2IDBY\@L=05:g=DGG^YCA2=7?`8GJHSZFF7>50m;BMMPWIK4;35m6M@NUPLH949j2IDBY\@L=13:g=DGG^YCA2<1?`8GJHSZFF7??0m;BMMPWIK4:95n6M@NUPLH9536m1HCCZ]OM>01?69j2IDBY\@L=16:d=DGG^YCA2<>`9@KKRUGE6?2l5LOOVQKI:26h1HCCZ]OM>5:d=DGG^YCA28>`9@KKRUGE632l5LOOVQKI:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81Oo6JNT@]TMAROWHi0HLZN_VKGPMYE:2NB:6JFA=2=3>BNI5;;2:5KI@>25;1<L@K7=?08;EKB8459?2NBM1?;>69GMD:6=7=0HDO317<4?AOF48=5;6JFA=3;:2=CAH6:5384DHC?5;1<L@K7>=08;EKB8779?2NBM1<=>69GMD:5;7=0HDO325<4?AOF4;?5;6JFA=05:2=CAH69;394DHC?6=803MCJ0?716:FJE949?2NBM1=?>89GMD:493:5;6JFA=12:3=CAH682;5KI@>7:3=CAH6>2;5KI@>5:3=CAH6<2;5KI@>;:3=CAH622;5KIC>3:2=CAK6:<394DH@?54803MCI0<<17:FJF9746>1OEO2>4?58@LD;9<4<7IGM<04=3>BNJ5;<2:5KIC>2<;1<L@H7=409;EKA84803MCI0?>17:FJF9466>1OEO2=2?58@LD;::4<7IGM<36=3>BNJ58>2:5KIC>12;1<L@H7>:08;EKA87>9?2NBN1<6>79GMG:56>1OEO2<0?;8@LD;;80;2:5KIC>05;0<L@H7?384DH@?0;0<L@H79384DH@?2;0<L@H7;384DH@?<;0<L@H75394DHRB85803MC[M1?17:FJTD:5601OE]O33;2=3>BNXH682:5KIQ@?4;1<L@ZI0<08;EKSF94912NB\O2<:1<4?AOWJ595:6J@A=2=3>BHI5;;2:5KO@>25;1<LFK7=?08;EMB8459?2NDM1?;>69GKD:6=7=0HBO317<4?AIF48=5;6J@A=3;:2=CGH6:5384DNC?5;1<LFK7>=08;EMB8779?2NDM1<=>69GKD:5;7=0HBO325<4?AIF4;?5;6J@A=05:2=CGH69;394DNC?6=803MEJ0?716:FLE949?2NDM1=?>89GKD:493:5;6J@A=12:3=CGH682;5KO@>7:3=CGH6>2;5KO@>5:3=CGH6<2;5KO@>;:3=CGH622:5KO@]QAB0<LFH7<394DN@?55803MEI0<?17:FLF9756>1OCO2>3?58@JD;9=4<7IAM<07=3>BHJ5;=2:5KOC>23;1<LFH7=508;EMA84?9>2NDN1?17:FLF9476>1OCO2=1?58@JD;:;4<7IAM<31=3>BHJ58?2:5KOC>11;1<LFH7>;08;EMA8719?2NDN1<7>69GKG:517<0HBL32?58@JD;;9427IAM<2394;1<LFH7?<09;EMA86813MEI0909;EMA80813MEI0;09;EMA82813MEI0509;EMA8<803MEIS_KH7:FLTD:76>1OC]O31?58@JVF4;427IA_A=194;1<LFZJ0>08;EMSF969?2ND\O2>>69GKUD;:730HB^M<283:2=CGYH7?3?4E39F01=B<9897HH<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@7?C6798:0J=J?E1A3F577I9:;==5I0G2EB56DKJIJMLO;;G4240=AIEYN>6HK119E@23E1:;NO;:N8320?CBD<2LOOH=4FEG0?CBW;2LO^95IDSG0?CBT991MJNMLCBAEB@EDKJI?7KHI059EBCC682LMJHKJEDDFB@CBML;;7KHIFGDEBC@FIOLJ4<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:8:KMMQVX8920ECG[P^22<>OIA]ZT<?64IOKWTZ6402CEEY^P05:8MKOSXV:>46GAIUR\43><AGC_\R>89:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471<AGC_S==8;HLJPZ63?2CEEYQ?569JJLRX8?=0ECG[_154?LHN\V:3;6GAIU]3=2=NF@^T<L94IOKW[5D03@DBXR>L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571<AGC_S<=8;HLJPZ73?2CEEYQ>569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L<7D@FT^033>OIA]U9=:5FNHV\671<AGC_S?=8;HLJPZ43?2CEEYQ=569JJLRX:?=0ECG[_354?LHN\V83;6GAIU]1=2=NF@^T>L94IOKW[7D03@DBXR<L7:KMMQY5L>1BBDZP2D58MKOSW;L<7D@FT^133>OIA]U8=:5FNHV\771<AGC_S>=8;HLJPZ53?2CEEYQ<569JJLRX;?=0ECG[_254?LHN\V93;6GAIU]0=2=NF@^T?L94IOKW[6D03@DBXR=L7:KMMQY4L>1BBDZP3D58MKOSW:L=7D@FT^C5?LHN\VH27D@FT^DJH@5<AG\87AJL2:NJ6>JH>2FDOFKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__::5BUYAZ[I3<E\RM;85BUYD;6>H6;2D::>5A1618J4>43G;2>6@=7:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^8;Q,7=aYK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P18U4343X9:=6\n;SCNF40E_LX=7_KHCDC5?WC@KLH?7_][A59QWQD03[Y_XT^Je:PPPZVUAFXBXTQNe:PPPZVUAFXBXTQM1:Q25>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C6;2YBARM@LMKPMJHXKAOHGl5\IL]GASODM?1XCX\LE99PTDTSIG<>7^\C1748WWJ6>L=0__BL17G4?VTKK:8N;6]]V@N\E2=TZ_KGSO84SUCWQV2<[PDH46Z]IF2?4;?<\[CL<1??>89WVLA748;556Z]IF2?578>3]XBK=2>3?;8PWO@85;?245[RHE3843912^YEJ>317<:?QTNO96:;374TSKD497?601_^DI?<0;=<>RUAN:7=374TSKD4947601_^DI?<33==>RUAN:7>?06;UPJC5:5;730X_GH0=07:<=SZ@M;0?;19:VQMB6;:?427Y\FG1>13;?<\[CL<1<7>89WVLA74;3546Z]IF2?6;?<\[CL<1=?>c9WVLA74:;1<374TSKD4956611_^DI?<2<;?QTNO96?255[RHE3808?3]XBK=29>99WVLA74>437Y\FG1>;:==SZ@M;040;;U[SA6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY3Y+OX[[F_SCKP0/FO]<5d3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX4X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_46Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_47Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]69T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS88V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS89V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:?P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ:8P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<:R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW<;R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>5\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>6\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[04^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[05^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY23X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY2<X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4>Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4?Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]61T$BS^\CT^LF[5(AN:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6U'CT__B[_OG\4+BKQ09h7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]58T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;:V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;;V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9=P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9>P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?<R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW?=R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=3\,J[VTK\VDNS= IF2d8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU=4\,J[VTK\VDNS= KLX;0`>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[36^*LYTZE^TBHQ?.GD0b>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[37^*LYTZE^TBHQ?.ENZ=6b<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY11X(NWZXGXR@J_1,EB6`<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY12X(NWZXGXR@J_1,GH\?4l2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_70Z&@UX^AZPND]3*C@4n2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_71Z&@UX^AZPND]3*AJ^1:n0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]5?T$BS^\CT^LF[5(AN:l0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]50T$BS^\CT^LF[5(CDP38h6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;2V"DQ\RMV\J@Y7&OL8j6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;3V"DQ\RMV\J@Y7&MFR5>j4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ95P F_RPOPZHBW9$MJ>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ9Q#GPSSNW[KCX8'NGU4=l;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>P F_RPOPZHBW9$MJ>h4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[<Y@MGQ8<P F_RPOPZHBW9$O@T7<d:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>>R.H]PVIRXFLU;"KH<f:W3+LYIGVN%YRGCA.K\@T(RWM[Z#IBV_8,GH\Y>WNOEW>?R.H]PVIRXFLU;"IBV92f8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<1\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU<]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T3\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU;]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T4\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU:]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T5\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU9]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T6\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU8]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T7\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU7]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T8\,J[VTK\VDNS= IF2g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU6]/K\WWJSWGOT<#JCY81`?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T9\,J[VTK\VDNS= IF308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?4;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48:5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>1?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8449::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:?3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<06=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6=7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<81229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>23;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4825>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>9?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8485;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx58;2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~320<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9456;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7>>0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=07:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;:<49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1<9>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?6285;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5832?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~328<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt949::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey68<3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<23=67=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:46;80Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz783<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<4<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt909:;1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6<2?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~38?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8<80;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!F_VKGPMY1&|Uo"WJS^NVP3(RWE__:864U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+wus>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*EN484=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC7>38?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2<>728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=6=25=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.AJ8082n2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+A:76<l0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)C484>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'M6928h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%O0>0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#I2;>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!K<4<5f>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY3Y+Ai@'_TAXVLY748Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[1_-QZ@CZL<>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR:V"XQCUU44?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ2^*PY_G[IR:o5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP=P HnI,V[HS_KP<=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR;V"XQIDSG51>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY2Y+SXD\^=;6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ:Q#[PXNP@]3d<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_7[)OgB%YRCZXB[52>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY1Y+SXNMXN:85Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP>P Z_MWW22=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX6X(RWQEYOT8m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV=R.FlK*PYJ]QIR:;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP?P Z_GFQA33<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_6[)]VF^X;94U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW>S!U^ZLVF_1j2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]3U'MeD#[PMTZ@]30<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_1[)]VLO^H8:;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV:R.T]OQQ003\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^2Z&\USC_MV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT5\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S<W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[4_-QZ^HZJS>j6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'Z6;28h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%X0<0:f:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#^2=>4d8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!\<2<6b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/R>7:0`<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-P8082i2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_sqw2f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMXTHAW G^PFCZDN\R:V"XQIDSG5g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[UO@T!H_SGD[GOSS8W%YRHKRD4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\:T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]4U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^2Z&\UMH_K9c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@WYCDP%LS_KH_CKW_0[)]VLO^H7l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S9W%yR|nmc3;+PYUIDH:4l84U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^LC I^Q5*PYD[VHYM@QLARAB@@YT>&CTHH^[H^NWATY6>B$^S^L]AL]3+LYE91ALX= H_SCNF4>\8T$~Sobb0:,QZTFEK;3Sd`|umcwa5g13\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WGJ'@UX:#[PCR]AVDKXKHYHMIKPS7-J[ACW\AUGXH_P17I-QZUEZHGT<"GPB0:HCQ6)OVXJAO?7[1_-qZtfek;3#XQ]AL@2<Zoi{|fjxh?6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S8W%yR|nmc3;+PYUIDH:4Rgastnbp`6f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z3^*pYuidh:4"[PR@OA5=Ynfzgmyk>5c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C="XQBUY47+HkrpVET==;m;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A?$^S@[W65-Nip~XGV;:9n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O1&\UFYU8;/Lov|ZIX98;>o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L0)]VG^T;: Mlw{[JY69;?i7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M3(RWD_S:9!Bmtz\KZ75=j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K5*PYJ]Q<?#@czx^M\5772k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCWJEGS@[W/H4-QZKRP?>$A`{w_N]2673e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I7,V[HS_>=%FaxvPO^301a=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G9.T]NQ]03'Dg~tRAP5^d41d=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G9.T]NQ]03'Dg~tRAP64c8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B:#[PMTZ50*Kj}qUDS::k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7=3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7>3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7?3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC783:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC793:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7:3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC7;3:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC743:k;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'JC753:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6;29m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L5;58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)C4;4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;;7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:36=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@939<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-G8383k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.F?3;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/E>;:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ D=;=1==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[1_-CkN)]VG^TNW:3:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP<P Z_GFQA04<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ2^*PYK]]??7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]7U'_TTB\LY4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV?R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S8W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU>]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX5X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ9Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^4Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP>P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[3_-QZ^HZJS>46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\;T$LbE Z_LW[G\343\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY0Y+SXNMXN9?5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S:W%YRBZT468Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV=R.T][KWE^=11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_1[)OgB%YRCZXB[67>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT4\,V[CBUM<80Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^2Z&\UGYY;;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ?Q#[PXNP@]0><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ7^*BhO&\UFYUMV529V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW8S!U^DGV@353\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY6Y+SXD\^>86[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\=T$^SUA]CX7;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU9]/EmL+SXE\RHU8=4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR<V"XQIDSG66>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT6\,V[ISS==1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-D_3[)]VRD^NW:8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP;P HnI,V[HS_KP?87X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]0U'_TJI\J539V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW:S!U^NVP02<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ5^*PY_G[IR955Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S1W%KcF!U^OV\F_2;2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX<X(RWONYI8<4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(OR2V"XQCUU77?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU7]/W\\JTDQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^?Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP5P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[8_-QZ^HZJS?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W949<j1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVXD[ROKDS-P8683k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.Q?0;2d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/R>6:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<6<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"]38?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#^26>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbcz5:5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nTobbPaefq848302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYdgeUjhi|32?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{682964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgv929<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXkffTmij}<4<7g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw30?6`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^alhZgcl{Uo`t2>>5a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_bmo[dbczVngu1<14b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabuWmfr0>0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`atXles783:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`wYcdp6>28>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSnac_`fgvZbkq5?5S^Y?459V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5483=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=?0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5683=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=90;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5083=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5283=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=50;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?5<83<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>14;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69=3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>16;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69?3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>10;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6993::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>12;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h69;3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>1<;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6953:;;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>1:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59;29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=12:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59929;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=10:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi59?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=16:12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi595895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<5<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;=7>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl29>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe919<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j050;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?=;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh2?>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5;5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq878302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|33?6;?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZgcl{6?2964U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSax_`fgv939<11^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXzf}Tmij}<7<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]qkrYflmx7;3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhR|`w^cg`w:?6=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcW{e|Sljkr=;=06=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXmg;=7X> I^LL[A(RW@FJ#XQKOTV1f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(KDZT^H]JT278Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OXAG^HM]QI.T]@EUQB8:?0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPIOV@EUYA&\UHM]YJ14`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\GAIIM&CTUH]CUU4-MZHHW[ZF"DQVER]LV@A)G\^T^HI:0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/K\JJYUXD$^S@[WF671?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FBHFL%BSTK\LTV5*LYIGVX[A#[PSSN06ZG2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIOCCK I^[FWISS>'CTBBQ]PL,V[VTK;;UI8:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLDNLF+LY^MZF^X; \RM]NQ]203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJNDBH!F_XGPHPR1&ZXGS\=>499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,PVIYV494?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRMKOOG,MZ_B[E__:#]]L^S?5;343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWJG[^H]JT.K\]@UK]]<%ER@@_SRN*PYJ]QL<985Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLMQPFW@R(AVSN_A[[6/K\JJYUXD$^S^\C33]B10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDEYXN_HZ I^[FWISS>'CTBBQ]PL,V[VTK;;UI8l5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQLMQPFW@R(AVSN_A[[6/QQHZW49=h0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TO@^]ERGW+LY^MZF^X; \RM]R8583j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIF\_K\EU-J[\CTD\^="^\C_P>2:0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+OXFFUY\@ F_XGP[JTBO'E^XR\JG438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/K\JJYUXD$^S@[WF6]BF07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+OXFFUY\@ Z_LW[B2YDL=o0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^> I^[FWISS>'CTBBQ]PL,V[HS_N1?;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$BSCAPRQO-QZUUD:8TM8>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#GPNN]QTH(RWZXG??QM519V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.H]MKZTWE'_T__B<2^A64>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQ\RM11[A2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUFYU930?6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYJ]Q=7=3:9;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; \RM]NQ]>302_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)[[FT]>?30?6;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU7'@URI^BZT7,PVIYV;86:2964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR2,MZ_B[E__:#]]L^S05949<?1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_=!F_XGPHPR1&ZXGS\2?>548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;97>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]?/H]ZAVJR\?$X^AQ^<3<72>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-WWJXY595945Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS0-J[\CTD\^="DQAO^PSI+OXQLYTC_KH.NWW[WC@=81^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_<!F_XGPHPR1&@UECR\_M/W\IP^A?VKI9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS0-J[\CTD\^="DQAO^PSI+SXE\RM;RMK4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW4)NWPOX@XZ9.H]MKZTWE'_TAXVI8428Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/K\JJYUXD$^S^\C33]B15=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[8%BSTK\LTV5*LYIGVX[A#[PSSN06ZD282_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX="GPYDQOQQ0)AVDDS_^B.T]PVI55WJ?;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZUUD:8TH974U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^OV\2:76=30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@RCZX6>2:10<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+UUDVG^T5:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; \RM]R74:76=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TB^? I^[FWISS>'YY@R_<1=3=0==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYI[8%BSTK\LTV5*VTKWX9:0?0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!SSN\U969<?1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UE_<!F_XGPHPR1&ZXGS\2>>548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV7(AVSN_A[[6/QQHZW;:7>=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$X^AQ^<2<73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZUDM&CTUH]CUU4-QZUUDJ;=I964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PSBOSG@)NWPOX@XZ9.T]PVI55=91^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#DQ\RMV\J@Y6&\UXO_][/H]ZAVJR\?$BSCAPRQO-QZKRPO=>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR]LRRV,MZ_B[E__:#GPNN]QTH(RWZXG??QN539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]PGWUS'@URI^BZT7,J[KIXZYG%YR]]L20\F11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SX[JXXX"GPYDQOQQ0)[[FT]>?;8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^Q@VVR(AVSN_A[[6/QQHZW;87>37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^S^M]SU-J[\CTD\^="^\C_P>2:11<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SX_JO$ERWJSMWW2+SX[[FH=;K;9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^UKGHVDM&CTUH]CUU4-QZUUD:8?56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YRYCCLR@A*OXQLYGYY8!U^QQH64512_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$_N@[_BG1e>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ([JD_SNC_2e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIF\RMJ2b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+VEI\VIF\R_=c:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,WFHSW[OXIY:?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/BCS[DBCZVdnty2?>528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,GDVXIMNYSckwt=3=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw878382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;;7>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#NO__@FGVZhbp}6?29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ C@R\EABUWgosx1;1419V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-@EUYFLMXTbhv{<7<74>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*EFXVKOH_Qaeyv?3;273\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'JK[SLJKR^lf|q:?6=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$OL^PAEFQ[kc|535845Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT0\,V[VCK<h1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS=Q[O^47O+@X[LFP==S!U^QFH1g<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AV:TXBQ94J,E[VCKS8;V"XQ\EM6b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^75U'_T_HB;a:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\4ZRHW?>@"KQ\EMY27X(RWZOG8l5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_1]WKZ03C'LT_HBT15_-QZUBD=k0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER>PTN]50N(AWZOGW<;R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^4Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ1^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V:R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR?V"XQ\EM6:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!F^QFH^0Z&\UXIA:6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]3[QIX>=A%JR]JLZ5^*PYTME>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V6R.T]PAI2>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR3V"XQ\EM64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LY7W]ET:9E!I^QQH+SX[LFS9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY3Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]7U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U?]/W\mFGWWHNO^8?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX5X(RWJK[SLJKR478Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\9T$^SNO__@FGVZKRP<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T1\,V[lEFXVKOH_;>;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_7[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS;W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][3_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^5Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR9V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ1^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]3U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q?Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY7Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\=T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP9P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX1X(RW`IJ\ROKDS72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS?W%YRMNP^CG@W323\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW;S!U^ABTZGCL[UFYU;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_3[)]VcHM]QNDEP65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR=V"XQLAQ]B@AT2=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V9R.T]@EUYFLMXTAXV:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^1Z&\UbOL^PAEFQ14=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q3Q#[PC@R\EABU=<1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSD@[C@R-BZEFXVKOH_U7]/W\GDVXIMNYS@[W539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]?U'_TeNO__@FGV07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP5P Z_BCS[DBCZ<?0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ERGATBCS*CYDIYUJHI\T9\,V[FGWWHNO^RCZX408Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\1T$^SdMNP^CG@W353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*EN484>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%HE1<1539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ CH>0:04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+FO;<7?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&IB080:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!LI=4=17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:06<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC743;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<8<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G858292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C484>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0?0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<2<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G818292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C4<4>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%O0;0:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!K<6<65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-G8=8292_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)C404>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW=S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW=S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\8T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ2^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV?R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV?R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S8W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY2Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU=]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU=]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BSCAPTRGR*LYTZE^TBHQ>.T]PGKR(OR8V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX6X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT3\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT3\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ8Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_6[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[5_-CkN)]VG^TNW:8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[5_-QZ@CZL?<7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP8P Z_MWW1<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^2Z&\USC_MV5e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ7^*BhO&\UFYUMV599V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ7^*PYAL[O>;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW8S!U^NVP0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]2U'_TTB\LY4f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY5Y+Ai@'_TAXVLY4:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY5Y+SXNMXN9:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV8R.T]OQQ3>3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\>T$^SUA]CX7g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX3X(@fA$^S@[WCX7;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX3X(RWONYI894U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU8]/W\HPR212_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S>W%YRV@RB[6`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_=[)Og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nI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ2^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY3Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_4[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ:Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP=P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP=P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV<R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX6X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_7[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_7[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]4U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW>S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV=R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT4\,DjM(RWD_SOT:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,C^2Z&\UMH_K;d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]3U'_T@XZ;f:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]3U'_TTB\LY418Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S<W%KcF!U^OV\F_3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU:]/W\BATB<m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT5\,V[ISS<o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"IT5\,V[]IUKP?87X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ4^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\>T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S?W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S?W%YRV@RB[67>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ<Q#IaH/W\IP^DQ=o0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER]]LU]MAZ7)]V]HBY!H[6_-QZ@CZL>o7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*PYK]]>m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ5^*PY_G[IR9>5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX<X(@fA$^S@[WCX6f?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR2V"XQIDSG7`>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ3Q#[PLTV7b>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ3Q#[PXNP@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW4S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV7R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV7R.T][KWE^<>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]30?64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([5;58:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?6;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1=1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;<7><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=7=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7:3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W919<>1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%BS^\CT^LF[4(RW^IEX"]38?64?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR([535?;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_CPH460<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VHYG<mi;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!F_HQ\P@TJAGK_EB@PSMK@AZHB8'_TAXVIb59V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge<2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gg2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZUUDKh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCjh1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%^S^MAT^ANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?`b?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYTKG^TO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0m9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494i56[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PSBLW[FKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848e12_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3<a=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0>0ma:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\WFHSWJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5:5nl5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<g4>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SEMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+LYN[V^N^@GAAUKLJZUKAJOTBH>!U^OV\Cd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TLl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Gd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TNl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Ad23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ll:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHGd23\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Nl:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAde3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPHBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2oo4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYOKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97hj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\LFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl878ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_IANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=1=fg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb30?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595h=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZJDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"GPIR]WAWKNFH^BCCQ\LHAF[KC7&\UFYUHm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ge=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Ee=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALm5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEe=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJmb:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.W\SFHSWEIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56kk0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;df3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\U\OCZPLBOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<0<ae>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX_JD_SAMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VFHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682ol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:6d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn<1<0f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxl2>>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0?0<b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|h682>l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4=4?<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpdYqmj6:<3:?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1?>>528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~jS{kl<00=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?568382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&imkRjnt`]uaf:6<7>;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5;>29>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drfWoh0<813g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm31?1e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=0=7c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)dnnUomyoPvda?7;5a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg929;o1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%hjjQkauc\r`e;=79m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5<5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7;3=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1613g9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-`bbYci}kTzhm39?1`?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lEFXVKOH_2?>2a8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mFGWWHNO^1?13b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jGDVXIMNY0?0<c:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.k@EUYFLMX7?3=l;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hABTZGCL[6?2>m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ5?5?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4?48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;?79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:?6:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV9?9;11^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#XQLAQ]B@AT@MG%b_N@[<1<0<>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7=3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:56:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=1=7==R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)n[JD_090<8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.kPGKR;=7937X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#d]LNU>5:6><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(aZIEX191399V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jWFHS414846[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"g\COV?=;4b3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^S^MAT^ANTZW502_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRZ]IF0:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RW]XBK=<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[QTNO88m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZNDEYUZ>k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]TGKRXDJG[S\<6;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-TGKRXKL8i7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!XCOV\LFKW:l1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#ZMAT^J@IUYDM;n0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"YLNU]KGHVXY;h0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"YLNU]OGHV5m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_MANTZEB:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#ZMAT^N@IUYV:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe969:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe979:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe949:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe959:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe929:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe939:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe909:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe919:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe9>9:m1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#nhh_ecwe9?9:j1^<"GPNN]G*PYNDH%^SJ<PSP,V[FGWK]XG#dMNP^UQ[@H5l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eY\FGFGQ[LH5m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$eY\FGFGQ[JSS:=1^<"GPNN]G*PYNDH%^S_][/F]QWQ]7U'_T^^Z=4:W3+LYIGVN%YRGCA.W\VVR(OVXXXV?R.T]QWQ433\:$ER@@_E,V[LJF'\UY_Y!H_SQW_7[)]VXXX?:4U1-J[KIXL'_TEAO U^PPP*AXZZ^P?P Z_SQW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY7Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R?V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[7_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\?T$^S_][219V4*OXFFUO"XQFL@-V[WUS'AKGXR~zlrg2=>S7'@UECRJ!U^KOE*SXZZ^$\B\=0:W3+LYIGVN%YRGCA.W\VVR(X[OT^H]JT103?P6(AVDDSI Z_HNB+PYU[]%[^HQ]ERGW57><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UH@HO]269V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZBH]]837X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PDNWW47><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\UOCXZ>359V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,QZABFVNN@LWT1\,V[CB4<2_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SJKA_EGOE\]5U'_TJI=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV=R.T]E@62<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\ULICQKEMCZ_1[)]VLO>55Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^PE@WC502_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%^SYHKRD0`?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*bnfVfjxnfjd378Q5)NWGETH#[PIMC,QZTT\&_TM_CPXGGQ+lEKZ;20Y=!F_OM\@+SXAEK$YR\\T.W\EWKXPOOY#dJFN=2=6==R8&CTBBQK.T]JHD)RW[Y_#XQNRL][B@T(aMCE0<0=6:W3+LYIGVN%YRGCA.W\VVR(]VKYARVIES-j@JSS:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}969:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}979:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}949:m1^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$eIAZT^fo}929:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#XQLLDCQ6==R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'\UOCXZ=9:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZBH]]:956[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ U^FLQQ74=2_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YRIJN^FFHD_\9T$^SKJ<5:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,QZABFVNN@LWT2\,V[CB512_;#DQAO^F-QZOKI&_T^^Z U^JBHQY_NLX$YR\IDSG1=>S7'@UECRJ!U^KOE*SXZZ^$YRFNLU][B@T(]V^MH_K=d:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,`lhXdh~hdhj=6:W3+LYIGVN%YRGCA.W\VVR(]VBJ@YQWFDP,mFJU:01^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dJFN=2=6<=R8&CTBBQK.T]JHD)RW[Y_#XQGAMV\\CCU'`NBB1?1269V4*OXFFUO"XQFL@-V[WUS'\UCMAZPXGGQ+lBH]]8n7X> I^LL[A(RW@FJ#XQ]SU-V[MGK\VRMI_!fDNWW[aj~4949i6[?/H]MKZB)]VCGM"[PRRV,QZNFD]USJH\ iEMVPZbkq585=o5Z0.K\JJYC&\UB@L!Z_SQW+PYWG[;o7X> I^LL[A(RW@FJ#XQ]SU-V[UTT\9;o7X> I^LL[A(RW@FJ#XQ]SU-V[UTT\8;h7X> I^LL[A(RW@FJ#XQ]SU-V[WUS88l0Y=!F_OM\@+SXAEK$YR\\T.kQAVCS494996[?/H]MKZB)]VCGM"[PSUCW+DESZLYNXR~zlrg14>S7'@UECRJ!U^KOE*SX[]K_#LM[_eqweq473\:$ER@@_E,V[LJF'\UXXLZ ASO\`vrf|;?0Y=!F_OM\@+SXAEK$YR][AU-@EUYUMZO_Sibv10d8Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_E22b>S7'@UECRJ!U^KOE*SX[]K_#IG]TX]G576<]9%BSCAPD/W\MIG(]VY_MY!KISVZ[VCK:;1^<"GPNN]G*PYNDH%^S^ZNT.FJVQ_Xlz~jx<h4U1-J[KIXL'_TEAO U^QWEQ)C[]K_090=4:W3+LYIGVN%YRGCA.W\WQGS'MY_MYQjn^fo}4433\:$ER@@_E,V[LJF'\UXXLZ DRVBPZciWmfr>?:4U1-J[KIXL'_TEAO U^QWEQ)C[]K_Sh`Pdm{064=R8&CTBBQK.T]JHD)RWZ^JX"J\T@V\hjgc:01^<"GPNN]G*PYNDH%^S^ZNT.G[PFGWW[OJHTQksucw64=R8&CTBBQK.T]JHD)RWZ^JX"HZLM]gwqgs:o1^<"GPNN]G*PYNDH%^S^ZNT.D\WVRF\R:V"DQ\T@V-QZUSI]9;7X> I^LL[A(RW@FJ#XQ\T@V,BZUT\H^P==S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU>]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[3_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY0Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_1[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]2U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS?W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q<Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W5S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU6]/K\WQGS&\UXXLZ=4:W3+LYIGVN%YRGCA.W\WQGS'NUE_V>R.T]MWT433\:$ER@@_E,V[LJF'\UXXLZ G^LP_4[)]VDX]?:4U1-J[KIXL'_TEAO U^QWEQ)@WGYP>P Z_OQR61=R8&CTBBQK.T]JHD)RWZ^JX"IPNRY0Y+SXFZ[986[?/H]MKZB)]VCGM"[PSUCW+BYI[R>V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[4_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\>T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U8]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^>Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW4S!U^LPU7?<]9%BSCAPD/W\MIG(]VY_MY!Bmtz\@LTSQVnxxlz>1308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?4;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4849>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx1<1239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>0:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;<7897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k080=2:W3+LYIGVN%YRGCA.W\WQGS'GYTh~znt=4=67=R8&CTBBQK.T]JHD)RWZ^JX"@\_eqweq:06;80Y=!F_OM\@+SXAEK$YR][AU-MWZbt|h~743<=;T2,MZHHWM$^SDBN/T]PPDR(FZUoyo{<8<2a>S7'@UECRJ!U^KOE*SX[]K_#C]Plncg60=R8&CTBBQK.T]JHD)RWZ^JX"][AUG\`vrf|5:5>85Z0.K\JJYC&\UB@L!Z_RVBP*USI]OTh~znt=3=5c=R8&CTBBQK.T]JHD)RWZ^JX"ZKO^lf|q433\:$ER@@_E,V[LJF'\UXXLZ TSKDC@TXlz~jx<m4U1-J[KIXL'_TEAO U^QWEQ)RWHXF>85Z0.K\JJYC&\UB@L!Z_RVBP*SXI[GTka{j_rgw5g=R8&CTBBQK.T]JHD)RWZ^JX"[PCS00?P6(AVDDSI Z_HNB+PYT\H^$YRJFRU[\BAEB::1^<"GPNN]G*PYNDH%^S^ZNT.W\@LTSQVLO\H<=;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPLEA0`>S7'@UECRJ!U^KOE*SX[]K_#XQKMTZ7+PYD[VG^T"G<.T]NQ]>(EdsSBQ=_g50e>S7'@UECRJ!U^KOE*SX[]K_#XQKMTZ7+PYD[VG^T"G<.T]NQ]>(EdsSBQ<3`9V4*OXFFUO"XQFL@-V[VRF\&_TH@[W4.W\GVYJ]Q%B?#[PMTZ;+HkrpVET8<m4U1-J[KIXL'_TEAO U^QWEQ)RWMYG>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#XQLLDCQ61=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+PYCG\^996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRJ@UU211>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZBH]];8=6[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YRIJN^FFHD_\9T$^SKJ=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^PE@WC5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]V^MH_K=9:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? dhl\hdrd`ln9>6[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$eNB]249V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/hFJJ969:<1^<"GPNN]G*PYNDH%^S^ZNT.W\@VJ6'`NBB1?12`9V4*OXFFUO"XQFL@-V[VRF\&_TH^B>/hFLQQYcdp6;2?o4U1-J[KIXL'_TEAO U^QWEQ)RWMYG="gKOTV\`i;97;o7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD;;o7X> I^LL[A(RW@FJ#XQ\T@V,QZBTD:;h7X> I^LL[A(RW@FJ#XQ\T@V,QZBTZ8i0Y=!F_OM\@+SXAEK$YR][AU-V[@EU:<1^<"GPNN]G*PYNDH%^S^ZNT.W\AFTXoenS~k{1e9V4*OXFFUO"XQFL@-V[VRF\&_TJXBC279V4*OXFFUO"XQFL@-V[VRF\&_TJXBC_fnvaZub|:30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3246d<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>0^D0f>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=<>PG2;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:=>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76::30Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N3276?<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?>42;8Q5)NWGETH#[PIMC,QZUSI]%^S@[W/T]@WZKRP&C:"XQBUY0,IhsWF;:9>74U1-J[KIXL'_TEAO U^QWEQ)RWD_S#XQLS^OV\*O6&\UFYU< Mlw{[J76>:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N317==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<=<a:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9:UM?l5Z0.K\JJYC&\UB@L!Z_RVBP*SXE\R$YRM\_LW[+L7)]VG^T?!Bmtz\K45XO:20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N377==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<;<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9?937X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M236><]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?61b9V4*OXFFUO"XQFL@-V[VRF\&_TB^B<0:W3+LYIGVN%YRGCA.W\WQGS'\UYI^K[_DFDA*OX@;F%YRJ@UU0a?P6(AVDDSI Z_HNB+PYT\H^$YR\JSDV\AAAB'\UOCXZ?2c9V4*OXFFUO"XQFL@-V[VRF\&_T^H]JT^GGC@)RWME^X<<l;T2,MZHHWM$^SDBN/T]PPDR(]VXN_HZPEEEF+lBH]]6;2?m4U1-J[KIXL'_TEAO U^QWEQ)RW[OXIYQJDFG,mAIR\5;5=k5Z0.K\JJYC&\UB@L!Z_RVBP*SXZ@YBBJ<?;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYMBD308Q5)NWGETH#[PIMC,QZUSI]%^S^ZNTBOG[k7a3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQC79o1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSM88<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=3=62=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'JC7>3<8;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-@M959:>1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#NG34?04?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)DA5?5>:5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/BK?2;403\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%HE191269V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+FO;078<7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!LI=;=63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'M6;2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?5;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%O0?0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,@959:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2;>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;=78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<7<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5=5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>;:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N753==;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-D_5[)OgB%YRCZXB[1`>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR:V"XQIDSG1g>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR:V"XQCUU0f?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S9W%YRV@RB[06>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR;V"J`G.T]NQ]E^:m1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU>]/W\BATB:j1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU>]/W\HPR5m2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV?R.T][KWE^;;1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#JU=]/EmL+SXE\RHU?j4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX6X(RWONYI?m4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX6X(RWE__>h5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY1Y+SXPFXHU><4U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.EX7X(@fA$^S@[WCX0g?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRHKRD0`?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S:W%YRBZT3g8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\;T$^SUA]CX11?P6(AVDDSI Z_HNB+PYT\H^$YR][AU]@JQ)@S=W%KcF!U^OV\F_5l2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV:R.T]E@WC5k2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV:R.T]OQQ4b3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW9S!U^ZLVF_4:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV;R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY6Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY6Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ7^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY5Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\>T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\>T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]1U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\?T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW:S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP;P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW5S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ:^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ:^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[9_-QZ^HZJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ;^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ;^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[8_-QZ^HZJS9:6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ S=2=63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6:2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?6;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%X0>0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W929:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2:>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;>78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<6<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([525>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>::4e<]9%BSCAPD/W\MIG(]VY_MY!Z_UFL65=R8&CTBBQK.T]JHD)RWZ^JX"[PTSKDC@T512_;#DQAO^F-QZOKI&_T_YO[/T]WVLA@M[Ul`xkPsdv2b>S7'@UECRJ!U^KOE*SX[]K_#d][AU>3:76<]9%BSCAPD/W\MIG(]VY_MY!fSUCW84699o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;97;m7X> I^LL[A(RW@FJ#XQ\T@V,mVRF\585=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7?3?i;T2,MZHHWM$^SDBN/T]PPDR(aZ^JX1:11g9V4*OXFFUO"XQFL@-V[VRF\&cXXLZ35?3e?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT=4=5c=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V?3;7a3\:$ER@@_E,V[LJF'\UXXLZ iRVBP9>99o1^<"GPNN]G*PYNDH%^S^ZNT.kPPDR;17897X> I^LL[A(RW@FJ#XQ\T@V,mVRF\JGOSNK=4:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYMBD^AF[k443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\5:5>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ31?00?P6(AVDDSI Z_HNB+PYT\H^$e^ZNT^AMP949::1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRXKG^7?3<<;T2,MZHHWM$^SDBN/T]PPDR(aZ^JXRMAT=6=66=R8&CTBBQK.T]JHD)RWZ^JX"g\T@V\GKR;=7887X> I^LL[A(RW@FJ#XQ\T@V,mVRF\VIEX181229V4*OXFFUO"XQFL@-V[VRF\&cXXLZPCOV?3;443\:$ER@@_E,V[LJF'\UXXLZ iRVBPZEI\525>>5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^TOCZ39?05?P6(AVDDSI Z_HNB+PYSZ@M$JR@@_UANG+SXNMX8>6[?/H]MKZB)]VCGM"[PTSKD+PYSK&CT_YA]AFG\UPGK&\UHM]QM359V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_RVLVDABWX_J@#[PC@R\@I_0m2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX[]EYMJKPQTCO*PYT\FXJKHQ^U@N,MZUUD]UEIR?!I^LNQZ7X\FU?"XQ[CM-J[KKRWL[:"XQfDNWW+LY^MZF^X; Z_RPOG40B>11^<"GPNN]G*PYNDH%^SY\FG.W\PF)NWZ^D^LIJ_PWBH+SX[]EYMJKPQTCO+LYTZE^TBHQ>.H]MIPY6W]ET8#[PTBN,MZIR\[OL"XQKOTV6a>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\WQIUINOT]XOC.T]PPJTFOLUZYLB I^QQHQYIMV;%ER@BU^3\PJY3&\U_OA!fDNWW1d=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\WQIUINOT]XOC/H]PVIRXFLU:"DQAMT]2[QIX<'_TX_GHQ508Q5)NWGETH#[PIMC,QZRUAN%^SYM I^QWKWG@MV[^MA Z_RVLVDABWX_J@"g[RHEDAW1f3\:$ER@@_E,V[LJF'\U_^DI U^V@+LYS[L[TBH_=.T]WGZCV]H^BCC!F_RPOPZHBW8$BSCCZ_0]WKZ2)]V^H@"GPNLW\AT7)]VcOCXZ I^[FWISS>'_T__BL17G50>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWGOZ>#[PTB]FUPGSAFD$ER]]LU]MAZ7)AVDFYR?PTN]7*PYSKE%BSB[[RDE-QZBH]]?j7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@U__H_PNDS1*PYSKVOZYLZFOO-J[VTK\VDNS< F_OOV[4YSGV>%YRZLL.kGKPR2>2_;#DQAO^F-QZOKI&_TX_GH/T]WG*OX\ZOZSCK^2/W\PFYBY\K_EB@ I^QQHQYIMV;%ER@BU^3\PJY3&\U_^DI^3d9V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'`^YEJIJR368Q5)NWGETH#[PIMC,QZRUAN%^SYM iBCSPPTB:11^<"GPNN]G*PYNDH%^SY\FG.W\PF)nKOMTHLZN<1<0g>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!F_LA\JJ(RWGETANQ]EF15?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-GKPRX|dzjo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P==S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=<S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=:S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9<P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9?P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q98P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q99P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q94P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q95P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q9Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9;Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9:Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6ij1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R9V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fk2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_6S=W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\=T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5de<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]1U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2ef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^1Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3bg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_=[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0c`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X=X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV18:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y3Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V??]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;:Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^75U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z30Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^71U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z34Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?7]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;2Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^7Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[32^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W??R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_75Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[36^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;<V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_71Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[3:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W?7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#JQHAOF\@I_5S;W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X75[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T<T30_-MZOIW[KEKH Z_FCM@ZBKQ;337X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P?P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]3U'CTECQ]AOEF*PY@IGNTHAW=999V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z7^*LYNFVXJBJK!U^EBJAYCDP8246[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W;S!I^KM[WGIOL$^SJOAD^FO]7??3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\?T$BSD@PR@LDA+SXOHDOSIBV28:8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y;Y+OXAGUYMCIJ.T]DEKBXLES9555Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V7R.H]JJZTFFNO%YRINNE]GH\4ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_5[)]VMJBIQ\RM]PHLEBWGET^]C U^OV\Dbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP<P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]7U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;V"XQHAOF\WWJX[ECHIR@@_SRN+PYJ]QIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_4[)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAc53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[3_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP>P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r848b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_7[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1>1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV<R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^4Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo878b:2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BSZF@LD]PHLEB&NUX@DMJ_HF[_7[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595==m4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*OXAZU_I_CFN@VJKKYTD@INSCK?.H]VW@YH]]Z^XR\JG^LF4+SXG[OLj:5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+LYN[V^N^@GAAUKLJZUKAJOTBH>!U^OV\Cbd3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZA`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXEf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW>S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ik=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP?P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1<f6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]4U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2;>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'nkehRmnrs{?5;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz878fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%lmcjPc`pq}959i01^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$bPq=3=e<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R({{fT}1<1a89V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy595;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1??>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><03=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?578?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:6;72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;?25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<;1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?317<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>23;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597?61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64835;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7=36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<?>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><33=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?678?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}4:5;72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958?25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?;1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?327<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>13;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594?61:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;35;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7>36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=?>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><23=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?7;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5929?o1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|7;=7=m7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<5;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp;7;39i;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1617g9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?39?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=2=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?558?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:6972;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:5;925>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<=1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<315<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>21;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697161:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548=54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=5070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>9?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=3=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?658?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:5972;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:58925>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?=1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<325<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>11;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694161:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;=54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>5070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=9?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=0=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?758?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P<P Z_L-V[HS(AVG^XRINNEZ-QZkf|jb$ERM\_FCM@](RWJYTKL@KX^QQH*OX[?$^SN]PG@LG\ZUUDVY=#joad^fo}7:497=m7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~:595;k5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87839i;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr>1;17g9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<36?5e?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=5=3c=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?<;1a3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?9<?1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UD^HI!I^[FWZIUMN$^SB\JG238Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#iazt^vnt77<]9%BSCAPD/W\MIG(]V^YEJ!{rhe@kkbNf;80Y=!F_OM\@+SXAEK$YRZ]IF-wvlaDggnDyy?;;T2,MZHHWM$^SDBN/hCQI4><]9%BSCAPD/W\MIG(aJK[XX\J189V4*OXFFUO"XQFL@-jGDVXLFDN>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]30?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW979::1^<"GPNN]G*PYNDH%bOL^PNTO\WDKWDLY7>3<<;T2,MZHHWM$^SDBN/hABTZHREVYJA]BJS=1=66=R8&CTBBQK.T]JHD)nKHZTBXCPS@OSH@U;<7887X> I^LL[A(RW@FJ#dMNP^LVIZUFEYFN_1;1229V4*OXFFUO"XQFL@-jGDVXF\GT_LC_LDQ?2;443\:$ER@@_E,V[LJF'`IJ\R@ZM^QBIUJB[5=5>>5Z0.K\JJYC&\UB@L!fC@R\JPKX[HG[@H]38?00?P6(AVDDSI Z_HNB+lEFXVD^AR]NMQNFW9?99m1^<"GPNN]G*PYNDH%bOL^PSUCWA9699m1^<"GPNN]G*PYNDH%bOL^PSUCWA979:91^<"GPNN]G*PYNDH%bOL^PTSKDC@TXG\^:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[6;2<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY0<0>f:W3+LYIGVN%YRGCA.k@EUYPZVKOH_2=>0d8Q5)NWGETH#[PIMC,mFGWW^XTMIJ]<2<2b>S7'@UECRJ!U^KOE*oDIYU\^ROKDS>7:4`<]9%BSCAPD/W\MIG(aJK[SZ\PAEFQ8086n2_;#DQAO^F-QZOKI&cHM]QXR^CG@W:168l0Y=!F_OM\@+SXAEK$eNO__VP\EABU4>4:j6[?/H]MKZB)]VCGM"gLAQ]TVZGCL[632<h4U1-J[KIXL'_TEAO iBCS[RTXIMNY040>a:W3+LYIGVN%YRGCA.k@EUYPZVOE=55Z0.K\JJYC&\UB@L!fD@VB858612_;#DQAO^F-QZOKI&cOMYO311<2=>S7'@UECRJ!U^KOE*oCI]K7=<0>9:W3+LYIGVN%YRGCA.kGEQG;9;4:56[?/H]MKZB)]VCGM"gKAUC?568612_;#DQAO^F-QZOKI&cOMYO315<2=>S7'@UECRJ!U^KOE*oCI]K7=80>9:W3+LYIGVN%YRGCA.kGEQG;9?4:56[?/H]MKZB)]VCGM"gKAUC?528612_;#DQAO^F-QZOKI&cOMYO319<2=>S7'@UECRJ!U^KOE*oCI]K7=40>8:W3+LYIGVN%YRGCA.kGEQG;97;27X> I^LL[A(RW@FJ#dJNT@>14;7>3\:$ER@@_E,V[LJF'`NJXL2=1?3:?P6(AVDDSI Z_HNB+lBF\H69>3?6;T2,MZHHWM$^SDBN/hFBPD:5;7;27X> I^LL[A(RW@FJ#dJNT@>10;7>3\:$ER@@_E,V[LJF'`NJXL2=5?3:?P6(AVDDSI Z_HNB+lBF\H69:3?6;T2,MZHHWM$^SDBN/hFBPD:5?7;27X> I^LL[A(RW@FJ#dJNT@>1<;7>3\:$ER@@_E,V[LJF'`NJXL2=9?3;?P6(AVDDSI Z_HNB+lBF\H692<74U1-J[KIXL'_TEAO iECWE9576830Y=!F_OM\@+SXAEK$eIO[A=12:4><]9%BSCAPD/W\MIG(aMK_M1=1199V4*OXFFUO"XQFL@-j@DRF4=4:46[?/H]MKZB)]VCGM"gKAUC?1;7?3\:$ER@@_E,V[LJF'`NJXL29>0:8Q5)NWGETH#[PIMC,mAGSI5=5=55Z0.K\JJYC&\UB@L!fD@VB8=8602_;#DQAO^F-QZOKI&cOMYO39?3b?P6(AVDDSI Z_HNB+lBF\HUOCXZ>9:W3+LYIGVN%YRGCA.kQAVCS494:56[?/H]MKZB)]VCGM"g]ERGW848612_;#DQAO^F-QZOKI&cYI^K[<3<2=>S7'@UECRJ!U^KOE*oUMZO_0>0>9:W3+LYIGVN%YRGCA.kQAVCS4=4:56[?/H]MKZB)]VCGM"g]ERGW808612_;#DQAO^F-QZOKI&cYI^K[<7<2=>S7'@UECRJ!U^KOE*oUMZO_0:0>a:W3+LYIGVN%YRGCA.kPPDRXLF__=55Z0.K\JJYC&\UB@L!fTSKDC@T6=2_;#DQ[Q1,D[QQ\8T$^SY_>6:W3+LYSY9$LSYYT11_-QZRV9?1^<"GPTP2-CZRPS8;V"XQ[Q048Q5)NW][;"JQ[WZ31Y+SX\X;=7X> I^VR4+AX\^Q:?P Z_US22>S7'@U_]= H_UUX51[)]V^Z=;5Z0.K\PT6)OV^\W<;R.T]WU40<]9%BSY_?.F]WS^71U'_TX\?9;T2,MZRV8'MTXZU>7\,V[QW6>2_;#DQ[Q1,D[QQ\91W%YRZ^179V4*OX\X:%KRZX[0;^*PYSY8?0Y=!F_US3*BYS_R;V"XQ[Q048Q5)NW][;"JQ[WZ03Y+SX\X;=7X> I^VR4+AX\^Q9=P Z_US22>S7'@U_]= H_UUX67[)]V^Z=;5Z0.K\PT6)OV^\W?=R.T]WU40<]9%BSY_?.F]WS^43U'_TX\?9;T2,MZRV8'MTXZU=5\,V[QW6>2_;#DQ[Q1,D[QQ\:?W%YRZ^179V4*OX\X:%KRZX[35^*PYSY8<0Y=!F_US3*BYS_R83Q#[PTP35?P6(AV^Z<#IPTVY1=X(RW][:96[?/H]WU5(@W]]P>P Z_US22>S7'@U_]= H_UUX75[)]V^Z=;5Z0.K\PT6)OV^\W>?R.T]WU43<]9%BSY_?.F]WS^5Z&\U_]<;4U1-J[QW7&NU_[V:R.T]WU43<]9%BSY_?.F]WS^3Z&\U_]<;4U1-J[QW7&NU_[V8R.T]WU43<]9%BSY_?.F]WS^1Z&\U_]<;4U1-J[QW7&NU_[V6R.T]WU43<]9%BSY_?.F]WS^?Z&\U_]h5Z0.kWVLAXAG6;2k5Z0.kWVLAXAG6:<3h4U1-jPWO@W@D7=<0i;T2,mQTNOVCE0<<1f:W3+lRUANUBB1?<>g9V4*oSZ@MTEC2>4?d8Q5)n\[CLSD@314<e?P6(a]XBKRGA<04=b>S7'`^YEJQFN=34:c=R8&c_^DIPIO>2<;`<]9%bX_GH_HL?5<8b3\:$eY\FG^KM848a3\:$eY\FG^KM8769n2_;#dZ]IF]JJ9466o1^<"g[RHE\MK:5:7l0Y=!fTSKD[LH;::4m7X> iUPJCZOI4;>5j6[?/hVQMBYNF58>2k5Z0.kWVLAXAG69:3h4U1-jPWO@W@D7>:0i;T2,mQTNOVCE0?61f:W3+lRUANUBB1<6>d9V4*oSZ@MTEC2=>g9V4*oSZ@MTEC2<0?d8Q5)n\[CLSD@330<f?P6(a]XBKRGA<2<f?P6(a]XBKRGA<5<f?P6(a]XBKRGA<4<f?P6(a]XBKRGA<7<f?P6(a]XBKRGA<6<f?P6(a]XBKRGA<9<f?P6(a]XBKRGA<8<`?PUBZV\B_DLCE29UGF?<^@O\SYW_Eb9TEWHNFNUDBAW9;VGB85813^OJ0<09;VGB878?3^OJ0>4?>79TAD:46?1\IO2?>79TAG:66?1\IO2=>99TAG:4294=7ZKM<2<a?RTN\LUME_][c:UQMQCXEFNNSLm4WSKWAZKHLLUI=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF<0TilPIe33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5Ver0b?ZYXPEDFSRQ?_^]V4*OXFFUO"XQFL@-V[VRF\&_TB^B;4:]\[]JIEVUT=RQPU1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^@QO54b3VUTTA@B_^]1[ZYR8&CTBBQK.T]JHD)RWZ^JX"[PSUCWGHB5j2UTSUBAM^]\7ZYX]9%BSCAPD/W\MIG(]VXXX"[PPSQW472<WVUS@CCP_^6\[ZS7'@UECRJ!U^KOE*SXLF__n6o|yoa\ip~X9k1jt`l_lw{[7d<izseoRczx^124>gtqgiTxoasggq[4773hyrbnQ{r`lpb`tX:8:0m~wac^vqekuam{U8h6mgiqq`kucXaek:<6mgiqq`kucXaekT??=?;bjjtvehxlUb`lQ<2-akmuudgyoTeaoPv0]31Zg+FFDN CAAE00;<>eoayyhc}kPimc\77*d``zxob~j_hnb[s7X8<Uj vmPxbm\hlus5Jef|b`jnu]Mehc:kac{nae^koeZ553Gfnh|POg]Wvla`m{U[cz|=09Ne}YTmxnbnkPLdtfhv;63\ynS_^Bs<dbhvc<Mgki`hQ[rhedawYH}}z~xR^`ru?eeiub3Zkf|akPOo?Qmvoio2Yja}bj_EcweZBbx}b6==<;;DlbficX[}eymjkPQtcomcodi}cdb0z}ud9GeqgX[hgnSL}PTskdc`t:|{n7Io{a^RlvqYPam~c1=5[rhedawYWg{~T[dj{h^3>77=Ji}icSX`ftr]294=Dg|diQXievk[4;Cazki`hj4M`v`lZR~xlU:1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX94lj`~k4Tskdc`tXXfxSZgkti]19==Ji}icSX`ftr]194=Dg|diQXievk[7;Cazki`hj4M`v`lZR~xlU91oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX:4lj`~k4Tskdc`tXXfxSZgkti]09==Ji}icSX`ftr]094=Dg|diQXievk[6;Cazki`hj4M`v`lZR~xlU81oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX;4lj`~k4Tskdc`tXXfxSZgkti]79==Ji}icSX`ftr]794=Dg|diQXievk[1;Cazki`hj4M`v`lZR~xlU?1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX<4lj`~k4Tskdc`tXXfxSZgkti]69==Ji}icSX`ftr]694=Dg|diQXievk[0;Cazki`hj4M`v`lZR~xlU>1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4lj`~k4Tskdc`tXXfxSZgkti]59==Ji}icSX`ftr]594=Dg|diQXievk[3;Cazki`hj4M`v`lZR~xlU=1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX>4lj`~k4Tskdc`tXXfxSZgkti]49==Ji}icSX`ftr]494=Dg|diQXievk[2;Cazki`hj4M`v`lZR~xlU<1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX?4lj`~k4Tskdc`tXXfxSZgkti];9==Ji}icSX`ftr];94=Dg|diQXievk[=;Cazki`hj4M`v`lZR~xlU31oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX04lj`~k4Tskdc`tXXfxSZgkti]:9==Ji}icSX`ftr]:94=Dg|diQXievk[<;Cazki`hj4M`v`lZR~xlU21oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX14lj`~k4Tskdc`tXXfxSZgkti]248><Eh~hdR[aiuq\55;63Je~byk}_VkgpmY684Nbllcee9NeqeoW]s{iR??=ccpmfYpa}bTiiijs:G{giscmVLyc`QKauc\WqiuinoT==3iamqf?QtnonoyS]a}t^Uj`qnX98737@o{ci]VjlrtW8;6=6M`uovfvZQnl}bT=<3Kircah`b<Eh~hdRZvpd]258df{`iT{dzg_dfdav=Bpjf~hhQIrno\@drfWZ~d~lij_03>bdjtm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755okgh5[rhedawYWg{~T[dj{h^309==Ji}icSX`ftr]2787<Kfexh|PWhfwlZ745Mcxmobjd:ObpfnX\pznS<=2b`qjgZqn|aUnhjk|;Dz`hpbbWOxdaRJnt`]PpjtfolU:?0hnlrg8Pwo`olxT\b|{_VkgpmY6<420Alzlh^WmmquX9=7:7Naznugq[Roc|aU:80Jfs``oaa=Ji}icSYwe^379ggtajU|eyfPeeefw>CkeoiRH}ol]GeqgX[}eymjkP15?eeiub3]xbkjk}_QmqpZQnl}bT=837;LcwgmYRf`~xS<;21:AlqkrbzV]bhyfP14?Gmvgedln0Alzlh^Vzt`Y6=4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[43:nhfxi6Z}ifefvZVhz}U\eizg_04><>Kf|jbTYcg{s^3594=Dg|diQXievk[40:L`yjnakk;LcwgmYSqyoT=;3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;=1kocsd.`[}bb~`injlcflx?ptdtsig<'oRm`rd]w}uc::%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh:<%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=5.`[fiumVgbbb|PamrkeZpbz43< nQ}al]w}uc:9%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQ}pl?3(fYr{lU~diPotv>4)eX}zoTmymPcmijj86+kVxiRjnt`?3(fYr{lU~di?=0.`[pubW}xbk<3?,b]vw`Ysz`m91="l_tqf[qtno:7; nQzsd]wvla359&hSx}j_upjc0;7$jU~hQ{rhe595*dW|ynSy|fg6?3(fYr{lU~di7=1.`[pubW}xbk43?,b]vw`Ysz`m:<0>#c^wpaZruan;:1="l_tqf[qtno886<!mPurg\pwo`9:7; nQzsd]wvla6<4:'oR{|e^vqmb7259&hSx}j_upjcagsi97: nQzsd]wvlaci}k:1="l_tqf[qtnomkm?3?,b]vw`Ysz`momyo<=1.`[pubW}xbkio{a5?3(fYr{lU~dikauc695*dW|ynSy|fgecwe3;7$jU~hQ{rhegeqg059&hSx}j_upjcagsi17; nQzsd]wvlaci}k21="l_tqf[qtnomkm<>20-a\qvcX|{clhlzn10?3(fYr{lU~dikauc2686+kVxiRz}iffbpd7459&hSx}j_upjcagsi8>6<!mPurg\pwo`lh~j=83?,b]geqgX`nd0?#c^fbpdYcmy~c1<>=4-a\qvcXohz6<!mPthofwqgjxV~r|h3?,b]wmhct|hg{Szgkti?06)eX|`gnyobp^fftqn:=88'oR{|e^qwkwg`mV{~ma3>,b]ww`wX|pzn1="l_own[qubyViex0>#c^vpatYdf};T{dj{h<3/gZrtmxUhby>Pwhfwl87+kVd~aRz|ep]ppdrb{4;'oR{|e^v`[hei|4:'oRzl_lampZqnl}b6=!mPeyv\gdvXzh~nS`ake<2/gZc|Vij|R~fn^ol``;7$jUey`Qjxu]`euYwagy64!mPeyv\gdvX}zoT~hi21-a\jpkXeh~hdR{aiuq>5)eX|{cl<Ryfduj>77*dW}xbk<Qxievk94*dW}xbk?Qxievk94*dW}xbk>Qxievk94*dW}xbk9Qxievk94*dW}xbk8Qxievk94*dW}xbk;Qxievk94*dW}xbk:Qxievk94*dW}xbk5Qxievk94*dW}xbk4Qxievk94*dW}xbk<>Pwhfwl87+kV~yej?>_vkgpm;6$jU~di>2^uj`qn:9%iTxgh12]tmaro58&hSy|fg06\slbs`4;'oRz}if36[roc|a7: nQb0^vsma;7$jUf=Rzie?2(fYj:V~{ei3=,b]n7Zrwam78 nQb4^vsma;3$jUf9Rzie?6(fYj>V~{ei39,b]n3Zrwam7< nQb8^vsma;?$jUf5Rzie?:(fYj99U|dj211.`[h76W}zbh0?>,b]n57Ysx`n6=?"l_l30[qvnl4;8 nQb15]wtlb:9=&hS`?:_urj`872$jUf<Rzvpd?2(fYj9V~r|h3?,b]n6Zr~xl7; nQb3^vzt`;7$jUf8Rzvpd?3(fYj=V~r|h3?,b]n2Zr~xl7; nQb7^vzt`;7$jUf4Rzvpd?3(fYj1V~r|h3?,b]n55Ysqyo6<!mPm03\p|vb59&hS`?=_u{sa86+kVg:?Rzvpd?3(fYj9=Uu}k20-a\i43X|pzn1="l_tqf[hei|97; nQzsd]ngkr659&hSx}j_lamp7;7$jU~hQbcov095*dW|ynS`mat5?3(fYr{lUfocz:=1.`[pubWdiex;3?,b]vw`Yjkg~<1="l_tqf[hei|17; nQzsd]ngkr>59&hSx}j_lamp46:8%iTy~kPmblw54;7$jU~hQbcov2686+kVxiRclnu3095*dW|ynS`mat06>4)eX}zoTan`{14?3(fYjkg~;Szgkti?2(fYjkg~:Szgkti?2(fYjkg~9Szgkti?2(fYjkg~8Szgkti?2(fYjkg~?Szgkti?2(fYjkg~>Szgkti?2(fYjkg~=Szgkti?2(fYjkg~<Szgkti?2(fYjkg~3Szgkti?2(fYjkg~2Szgkti?2(fYjkg~:<Ryfduj>5)eXejd=<Qxievk94*dWdiex<<Pwhfwl87+kVghby?<_vkgpm;6$jUfocz>4^uj`qn:9%iTan`{14]tmaro58&hSnaasupbmkrX|pzn1hv{eslbh)eXmqkf|akPddqjck;aieyn p=>;bjjtvehxlUb`lQy1^26[d*'P`fbbu.LOSG#C`hbzh~d~-?;.5;8gjsi|lxT=45lotlwawY5n2ixSo|nm^abwfgcm880o~Qmr`o\gdudimoT;?>;bq\fwgjWzcf|akPs73;?fuXj{kfS~gbpmg\w3Yuidh:4l5ls^aeciss<h1hRmigmww1f=d{Vimka{{6^3`?fuXkomgyy8P2`9`wZeaoe;<l4cr]`kkrugeh~Rv|tvmqodth}gnm7n}Pcnwmp`tXefn`n6m|_fcm`Zuud8:0o~Qhaof\wwjX{?U:==5ls^ebjaYtzeUx:R<>4:ap[bgilVyy`R}9_rgw[4733jyTkl`k_rpo[v0X{l~T><j4cr]dekbX{{fT;Q|lhaf[kiXzygT=<j4cr]dekbX{{fT;Q|lhaf[kiXzygT><j4cr]dekbX{{fT;Q|lhaf[kiXzygT?<j4cr]dekbX{{fT;Q|lhaf[kiXzygT8<j4cr]dekbX{{fT;Q|lhaf[kiXzygT9n5ls^ebja~X{{fm7n}Pg`lg|ZuudVy=;6m|_mww22=d{Vg~t?64cr]nq}03?2ixS`{w899`wZkrpV;37n}Pmtz\6==d{Vg~tR=m;bq\v`an{}oy?6jkme9f`bcXll~noya}f:koeZefxVkohijn008migXkhzToy|c_f0\wt`<aekTol~Psumqebc?3`fjSna}e89jhdYji}ic=<5fl`]neqeoWjefnd`jf:koeZkf|jbTobcmod9jhdYji}icSjoadg9jhdYji}icSjoadyg8migXzlynxRm{rmc8migX{}ky~??;hnb[qtfklUiykhjrd9jhdYsz`mTalzlhb9jhdYsz`mhccj>0:koeZruanidbiQcuu`8migX|{clkh|=;om26>vugnxja`omld]gaig~981so;}cx67.bba30='8qMN1403?EF?=81J7<51zQ:2?14<3;ij7?<33c30?4al=3pb:==:09m365=>2.<?<482c9~W3`=?:>1=oh51211e52=:on?n6j84383>4<6sZ3=6:=;:0`e>454:h:?6?hk4`9uP4b0290:6<4=edyP=3<0;=0:nk4>320b41<5nm>j7)9>c;3be>"6k90<;o5m75094?222;=?6?kj{I52e>"58;0<8?5U55814?=9j0v(:=?:666?!3?2>>87)7::667?!7c<3:0(<j<:616?l7ai3:1(<m=:0d:?k7d93:07d?i8;29 4e528l27c?l1;38?l7a>3:1(<m=:0d:?k7d93807d?i5;29 4e528l27c?l1;18?l7a<3:1(<m=:0d:?k7d93>07d?i3;29 4e528l27c?l1;78?l7a:3:1(<m=:0d:?k7d93<07d?i1;29 4e528l27c?l1;58?l7a83:1(<m=:0d:?k7d93207d?jf;29 4e528l27c?l1;;8?l7bm3:1(<m=:0d:?k7d93k07d?jd;29 4e528l27c?l1;`8?l7bj3:1(<m=:0d:?k7d93i07d?ja;29 4e528l27c?l1;f8?l7b13:1(<m=:0d:?k7d93o07d?j8;29 4e528l27c?l1;d8?l7b?3:1(<m=:0d:?k7d93;;76g>e783>!7d:3;m56`>c0825>=n9l?1<7*>c382b<=i9j;1=?54i0g7>5<#9j81=k74n0a2>45<3`;n?7>5$0a1>4`>3g;h=7?;;:k2a7<72-;h>7?i9:l2g4<6=21b>=?50;&2g7<6n01e=n?51798m767290/=n<51g;8j4e628=07d?if;29 4e528l27c?l1;3;?>o6nl0;6)?l2;3e=>h6k80:565f1gf94?"6k;0:j45a1b395d=<a8lh6=4+1b095c?<f8i:6<l4;h3ef?6=,8i96<h6;o3`5?7d32c:j:4?:%3`6?7a12d:o<4>d:9j5`e=83.:o?4>f89m5f7=9l10e<k>:18'5f4=9o30b<m>:0d8?.>c290/=n<58b9m5f7=821 4o4?:%3`6?>d3g;h=7?4;*:b>5<#9j814n5a1b396>=,000;6)?l2;:`?k7d93907&67:18'5f4=0j1e=n?54:9(<2<72-;h>76l;o3`5?3<3"2>6=4+1b09<f=i9j;1:65$8583>!7d:32h7c?l1;58?.>4290/=n<58b9m5f7=021 4?4?:%3`6?>d3g;h=774;*:2>5<#9j814n5a1b39e>=,090;6)?l2;:`?k7d93h07&9i:18'5f4=0j1e=n?5c:9(3`<72-;h>76l;o3`5?b<3"=o6=4+1b09<f=i9j;1i65$7b83>!7d:32h7c?l1;d8?.1f290/=n<58b9m5f7=9910':750;&2g7<?k2d:o<4>1:9(3=<72-;h>76l;o3`5?7532!<;7>5$0a1>=e<f8i:6<=4;*55>5<#9j814n5a1b3951=<#>?1<7*>c38;g>h6k80:965$7583>!7d:32h7c?l1;35?>-0;3:1(<m=:9a8j4e628=07&9=:18'5f4=0j1e=n?51998/27=83.:o?47c:l2g4<6121 594?:%3`6?>d3g;h=7?n;:):7?6=,8i965m4n0a2>4d<3"396=4+1b09<f=i9j;1=n54+8394?"6k;03o6`>c082`>=,190;6)?l2;:`?k7d93;n76%7f;29 4e521i0b<m>:0d8?.>1290/=n<58b9m5f7=:810':l50;&2g7<?k2d:o<4=2:9(35<72-;h>76l;o3`5?4432c>m7>5;*:f>5<#9j814n5a1b3965=<j>8m6=4>:183!47:3=:n6F82e9K34g<g8hn6=44}c51a?6=93:1<v*=038214=O?;n0D:?n;n364?6=3th9:>4?:683>5}#:981=o>4H60g?M16i2.:ni48789'537=?2c:9>4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a6=b=8391<7>t$321>4gd3A=9h6F81`9'5gb=?>30(<8>:016?!7d;3=8m6g>5283>>o6==0;66a>bb83>>{e=k:1<7750;2x 76528ni7E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j12<722c>m7>5;h;4>5<<g8i=6=44o0a4>5<<uk?i97>59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi9lk50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628;0e<;<:188k4dd2900qo==5;290?6=8r.9<?4>ae9K37b<@>;j7)?md;54=>"6>80>:6*>c2847d=n9<91<75f14694?=n9<?1<75`1ca94?=zj;3j6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3i6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3h6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;3n6=48:183!47:3;i<6F82e9K34g<,8<:6h5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj;k86=48:183!47:3;i<6F82e9K34g<,8<:6<:<;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th95i4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th95k4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m=4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m<4?:683>5}#:981=o>4H60g?M16i2.::<4j;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th9m94?:683>5}#:981=o>4H60g?M16i2.::<4>429j505=831b=8:50;9j503=831b=8850;9j501=831b=8650;9l5ge=831vn?o=:187>5<7s-8;>7?m2:J46a=O?8k0e<;<:188m4332900e<j=:188k4dd2900qo<63;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a6<4=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk82=7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm28294?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg4?n3:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>5k50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c7a2?6=<3:1<v*=03820==O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a0=1<75f1b;94?=h9j<1<75rb4`2>5<3290;w)<?2;37<>N0:m1C;<o4$0`g>21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm5c194?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl:b983>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e<m6:188k4e12900qo;nf;290?6=8r.9<?4>499K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831b=n750;9l5f0=831vn8ll:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn8ok:187>5<7s-8;>7?;8:J46a=O?8k0(<lk:65:?!7193;0e8;50;9j=2<722c:o44?::m2g3<722wi>>=50;594?6|,;:96<j6;I51`>N09h1/=oj576;8 4062;1b>54?::k1=?6=3`8j6=44i3`94?=n=h0;66g67;29?j7d>3:17pl=3083>2<729q/>=<51e;8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>m7>5;h;4>5<<g8i=6=44}c01`?6=?3:1<v*=0382`<=O?;n0D:?n;%3a`?1012.::<4=;h0;>5<<a;31<75f2`83>>o5j3:17d;n:188m<1=831d=n850;9~f74e290<6=4?{%036?7c12B<>i5G70c8 4dc2>=27)?91;08m7>=831b>44?::k1e?6=3`8i6=44i4c94?=n1>0;66a>c783>>{e:;31<7950;2x 76528n27E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j1d<722c2;7>5;n3`2?6=3th9>:4?:683>5}#:981=i74H60g?M16i2.:ni48789'537=:2c947>5;h0:>5<<a;k1<75f2c83>>o2i3:17d78:188k4e12900qo<=5;293?6=8r.9<?4>d89K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?j6=44i8594?=h9j<1<75rb300>5<0290;w)<?2;3g=>N0:m1C;<o4$0`g>21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8o50;9j=2<722e:o;4?::a677=83=1<7>t$321>4b>3A=9h6F81`9'5gb=?>30(<8>:39j6=<722c957>5;h0b>5<<a;h1<75f5`83>>o>?3:17b?l6;29?xd59o0;6:4?:1y'654=9m30D:<k;I52e>"6jm0<;45+17396>o503:17d<6:188m7g=831b>o4?::k6e?6=3`3<6=44o0a5>5<<uk8:h7>57;294~"58;0:h45G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l3f2900e4950;9l5f0=831vn??m:184>5<7s-8;>7?k9:J46a=O?8k0(<lk:65:?!719380e?650;9j6<<722c9m7>5;h0a>5<<a<k1<75f9683>>i6k?0;66sm20594?1=83:p(?>=:0f:?M15l2B<=l5+1cf932?<,8<:6?5f2983>>o513:17d<n:188m7d=831b9l4?::k:3?6=3f;h:7>5;|`150<72>0;6=u+21095a?<@>8o7E9>a:&2fa<0?01/=;?52:k1<?6=3`826=44i3c94?=n:k0;66g:a;29?l?02900c<m9:188yg46;3:1;7>50z&147<6l01C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h7b>5<<a0=1<75`1b494?=zj;;:6=48:183!47:3;o56F82e9K34g<,8ho6:96;%355?4<a;21<75f2883>>o5i3:17d<m:188m0g=831b5:4?::m2g3<722wi>=h50;594?6|,;:96<j6;I51`>N09h1/=oj576;8 4062;1b>54?::k1=?6=3`8j6=44i3`94?=n=h0;66g67;29?j7d>3:17pl=0e83>2<729q/>=<51e;8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>m7>5;h;4>5<<g8i=6=44}c03f?6=?3:1<v*=0382`<=O?;n0D:?n;%3a`?1012.::<4=;h0;>5<<a;31<75f2`83>>o5j3:17d;n:188m<1=831d=n850;9~f76>290<6=4?{%036?7c12B<>i5G70c8 4dc2>=27)?91;08m7>=831b>44?::k1e?6=3`8i6=44i4c94?=n1>0;66a>c783>>{e:9=1<7950;2x 76528n27E9=d:J45d=#9kn1;:74$042>7=n:10;66g=9;29?l4f2900e?l50;9j1d<722c2;7>5;n3`2?6=3th9<84?:683>5}#:981=i74H60g?M16i2.:ni48789'537=:2c947>5;h0:>5<<a;k1<75f2c83>>o2i3:17d78:188k4e12900qo<;1;293?6=8r.9<?4>d89K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?j6=44i8594?=h9j<1<75rb31e>5<0290;w)<?2;3g=>N0:m1C;<o4$0`g>21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8o50;9j=2<722e:o;4?::a66b=83=1<7>t$321>4b>3A=9h6F81`9'5gb=?>30(<8>:39j6=<722c957>5;h0b>5<<a;h1<75f5`83>>o>?3:17b?l6;29?xd5;k0;6:4?:1y'654=9m30D:<k;I52e>"6jm0<;45+17396>o503:17d<6:188m7g=831b>o4?::k6e?6=3`3<6=44o0a5>5<<uk8857>57;294~"58;0:h45G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l3f2900e4950;9l5f0=831vn?=8:184>5<7s-8;>7?k9:J46a=O?8k0(<lk:65:?!719380e?650;9j6<<722c9m7>5;h0a>5<<a<k1<75f9683>>i6k?0;66sm22794?1=83:p(?>=:0f:?M15l2B<=l5+1cf932?<,8<:6?5f2983>>o513:17d<n:188m7d=831b9l4?::k:3?6=3f;h:7>5;|`16c<72>0;6=u+21095a?<@>8o7E9>a:&2fa<0?01/=;?52:k1<?6=3`826=44i3c94?=n:k0;66g:a;29?l?02900c<m9:188yg4613:1;7>50z&147<6l01C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h7b>5<<a0=1<75`1b494?=zj;:86=48:183!47:3;o56F82e9K34g<,8ho6:96;%355?4<a;21<75f2883>>o5i3:17d<m:188m0g=831b5:4?::m2g3<722wi>>:50;194?6|,;:96<:=;I51`>N09h1/=oj576;8 406281b984?::k:3?6=3f;h:7>5;|`177<72:0;6=u+2109514<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44o0a5>5<<uk89i7>53;294~"58;0:8?5G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=h9j<1<75rb30`>5<4290;w)<?2;376>N0:m1C;<o4$0`g>21>3-;==7?4i4794?=n1>0;66a>c783>>{e:;k1<7=50;2x 76528>97E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?j7d>3:17pl=2983>6<729q/>=<51508L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900c<m9:188yg45>3:1?7>50z&147<6<;1C;?j4H63b?!7el3=<56*>6082?l322900e4950;9l5f0=831vn?<;:180>5<7s-8;>7?;2:J46a=O?8k0(<lk:65:?!7193;0e8;50;9j=2<722e:o;4?::a674=8391<7>t$321>4253A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;n3`2?6=3th9>=4?:283>5}#:981=9<4H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<<g8i=6=44}c02a?6=;3:1<v*=038207=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a0=1<75`1b494?=zj;;h6=4<:183!47:3;?>6F82e9K34g<,8ho6:96;%355?7<a<?1<75f9683>>i6k?0;66sm20:94?5=83:p(?>=:061?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17b?l6;29?xd59?0;6>4?:1y'654=9=80D:<k;I52e>"6jm0<;45+17395>o2=3:17d78:188k4e12900qo<>4;297?6=8r.9<?4>439K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831d=n850;9~f77529086=4?{%036?73:2B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::m2g3<722wi><>50;194?6|,;:96<:=;I51`>N09h1/=oj576;8 406281b984?::k:3?6=3f;h:7>5;|`14`<72:0;6=u+2109514<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44o0a5>5<<uk8;o7>53;294~"58;0:8?5G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=h9j<1<75rb32b>5<4290;w)<?2;376>N0:m1C;<o4$0`g>21>3-;==7?4i4794?=n1>0;66a>c783>>{e:921<7=50;2x 76528>97E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?j7d>3:17pl=0783>6<729q/>=<51508L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900c<m9:188yg43:3:1?7>50z&147<6<;1C;?j4H63b?!7el3=<56*>6082?l322900e4950;9l5f0=831vn?:?:180>5<7s-8;>7?;2:J46a=O?8k0(<lk:65:?!7193;0e8;50;9j=2<722e:o;4?::a66c=8391<7>t$321>4253A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;n3`2?6=3th9?n4?:283>5}#:981=9<4H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<<g8i=6=44}c00e?6=;3:1<v*=038207=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a0=1<75`1b494?=zj;936=4<:183!47:3;?>6F82e9K34g<,8ho6:96;%355?7<a<?1<75f9683>>i6k?0;66sm22494?5=83:p(?>=:061?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17b?l6;29?xd5;90;6>4?:1y'654=9=80D:<k;I52e>"6jm0<;45+17395>o2=3:17d78:188k4e12900qo<>a;297?6=8r.9<?4>439K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831d=n850;9~f76329086=4?{%036?73:2B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::m2g3<722wi9kk50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a1c`=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:=>50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a257=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:=<50;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk<;?7>5a;294~"58;0:hn5G73f8L27f3S??6<u=6;'5gb=?>30(<8>:29j1d<722c2;7>5;h74>5<<g8i=6=44o0a;>5<<a:;1<7*>c3804>h6k80;76g=f;29 4e52::0b<m>:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>66<f8i:6>54i3a94?"6k;08<6`>c087?>{e>9>1<7o50;2x 76528nh7E9=d:J45d=]==0:w?85}%3a`?1012.::<4<;h7b>5<<a0=1<75f5683>>i6k?0;66a>c983>>o493:1(<m=:228j4e62910e?h50;&2g7<482d:o<4>;:k1a?6=,8i96>>4n0a2>7=<a;n1<7*>c3804>h6k80876g=c;29 4e52::0b<m>:598yg07=3:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<<a<k1<75f9683>>i6k?0;66a>c683>>{e>881<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm60194?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e>8;1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm60694?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b<m>:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66<f8i:6?54i3f94?"6k;08<6`>c080?>o5k3:1(<m=:228j4e62=10qo8>5;29e?6=8r.9<?4>db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c<m9:188k4e?2900e>?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=<a;o1<7*>c3804>h6k80976g=d;29 4e52::0b<m>:298m7e=83.:o?4<0:l2g4<332wi:<850;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk<:;7>59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi:<>50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a272=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:?;50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a275=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi:?850;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk<9;7>5a;294~"58;0:hn5G73f8L27f3S??6<u=6;'5gb=?>30(<8>:29j1d<722c2;7>5;h74>5<<g8i=6=44o0a;>5<<a:;1<7*>c3804>h6k80;76g=f;29 4e52::0b<m>:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>66<f8i:6>54i3a94?"6k;08<6`>c087?>{e>;21<7o50;2x 76528nh7E9=d:J45d=]==0:w?85}%3a`?1012.::<4<;h7b>5<<a0=1<75f5683>>i6k?0;66a>c983>>o493:1(<m=:228j4e62910e?h50;&2g7<482d:o<4>;:k1a?6=,8i96>>4n0a2>7=<a;n1<7*>c3804>h6k80876g=c;29 4e52::0b<m>:598yg0513:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<<a<k1<75f9683>>i6k?0;66a>c683>>{e>;81<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62794?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e>:21<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62;94?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e>:=1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm62c94?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b<m>:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66<f8i:6?54i3f94?"6k;08<6`>c080?>o5k3:1(<m=:228j4e62=10qo8<b;29e?6=8r.9<?4>db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c<m9:188k4e?2900e>?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=<a;o1<7*>c3804>h6k80976g=d;29 4e52::0b<m>:298m7e=83.:o?4<0:l2g4<332wi:>m50;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk<8h7>59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi:>850;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a262=83>1<7>t$321>42f3A=9h6F81`9'5gb=?>30(<8>:038m03=831b5:4?::k2`7<722e:o;4?::a14c=8391<7>t$321>4gd3A=9h6F81`9'5gb=?>30(<8>:078 4e42>937d?:3;29?l72<3:17b?mc;29?xd3mo0;684?:1y'654=9ho0D:<k;I52e>"6jm0<;45+1739g>o6=:0;66g>5583>>o6=<0;66g>5783>>i6jj0;66sm4g394?3=83:p(?>=:0cf?M15l2B<=l5+1cf932?<,8<:6<=8;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j?4?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=9l1b=8=50;9j502=831b=8;50;9j500=831d=om50;9~f1`4290>6=4?{%036?7fm2B<>i5G70c8 4dc2>=27)?91;374>o6=:0;66g>5583>>o6=<0;66g>5783>>i6jj0;66sm4g694?3=83:p(?>=:0cf?M15l2B<=l5+1cf932?<,8<:6<=k;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j84?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=j2c:9>4?::k211<722c:984?::k213<722e:nn4?::a0c0=83?1<7>t$321>4gb3A=9h6F81`9'5gb=?>30(<8>:39j505=831b=8:50;9j503=831b=8850;9l5ge=831vn9h8:186>5<7s-8;>7?ne:J46a=O?8k0(<lk:65:?!7193k0e<;<:188m4332900e<;::188m4312900c<ll:188yg2a03:197>50z&147<6il1C;?j4H63b?!7el3=<56*>60820f=n9<91<75f14694?=n9<?1<75f14494?=h9ki1<75rb5d:>5<2290;w)<?2;3ba>N0:m1C;<o4$0`g>21>3-;==7?=;h367?6=3`;>87>5;h361?6=3`;>:7>5;n3ag?6=3th?j=4?:483>5}#:981=lk4H60g?M16i2.:ni48789'537=l2c:9>4?::k211<722c:984?::k213<722e:nn4?::a11e=8381<7>t$321>4g23A=9h6F81`9'5gb=?>30e<;=:188k4dd2900qo;=d;297?6=8r.9<?4>ab9K37b<@>;j7)?md;54=>"6>80:96g>5283>>o6==0;66a>bb83>>{e=8n1<7=50;2x 76528kh7E9=d:J45d=#9kn1;:74$042>4b<,8i86:=9;h367?6=3`;>87>5;n3ag?6=3th>8o4?:283>5}#:981=lm4H60g?M16i2.:ni48789'537=9:?0(<m<:61;?l72;3:17d?:4;29?j7ek3:17pl:4583>0<729q/>=<51`g8L24c3A=:m6*>be843<=#9?;1==5+1b19360<a8?86=44i077>5<<a8?>6=44i075>5<<g8hh6=44}c72f?6=?3:1<v*=0382f5=O?;n0D:?n;%355?74n2c:9>4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a14e=83=1<7>t$321>4d73A=9h6F81`9'537=9:l0e<;<:188m4332900e<;::188m4312900e<;8:188m43?2900c<ll:188yg36i3:187>50z&147<6j;1C;?j4H63b?l72;3:17d?:4;29?l7c:3:17b?mc;29?xd2<?0;694?:1y'654=9=<0D:<k;I52e>"6jm0<;45+17395>o2=3:17d;8:188m<1=831d=n850;9~f020290?6=4?{%036?73>2B<>i5G70c8 4dc2>=27)?91;38m03=831b9:4?::k:3?6=3f;h:7>5;|`600<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<<g8i=6=44}c710?6==3:1<v*=038200=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f6d83>>o>?3:17b?l6;29?xd29o0;694?:1y'654=9=20D:<k;I52e>"6jm0<;45+17395>o2=3:17d78:188m4e>2900c<m9:188yg35;3:197>50z&147<6<<1C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j2`<722c2;7>5;n3`2?6=3th>>?4?:583>5}#:981=984H60g?M16i2.:ni48789'537=92c>97>5;h74>5<<a0=1<75`1b494?=zj<386=4;:183!47:3;?:6F82e9K34g<,8ho6:96;%355?7<a<?1<75f5683>>o>?3:17b?l6;29?xd2900;694?:1y'654=9h<0D:<k;I52e>"6jm0<;45+17395>o1m3:17d78:188m4372900c<m9:188yg33l3:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi99k50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c6ee?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e<oh1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo:ic;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a0cb=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk>mi7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm4gd94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg3783:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi9=?50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c736?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e=991<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo;>6;290?6=8r.9<?4>479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi9?m50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<<uk?9n7>54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e=??1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj<<96=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<<a0k1<75f1e094?=h9h21<75rb44:>5<4290;w)<?2;51g>N0:m1C;<o4$0`g>21>3`?26=44i0c4>5<<g8hh6=44}c752?6=<3:1<v*=0382f4=O?;n0D:?n;%3a`?1012c>57>5;h;b>5<<a8n96=44o0c;>5<<uk?=h7>53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th>:l4?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`634<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a13c=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi9:;50;194?6|,;:96:<l;I51`>N09h1/=oj576;8m0?=831b=l950;9l5ge=831vn89=:187>5<7s-8;>7?m1:J46a=O?8k0(<lk:65:?l3>2900e4o50;9j5a4=831d=l650;9~f01>29086=4?{%036?15k2B<>i5G70c8 4dc2>=27d;6:188m4g02900c<ll:188yg30>3:187>50z&147<6j81C;?j4H63b?!7el3=<56g:9;29?l?f2900e<j=:188k4g?2900qo;8d;297?6=8r.9<?482b9K37b<@>;j7)?md;54=>o213:17d?n7;29?j7ek3:17pl:7`83>1<729q/>=<51c38L24c3A=:m6*>be843<=n=00;66g6a;29?l7c:3:17b?n8;29?xd2080;6>4?:1y'654=?;i0D:<k;I52e>"6jm0<;45f5883>>o6i>0;66a>bb83>>{e=>o1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm59794?5=83:p(?>=:60`?M15l2B<=l5+1cf932?<a<31<75f1`594?=h9ki1<75rb4:1>5<3290;w)<?2;3a5>N0:m1C;<o4$0`g>21>3`?26=44i8c94?=n9m81<75`1`:94?=zj<236=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<<a8k<6=44o0``>5<<uk?=87>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi9;650;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo;9c;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm56294?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c740?6=:3:1<v*=0382eg=O?;n0D:?n;%3a`?1012.::<4>5:k216<722e:nn4?::a12>=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c<ll:188yg30k3:1>7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e=1:1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>43<a8?86=44o0``>5<<uk?387>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi95950;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo;93;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a131=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk?=n7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm57d94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg30;3:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi9:950;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c74f?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e=>l1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo;73;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a1=0=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk8in7>53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th9n54?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`1fc<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a6ge=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi>n=50;194?6|,;:96:<l;I51`>N09h1/=oj576;8m0?=831b=l950;9l5ge=831vn?m?:187>5<7s-8;>7?m1:J46a=O?8k0(<lk:65:?l3>2900e4o50;9j5a4=831d=l650;9~f7e029086=4?{%036?15k2B<>i5G70c8 4dc2>=27d;6:188m4g02900c<ll:188yg4d<3:187>50z&147<6j81C;?j4H63b?!7el3=<56g:9;29?l?f2900e<j=:188k4g?2900qo<lb;297?6=8r.9<?482b9K37b<@>;j7)?md;54=>o213:17d?n7;29?j7ek3:17pl=c983>1<729q/>=<51c38L24c3A=:m6*>be843<=n=00;66g6a;29?l7c:3:17b?n8;29?xd5ko0;6>4?:1y'654=?;i0D:<k;I52e>"6jm0<;45f5883>>o6i>0;66a>bb83>>{e:ji1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm2e194?5=83:p(?>=:60`?M15l2B<=l5+1cf932?<a<31<75f1`594?=h9ki1<75rb3f3>5<3290;w)<?2;3a5>N0:m1C;<o4$0`g>21>3`?26=44i8c94?=n9m81<75`1`:94?=zj;n<6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<<a8k<6=44o0``>5<<uk8o87>54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<<g8k36=44}c0gf?6=;3:1<v*=03846f=O?;n0D:?n;%3a`?1012c>57>5;h3b3?6=3f;io7>5;|`1`=<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b<?6=3th9hh4?:283>5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi>oo50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo<me;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm2b094?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c0`2?6=:3:1<v*=0382eg=O?;n0D:?n;%3a`?1012.::<4>5:k216<722e:nn4?::a6fg=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c<ll:188yg4dm3:1>7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e:m81<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>43<a8?86=44o0``>5<<uk8o:7>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi>io50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo<kd;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm2c;94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg4el3:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>n?50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c0`1?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e:j31<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo<ld;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a6a7=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk8o97>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm2e;94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg4ck3:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi8ik50;194?6|,;:96<ol;I51`>N09h1/=oj576;8 40628n0e<;<:188m4332900c<ll:188yg2cl3:187>50z&147<6im1C;?j4H63b?!7el3=<56*>60827==n9<91<75f14694?=n9<?1<75`1ca94?=zj=nh6=4::183!47:3;ji6F82e9K34g<,8ho6:96;%355?73l2.:o>48389j505=831b=8:50;9j503=831b=8850;9l5ge=831vn9l;:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9l<:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9l=:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9l>:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9l?:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9oi:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9oj:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9ok:187>5<7s-8;>7?;a:J46a=O?8k0(<lk:65:?!7193;:7d;::188m<1=831b=i<50;9l5f0=831vn9ml:180>5<7s-8;>7?nc:J46a=O?8k0(<lk:65:?!7193;>7d?:3;29?l72<3:17b?mc;29?xd3l80;694?:1y'654=9=<0D:<k;I52e>"6jm0<;45+17395>o2=3:17d;8:188m<1=831d=n850;9~f1b7290?6=4?{%036?73>2B<>i5G70c8 4dc2>=27)?91;38m03=831b9:4?::k:3?6=3f;h:7>5;|`7`7<72<0;6=u+2109513<@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`?<6=44i7g94?=n1>0;66a>c783>>{e<jn1<7:50;2x 76528>37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd3l:0;684?:1y'654=9=?0D:<k;I52e>"6jm0<;45+17395>o2=3:17d;8:188m3c=831b5:4?::m2g3<722wi8o750;194?6|,;:96<ol;I51`>N09h1/=oj576;8 40628?0e<;<:188m4332900c<ll:188yg2d83:187>50z&147<6<?1C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722e:o;4?::a0g`=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<g8i=6=44}c6aa?6=<3:1<v*=038203=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>i6k?0;66sm4cf94?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo:l1;291?6=8r.9<?4>449K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b:h4?::k:3?6=3f;h:7>5;|`7fd<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<<g8i=6=44}c6`6?6==3:1<v*=038200=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f6d83>>o>?3:17b?l6;29?xd4=j0;6l4?:1y'654=9mi0D:<k;I52e>\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907d<l:18'5f4=;91e=n?54:9~f602290j6=4?{%036?7ck2B<>i5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`021<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(<lk:65:?!719390e8o50;9j=2<722c>;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d<j:18'5f4=;91e=n?52:9j6a<72-;h>7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb20`>5<f290;w)<?2;3gg>N0:m1C;<o4Z4695~412t.:ni48789'537=;2c>m7>5;h;4>5<<a<=1<75`1b494?=h9j21<75f3083>!7d:39;7c?l1;28?l4a290/=n<5319m5f7=921b>h4?:%3`6?573g;h=7<4;h0g>5<#9j81?=5a1b397>=n:j0;6)?l2;13?k7d93>07pl<2c83>d<729q/>=<51ea8L24c3A=:m6T:4;3x63<z,8ho6:96;%355?5<a<k1<75f9683>>o2?3:17b?l6;29?j7d03:17d=>:18'5f4=;91e=n?50:9j6c<72-;h>7=?;o3`5?7<3`8n6=4+1b0975=i9j;1>65f2e83>!7d:39;7c?l1;18?l4d290/=n<5319m5f7=<21vn><n:187>5<7s-8;>7?m2:J46a=O?8k0(<lk:65:?l72;3:17d?:4;29?l7c:3:17b?mc;29?xd4=o0;6l4?:1y'654=9mi0D:<k;I52e>\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907d<l:18'5f4=;91e=n?54:9~f63b290j6=4?{%036?7ck2B<>i5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`01a<72=0;6=u+21095g4<@>8o7E9>a:&2fa<0?01b=8=50;9j502=831b=i<50;9l5ge=831vn>=<:18b>5<7s-8;>7?kc:J46a=O?8k0V8:51z05>x"6jm0<;45+17397>o2i3:17d78:188m01=831d=n850;9l5f>=831b?<4?:%3`6?573g;h=7>4;h0e>5<#9j81?=5a1b395>=n:l0;6)?l2;13?k7d93807d<k:18'5f4=;91e=n?53:9j6f<72-;h>7=?;o3`5?2<3th8??4?:`83>5}#:981=im4H60g?M16i2P>87?t278~ 4dc2>=27)?91;18m0g=831b5:4?::k63?6=3f;h:7>5;n3`<?6=3`9:6=4+1b0975=i9j;1<65f2g83>!7d:39;7c?l1;38?l4b290/=n<5319m5f7=:21b>i4?:%3`6?573g;h=7=4;h0`>5<#9j81?=5a1b390>=zj:9:6=4;:183!47:3;i>6F82e9K34g<,8ho6:96;h367?6=3`;>87>5;h3g6?6=3f;io7>5;|`015<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(<lk:65:?!719390e8o50;9j=2<722c>;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d<j:18'5f4=;91e=n?52:9j6a<72-;h>7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb26e>5<f290;w)<?2;3gg>N0:m1C;<o4Z4695~412t.:ni48789'537=;2c>m7>5;h;4>5<<a<=1<75`1b494?=h9j21<75f3083>!7d:39;7c?l1;28?l4a290/=n<5319m5f7=921b>h4?:%3`6?573g;h=7<4;h0g>5<#9j81?=5a1b397>=n:j0;6)?l2;13?k7d93>07pl<4d83>d<729q/>=<51ea8L24c3A=:m6T:4;3x63<z,8ho6:96;%355?5<a<k1<75f9683>>o2?3:17b?l6;29?j7d03:17d=>:18'5f4=;91e=n?50:9j6c<72-;h>7=?;o3`5?7<3`8n6=4+1b0975=i9j;1>65f2e83>!7d:39;7c?l1;18?l4d290/=n<5319m5f7=<21vn>:k:18b>5<7s-8;>7?kc:J46a=O?8k0V8:51z05>x"6jm0<;45+17397>o2i3:17d78:188m01=831d=n850;9l5f>=831b?<4?:%3`6?573g;h=7>4;h0e>5<#9j81?=5a1b395>=n:l0;6)?l2;13?k7d93807d<k:18'5f4=;91e=n?53:9j6f<72-;h>7=?;o3`5?2<3th88l4?:583>5}#:981=o<4H60g?M16i2.:ni48789j505=831b=8:50;9j5a4=831d=om50;9~f62e290?6=4?{%036?7e:2B<>i5G70c8 4dc2>=27d?:3;29?l72<3:17d?k2;29?j7ek3:17pl<4b83>1<729q/>=<51c18L24c3A=:m6*>be843<=n9<91<75f14694?=n9m81<75`1ca94?=zj:9n6=4n:183!47:3;oo6F82e9K34g<R<>1=v<9:|&2fa<0?01/=;?53:k6e?6=3`3<6=44i4594?=h9j<1<75`1b:94?=n;80;6)?l2;13?k7d93:07d<i:18'5f4=;91e=n?51:9j6`<72-;h>7=?;o3`5?4<3`8o6=4+1b0975=i9j;1?65f2b83>!7d:39;7c?l1;68?xd4;m0;6l4?:1y'654=9mi0D:<k;I52e>\2<3;p>;4r$0`g>21>3-;==7=4i4c94?=n1>0;66g:7;29?j7d>3:17b?l8;29?l56290/=n<5319m5f7=821b>k4?:%3`6?573g;h=7?4;h0f>5<#9j81?=5a1b396>=n:m0;6)?l2;13?k7d93907d<l:18'5f4=;91e=n?54:9~f65d290j6=4?{%036?7ck2B<>i5G70c8^02=9r8=6p*>be843<=#9?;1?6g:a;29?l?02900e8950;9l5f0=831d=n650;9j74<72-;h>7=?;o3`5?6<3`8m6=4+1b0975=i9j;1=65f2d83>!7d:39;7c?l1;08?l4c290/=n<5319m5f7=;21b>n4?:%3`6?573g;h=7:4;|`07g<72h0;6=u+21095ae<@>8o7E9>a:X60?7|:?0v(<lk:65:?!719390e8o50;9j=2<722c>;7>5;n3`2?6=3f;h47>5;h12>5<#9j81?=5a1b394>=n:o0;6)?l2;13?k7d93;07d<j:18'5f4=;91e=n?52:9j6a<72-;h>7=?;o3`5?5<3`8h6=4+1b0975=i9j;1865rb21;>5<3290;w)<?2;3a6>N0:m1C;<o4$0`g>21>3`;>?7>5;h360?6=3`;o>7>5;n3ag?6=3th8?44?:583>5}#:981=o<4H60g?M16i2.:ni48789j505=831b=8:50;9j5a4=831d=om50;9~f65f290?6=4?{%036?7e;2B<>i5G70c8 4dc2>=27d?:3;29?l72<3:17d?k2;29?j7ek3:17pl<6283><<729q/>=<51e`8L24c3A=:m6*>be843<=#9?;1>6g=8;29?l4>2900e?o50;9j6g<722c>;7>5;h7b>5<<a0=1<75`1b494?=h9j=1<75rb27a>5<>290;w)<?2;3gf>N0:m1C;<o4$0`g>21>3-;==7<4i3:94?=n:00;66g=a;29?l4e2900e8950;9j1d<722c2;7>5;n3`2?6=3f;h;7>5;|`7=1<72=0;6=u+21095db<@>8o7E9>a:&2fa<0?01/=;?5179j505=831b=8:50;9j503=831d=om50;9~f64>290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a71?=83>1<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`072<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<<g8i=6=44}c6:2?6=<3:1<v*=03820==O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a0=1<75f1b;94?=h9j<1<75rb5;6>5<3290;w)<?2;37<>N0:m1C;<o4$0`g>21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm33:94?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl<2683>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e<m6:188k4e12900qo:63;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a0<>=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk>2;7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm3e`94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg5c03:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi?i:50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c1g4?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e;ji1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo=l8;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a7f2=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk9h<7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm3ca94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg5e03:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi?im50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo=k9;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3e794?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c1g5?6=:3:1<v*=0382eg=O?;n0D:?n;%3a`?1012.::<4>5:k216<722e:nn4?::a7fb=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c<ll:188yg5d13:1>7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e;j?1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>43<a8?86=44o0``>5<<uk9h=7>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi?oj50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo=m9;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3ef94?5=83:p(?>=:60`?M15l2B<=l5+1cf932?<a<31<75f1`594?=h9ki1<75rb2f4>5<3290;w)<?2;3a5>N0:m1C;<o4$0`g>21>3`?26=44i8c94?=n9m81<75`1`:94?=zj:nj6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<<a8k<6=44o0``>5<<uk9o?7>54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<<g8k36=44}c1g2?6=;3:1<v*=03846f=O?;n0D:?n;%3a`?1012c>57>5;h3b3?6=3f;io7>5;|`0gc<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b<?6=3th8h?4?:283>5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi?nl50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a7fc=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f6e0290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m<g=831b=i<50;9l5d>=831vn>mn:180>5<7s-8;>79=c:J46a=O?8k0(<lk:65:?l3>2900e<o8:188k4dd2900qo=l3;290?6=8r.9<?4>b09K37b<@>;j7)?md;54=>o213:17d7n:188m4b52900c<o7:188yg5d>3:1?7>50z&147<0:j1C;?j4H63b?!7el3=<56g:9;29?l7f?3:17b?mc;29?xd4jo0;694?:1y'654=9k;0D:<k;I52e>"6jm0<;45f5883>>o>i3:17d?k2;29?j7f03:17pl<c383>6<729q/>=<573a8L24c3A=:m6*>be843<=n=00;66g>a683>>i6jj0;66sm3c`94?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e;ko1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj:h<6=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<<a0k1<75f1e094?=h9h21<75rb2`b>5<4290;w)<?2;51g>N0:m1C;<o4$0`g>21>3`?26=44i0c4>5<<g8hh6=44}c62b?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e<8i1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo:>8;291?6=8r.9<?4>489K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::k2g<<722e:o;4?::a042=83?1<7>t$321>42>3A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<a8i26=44o0a5>5<<uk>:<7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm41a94?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg2703:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi8=:50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c634?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e;oi1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo:=0;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm40f94?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c62=?6=:3:1<v*=0382eg=O?;n0D:?n;%3a`?1012.::<4>5:k216<722e:nn4?::a043=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c<ll:188yg2693:1>7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e<9n1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>43<a8?86=44o0``>5<<uk>;57>52;294~"58;0:mo5G73f8L27f3-;ih7989:&224<6=2c:9>4?::m2ff<722wi8=;50;094?6|,;:96<om;I51`>N09h1/=oj576;8 40628?0e<;<:188k4dd2900qo:?1;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm3gf94?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c615?6=;3:1<v*=03846f=O?;n0D:?n;%3a`?1012c>57>5;h3b3?6=3f;io7>5;|`75g<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b<?6=3th?=h4?:283>5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi8<950;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a04g=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f174290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m<g=831b=i<50;9l5d>=831vn9?9:180>5<7s-8;>79=c:J46a=O?8k0(<lk:65:?l3>2900e<o8:188k4dd2900qo:?f;290?6=8r.9<?4>b09K37b<@>;j7)?md;54=>o213:17d7n:188m4b52900c<o7:188yg26:3:1?7>50z&147<0:j1C;?j4H63b?!7el3=<56g:9;29?l7f?3:17b?mc;29?xd38k0;694?:1y'654=9k;0D:<k;I52e>"6jm0<;45f5883>>o>i3:17d?k2;29?j7f03:17pl;0d83>6<729q/>=<573a8L24c3A=:m6*>be843<=n=00;66g>a683>>i6jj0;66sm41594?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e<9k1<7=50;2x 7652>8h7E9=d:J45d=#9kn1;:74i4;94?=n9h=1<75`1ca94?=zj=:86=4;:183!47:3;i=6F82e9K34g<,8ho6:96;h7:>5<<a0k1<75f1e094?=h9h21<75rb525>5<4290;w)<?2;51g>N0:m1C;<o4$0`g>21>3`?26=44i0c4>5<<g8hh6=44}c1eb?6=<3:1<v*=0382f4=O?;n0D:?n;%3a`?1012c>57>5;h;b>5<<a8n96=44o0c;>5<<uk>;>7>53;294~"58;0<>n5G73f8L27f3-;ih7989:k6=?6=3`;j;7>5;n3ag?6=3th8jo4?:583>5}#:981=o?4H60g?M16i2.:ni48789j1<<722c2m7>5;h3g6?6=3f;j47>5;|`0b`<72:0;6=u+210937e<@>8o7E9>a:&2fa<0?01b944?::k2e2<722e:nn4?::a7d4=83>1<7>t$321>4gc3A=9h6F81`9'5gb=?>30(<8>:448m4342900e<;;:188m4322900c<ll:188yg5>n3:187>50z&147<6im1C;?j4H63b?!7el3=<56*>60862>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e;0i1<7:50;2x 76528ko7E9=d:J45d=#9kn1;:74$042>00<a8?86=44i077>5<<a8?>6=44o0``>5<<uk9257>54;294~"58;0:mi5G73f8L27f3-;ih7989:&224<2>2c:9>4?::k211<722c:984?::m2ff<722wi?4850;694?6|,;:96<ok;I51`>N09h1/=oj576;8 4062<<0e<;<:188m4332900e<;::188k4dd2900qo=63;290?6=8r.9<?4>ae9K37b<@>;j7)?md;54=>"6>80>:6g>5283>>o6==0;66g>5483>>i6jj0;66sm38294?2=83:p(?>=:0cg?M15l2B<=l5+1cf932?<,8<:6884i070>5<<a8??6=44i076>5<<g8hh6=44}c1;`?6=<3:1<v*=0382ea=O?;n0D:?n;%3a`?1012.::<4:6:k216<722c:994?::k210<722e:nn4?::a7=g=83>1<7>t$321>4gc3A=9h6F81`9'5gb=?>30(<8>:448m4342900e<;;:188m4322900c<ll:188yg5??3:187>50z&147<6im1C;?j4H63b?!7el3=<56*>60862>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e<;n1<7=50;2x 76528kh7E9=d:J45d=#9kn1;:74$042>71<,8i86:=8;h367?6=3`;>87>5;n3ag?6=3th?>n4?:283>5}#:981=lm4H60g?M16i2.:ni48789'537=:>1/=n=57258m4342900e<;;:188k4dd2900qo=75;29<?6=8r.9<?4>d`9K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?<6=44i4c94?=n1>0;66a>c783>>{e;>h1<7:50;2x 76528>=7E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900c<m9:188yg50i3:187>50z&147<6<?1C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722e:o;4?::a72?=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<g8i=6=44}c14<?6=<3:1<v*=038203=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>i6k?0;66sm36594?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo=86;290?6=8r.9<?4>479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi?5:50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<<uk93?7>54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e;181<7:50;2x 76528>=7E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900c<m9:188yg5?93:187>50z&147<6<?1C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722e:o;4?::a7=6=83>1<7>t$321>4213A=9h6F81`9'5gb=?>30(<8>:09j10<722c>;7>5;h;4>5<<g8i=6=44}c14b?6=<3:1<v*=038203=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>i6k?0;66sm36g94?2=83:p(?>=:065?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188k4e12900qo=8d;290?6=8r.9<?4>479K37b<@>;j7)?md;54=>"6>80:7d;::188m01=831b5:4?::m2g3<722wi?:m50;694?6|,;:96<:9;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44o0a5>5<<uk9<97>54;294~"58;0:8;5G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66a>c783>>{e;h;1<7:50;2x 76528>37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd4i:0;694?:1y'654=9=20D:<k;I52e>"6jm0<;45+17395>o2=3:17d78:188m4e>2900c<m9:188yg5>m3:187>50z&147<6<11C;?j4H63b?!7el3=<56*>6082?l322900e4950;9j5f?=831d=n850;9~f6g7290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a7<d=83>1<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`0=a<72=0;6=u+210951><@>8o7E9>a:&2fa<0?01/=;?51:k61?6=3`3<6=44i0a:>5<<g8i=6=44}c1:<?6=<3:1<v*=03820==O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a0=1<75f1b;94?=h9j<1<75rb2;b>5<3290;w)<?2;37<>N0:m1C;<o4$0`g>21>3-;==7?4i4794?=n1>0;66g>c883>>i6k?0;66sm38794?2=83:p(?>=:06;?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o>?3:17d?l9;29?j7d>3:17pl<9683>1<729q/>=<515:8L24c3A=:m6*>be843<=#9?;1=6g:5;29?l?02900e<m6:188k4e12900qo=62;290?6=8r.9<?4>499K37b<@>;j7)?md;54=>"6>80:7d;::188m<1=831b=n750;9l5f0=831vn>7;:187>5<7s-8;>7?;8:J46a=O?8k0(<lk:65:?!7193;0e8;50;9j=2<722c:o44?::m2g3<722wi?5h50;694?6|,;:96<:7;I51`>N09h1/=oj576;8 406281b984?::k:3?6=3`;h57>5;n3`2?6=3th85<4?:583>5}#:981=964H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<<a8i26=44o0a5>5<<uk93o7>54;294~"58;0:855G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=n9j31<75`1b494?=zj:2n6=4;:183!47:3;?46F82e9K34g<,8ho6:96;%355?7<a<?1<75f9683>>o6k00;66a>c783>>{e;131<7:50;2x 76528>37E9=d:J45d=#9kn1;:74$042>4=n=<0;66g67;29?l7d13:17b?l6;29?xd40k0;694?:1y'654=9=20D:<k;I52e>"6jm0<;45+17395>o2=3:17d78:188m4e>2900c<m9:188yg5?>3:187>50z&147<6<11C;?j4H63b?!7el3=<56*>6082?l322900e4950;9j5f?=831d=n850;9~f6>?290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a000=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88950;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a00>=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88750;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a00g=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi88l50;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk>>o7>5a;294~"58;0:hn5G73f8L27f3S??6<u=6;'5gb=?>30(<8>:29j1d<722c2;7>5;h74>5<<g8i=6=44o0a;>5<<a:;1<7*>c3804>h6k80;76g=f;29 4e52::0b<m>:098m7c=83.:o?4<0:l2g4<532c9h7>5$0a1>66<f8i:6>54i3a94?"6k;08<6`>c087?>{e<<n1<7o50;2x 76528nh7E9=d:J45d=]==0:w?85}%3a`?1012.::<4<;h7b>5<<a0=1<75f5683>>i6k?0;66a>c983>>o493:1(<m=:228j4e62910e?h50;&2g7<482d:o<4>;:k1a?6=,8i96>>4n0a2>7=<a;n1<7*>c3804>h6k80876g=c;29 4e52::0b<m>:598yg22m3:157>50z&147<6lk1C;?j4H63b?!7el3=<56*>6081?l4?2900e?750;9j6d<722c9n7>5;h74>5<<a<k1<75f9683>>i6k?0;66a>c683>>{e<=81<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45194?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e<=>1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45794?2=83:p(?>=:0`2?M15l2B<=l5+1cf932?<a<31<75f9`83>>o6l;0;66a>a983>>{e<=<1<7:50;2x 76528h:7E9=d:J45d=#9kn1;:74i4;94?=n1h0;66g>d383>>i6i10;66sm45594?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b<m>:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66<f8i:6?54i3f94?"6k;08<6`>c080?>o5k3:1(<m=:228j4e62=10qo:;8;29e?6=8r.9<?4>db9K37b<@>;j7W;;:0y12?{#9kn1;:74$042>6=n=h0;66g67;29?l302900c<m9:188k4e?2900e>?50;&2g7<482d:o<4?;:k1b?6=,8i96>>4n0a2>4=<a;o1<7*>c3804>h6k80976g=d;29 4e52::0b<m>:298m7e=83.:o?4<0:l2g4<332wi89750;c94?6|,;:96<jl;I51`>N09h1Q994>{349y!7el3=<56*>6080?l3f2900e4950;9j12<722e:o;4?::m2g=<722c8=7>5$0a1>66<f8i:6=54i3d94?"6k;08<6`>c082?>o5m3:1(<m=:228j4e62;10e?j50;&2g7<482d:o<4<;:k1g?6=,8i96>>4n0a2>1=<uk>?m7>59;294~"58;0:ho5G73f8L27f3-;ih7989:&224<53`836=44i3;94?=n:h0;66g=b;29?l302900e8o50;9j=2<722e:o;4?::m2g2<722wi8?k50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a07`=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi8>>50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a067=83>1<7>t$321>4d63A=9h6F81`9'5gb=?>30e8750;9j=d<722c:h?4?::m2e=<722wi8><50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a065=83k1<7>t$321>4bd3A=9h6F81`9Y11<6s;<1q)?md;54=>"6>8087d;n:188m<1=831b9:4?::m2g3<722e:o54?::k05?6=,8i96>>4n0a2>5=<a;l1<7*>c3804>h6k80:76g=e;29 4e52::0b<m>:398m7b=83.:o?4<0:l2g4<432c9o7>5$0a1>66<f8i:6954}c600?6=i3:1<v*=0382`f=O?;n0D:?n;[77>4}5>3w/=oj576;8 4062:1b9l4?::k:3?6=3`?<6=44o0a5>5<<g8i36=44i2394?"6k;08<6`>c083?>o5n3:1(<m=:228j4e62810e?k50;&2g7<482d:o<4=;:k1`?6=,8i96>>4n0a2>6=<a;i1<7*>c3804>h6k80?76sm42794?g=83:p(?>=:0f`?M15l2B<=l5U558270=u-;ih7989:&224<43`?j6=44i8594?=n=>0;66a>c783>>i6k10;66g<1;29 4e52::0b<m>:198m7`=83.:o?4<0:l2g4<632c9i7>5$0a1>66<f8i:6?54i3f94?"6k;08<6`>c080?>o5k3:1(<m=:228j4e62=10qo:<6;29=?6=8r.9<?4>dc9K37b<@>;j7)?md;54=>"6>8097d<7:188m7?=831b>l4?::k1f?6=3`?<6=44i4c94?=n1>0;66a>c783>>i6k>0;66sm26194?5=83:p(?>=:60`?M15l2B<=l5+1cf932?<a<31<75f1`594?=h9ki1<75rb353>5<3290;w)<?2;3a5>N0:m1C;<o4$0`g>21>3`?26=44i8c94?=n9m81<75`1`:94?=zj;=<6=4<:183!47:3=9o6F82e9K34g<,8ho6:96;h7:>5<<a8k<6=44o0``>5<<uk8<87>54;294~"58;0:n<5G73f8L27f3-;ih7989:k6=?6=3`3j6=44i0f1>5<<g8k36=44}c04f?6=;3:1<v*=03846f=O?;n0D:?n;%3a`?1012c>57>5;h3b3?6=3f;io7>5;|`13=<72=0;6=u+21095g7<@>8o7E9>a:&2fa<0?01b944?::k:e?6=3`;o>7>5;n3b<?6=3th9;k4?:283>5}#:981;?m4H60g?M16i2.:ni48789j1<<722c:m:4?::m2ff<722wi>:m50;694?6|,;:96<l>;I51`>N09h1/=oj576;8m0?=831b5l4?::k2`7<722e:m54?::a6=5=8391<7>t$321>24d3A=9h6F81`9'5gb=?>30e8750;9j5d1=831d=om50;9~f7>7290?6=4?{%036?7e92B<>i5G70c8 4dc2>=27d;6:188m<g=831b=i<50;9l5d>=831vn?69:180>5<7s-8;>79=c:J46a=O?8k0(<lk:65:?l3>2900e<o8:188k4dd2900qo<82;296?6=8r.9<?4>ac9K37b<@>;j7)?md;54=>"6>80:96g>5283>>i6jj0;66sm26494?4=83:p(?>=:0ca?M15l2B<=l5+1cf932?<,8<:6<;4i070>5<<g8hh6=44}c04e?6=:3:1<v*=0382eg=O?;n0D:?n;%3a`?1012.::<4>5:k216<722e:nn4?::a62c=8381<7>t$321>4ge3A=9h6F81`9'5gb=?>30(<8>:078m4342900c<ll:188yg4?:3:1>7>50z&147<6ik1C;?j4H63b?!7el3=<56*>60821>o6=:0;66a>bb83>>{e:1?1<7<50;2x 76528ki7E9=d:J45d=#9kn1;:74$042>43<a8?86=44o0``>5<<uk8<=7>55;294~"58;0:845G73f8L27f3-;ih7989:&224<63`?>6=44i4594?=n1>0;66g>c883>>i6k?0;66sm26794?3=83:p(?>=:06:?M15l2B<=l5+1cf932?<,8<:6<5f5483>>o2?3:17d78:188m4e>2900c<m9:188yg4013:197>50z&147<6<01C;?j4H63b?!7el3=<56*>6082?l322900e8950;9j=2<722c:o44?::m2g3<722wi>:j50;794?6|,;:96<:6;I51`>N09h1/=oj576;8 406281b984?::k63?6=3`3<6=44i0a:>5<<g8i=6=44}c0;5?6==3:1<v*=03820<=O?;n0D:?n;%3a`?1012.::<4>;h76>5<<a<=1<75f9683>>o6k00;66a>c783>>{e:1>1<7;50;2x 76528>27E9=d:J45d=#9kn1;:74$042>4=n=<0;66g:7;29?l?02900e<m6:188k4e12900qo;?4;290?6=8r.9<?4>ae9K37b<@>;j7)?91;302>o6=:0;66g>5583>>o6=<0;66a>bb83>>{e:o?1<7950;2x 76528h;7E9=d:J45d=#9?;1i6g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e:o>1<7950;2x 76528h;7E9=d:J45d=#9?;156g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:l1<7950;2x 76528h;7E9=d:J45d=#9?;1=9k4i070>5<<a8??6=44i076>5<<a8?=6=44i074>5<<a8?36=44o0``>5<<uk??<7>53;294~"58;0:mn5G73f8L27f3-;==7?>;h367?6=3`;>87>5;n3ag?6=3th>8<4?:683>5}#:981=o>4H60g?M16i2.::<4>3d9j505=831b=8:50;9j503=831b=8850;9j501=831b=8650;9l5ge=831vn8:=:185>5<7s-8;>7?nf:J46a=O?8k0(<8>:418m4342900e<;;:188m4322900e<;9:188m4302900c<ll:188yg34=3:1;7>50z&147<6j91C;?j4H63b?!7193;?n6g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:<1<7950;2x 76528h;7E9=d:J45d=#9?;146g>5283>>o6==0;66g>5483>>o6=?0;66g>5683>>o6=10;66a>bb83>>{e=:=1<7950;2x 76528h;7E9=d:J45d=#9?;1>85f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<936=48:183!47:3;i<6F82e9K34g<,8<:6;5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<926=48:183!47:3;i<6F82e9K34g<,8<:6k5f14194?=n9<>1<75f14794?=n9<<1<75f14594?=n9<21<75`1ca94?=zj<9j6=48:183!47:3;i<6F82e9K34g<,8<:6<:i;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;n3ag?6=3th>>h4?:583>5}#:981=964H60g?M16i2.:ni48789'537=92c>97>5;h;4>5<<a8i26=44o0a5>5<<uk?8<7>54;294~"58;0:855G73f8L27f3-;ih7989:&224<63`?>6=44i8594?=n9j31<75`1b494?=zj<9:6=4<:183!47:3;jo6F82e9K34g<,8<:6<=:;%3`7?1412c:9>4?::k211<722e:nn4?::a1<2=83>1<7>t$321>42?3A=9h6F81`9'5gb=?>30(<8>:09j10<722c2;7>5;h3`=?6=3f;h:7>5;|`6=0<72:0;6=u+21095de<@>8o7E9>a:&224<6;<1b=8=50;9j502=831d=om50;9~f073290?6=4?{%036?7302B<>i5G70c8 4dc2>=27)?91;38m03=831b5:4?::k2g<<722e:o;4?::a143=8391<7>t$321>4gd3A=9h6F81`9'537=9:?0e<;<:188m4332900c<ll:188yg0>83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg0a:3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i1;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0bn3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8je;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0bl3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8jc;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0bj3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8ja;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b13:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j8;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b?3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j6;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b<3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j3;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b:3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8j1;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b83:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kf;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0cm3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kd;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0ck3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8kb;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0a13:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i8;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0a?3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i6;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0a=3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i4;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0a;3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8i0;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg0b=3:1?7>50z&147<6<;1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188k4e12900qo8ka;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg3cm3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b13:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a<3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a?3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a03:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a13:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3ai3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3aj3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3ak3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3al3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3cn3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b93:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b:3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b;3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b<3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b=3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b>3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b?3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3b03:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bi3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bj3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bk3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bl3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bm3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3bn3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a93:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a:3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a;3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a=3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3a>3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3em3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d13:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c<3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c?3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c03:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c13:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3ci3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3cj3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3ck3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3cl3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3en3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d93:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d:3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d;3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d<3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d=3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d>3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d?3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3d03:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3di3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3dj3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3dk3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3dl3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3dm3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3dn3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c83:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c93:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c:3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c;3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c=3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3c>3:187>50z&147<6<>1C;?j4H63b?!7193;:7)?md;54=>o2=3:17d78:188m4e32900c<m9:188yg3583:187>50z&147<6<=1C;?j4H63b?!7193;0(<lk:65:?l322900e;k50;9j=2<722e:o;4?::a177=83>1<7>t$321>4233A=9h6F81`9'537=92.:ni48789j10<722c=i7>5;h;4>5<<g8i=6=44}c72<?6=<3:1<v*=038202=O?;n0D:?n;%355?763-;ih7989:k61?6=3`3<6=44i0a7>5<<g8i=6=44}c723?6=<3:1<v*=038201=O?;n0D:?n;%355?7<,8ho6:96;h76>5<<a?o1<75f9683>>i6k?0;66sm55c94?2=83:p(?>=:067?M15l2B<=l5+17395>"6jm0<;45f5483>>o1m3:17d78:188k4e12900qo:kb;297?6=8r.9<?4>439K37b<@>;j7)?91;32?!7el3=<56g:5;29?l?02900c<m9:188yg2dn3:187>50z&147<6<=1C;?j4H63b?!7193;0(<lk:65:?l322900e;k50;9j=2<722e:o;4?::a0fc=83>1<7>t$321>4233A=9h6F81`9'537=92.:ni48789j10<722c=i7>5;h;4>5<<g8i=6=44}c6ag?6=<3:1<v*=038201=O?;n0D:?n;%355?7<,8ho6:96;h76>5<<a?o1<75f9683>>i6k?0;66sm4c`94?2=83:p(?>=:067?M15l2B<=l5+17395>"6jm0<;45f5483>>o1m3:17d78:188k4e12900qo;<c;290?6=8r.9<?4>b39K37b<@>;j7d?:3;29?l72<3:17d?k2;29?j7ek3:17pl:3e83>2<729q/>=<51c28L24c3A=:m6*>60807>o6=:0;66g>5583>>o6=<0;66g>5783>>o6=>0;66g>5983>>i6jj0;66sm52g94?0=83:p(?>=:0ce?M15l2B<=l5+173971=n9<91<75f14694?=n9<?1<75f14494?=n9<=1<75`1ca94?=zj<996=4;:183!47:3;i>6F82e9K34g<a8?86=44i077>5<<a8n96=44o0``>5<<uk?8?7>56;294~"58;0:mk5G73f8L27f3-;==7==;h367?6=3`;>87>5;h361?6=3`;>:7>5;h363?6=3f;io7>5;|`671<72>0;6=u+21095g6<@>8o7E9>a:&224<4;2c:9>4?::k211<722c:984?::k213<722c:9:4?::k21=<722e:nn4?::a6<2=83hh6=4?{%036?7di2B<>i5G70c8^02=kr;86<:51g817?472;>1><4>a;3;>4d=:;0:;7s+1cf932?<f021=?5a98826>h6810;7c?=a;28 45e28>:7)?<c;375>"6=00>7)?:a;78 43e2<1/=8m55:&21a<23-;>i7;4$07e>0=#9?:196*>6386?!71;3?0(<8;:49'533==2.::;4:;%353?3<,8<3685+17;91>"6>h0>7)?9b;78 40d2<1/=;j55:&22`<23-;=j7;4$053>0=#9>;196*>7386?!70;3?0(<9;:49'523==2.:;;4:;%343?3<,8=3685+16;91>"6?h0>7)?8b;78 41d2<1/=:j55:&23`<23-;<j7;4$0:3>0=#91;196*>8386?!7?;3?0(<6;:49'5=3==2.:4;4:;%3;3?3<,823685+19;91>"60h0>7)?7b;78 4>d2<1/=5j55:&2<`<23-;3j7;4$0;3>0=#90;196*>9386?!7>;3?0(<7;:49'5<3==2.:5;4:;%3:3?3<,833685+18;91>"61h0>7)?6b;78 4?d2<1/=4j55:&2=`<23-;2j7;4$0c3>0=#9h;196*>a387?!7f;3>0(<o;:0`a?!7dn3;n<6*>d1863>"6l80>;6*>d4845a=#9m<1=i64$0fg>1=#9mo186*828846==#?;k1;?64i0aa>5<<a?;1<75f12c94?=n9jo1<75f6783>>o6kj0;66g>ce83>>o6;00;66gj1;29 4e52l:0b<m>:198ma`=83.:o?4j0:l2g4<632coi7>5$0a1>`6<f8i:6?54ief94?"6k;0n<6`>c080?>o0:;0;6)?l2;515>h6k80;76g82183>!7d:3=9=6`>c082?>o09o0;6)?l2;515>h6k80976g81d83>!7d:3=9=6`>c080?>i6890;6)?l2;de?k7d93:07bhj:18'5f4=no1e=n?51:9lbf<72-;h>7hi;o3`5?4<3fli6=4+1b09bc=i9j;1?65`f`83>!7d:3lm7c?l1;68?j`>290/=n<5fg9m5f7==21dj54?:%3`6?`a3g;h=784;nd4>5<#9j81jk5a1b393>=hn?0;6)?l2;de?k7d93207bh::18'5f4=no1e=n?59:9lb1<72-;h>7hi;o3`5?g<3fl86=4+1b09bc=i9j;1n65`f083>!7d:3lm7c?l1;a8?j`7290/=n<5fg9m5f7=l21dik4?:%3`6?`a3g;h=7k4;ngf>5<#9j81jk5a1b39b>=hmm0;6)?l2;de?k7d93;;76ajc;29 4e52ol0b<m>:038?jce290/=n<5fg9m5f7=9;10cho50;&2g7<an2d:o<4>3:9la<<72-;h>7hi;o3`5?7332en47>5$0a1>c`<f8i:6<;4;n333?6=,8i96kh4n0a2>40<3f;;:7>5$0a1>c`<f8i:6<94;n331?6=,8i96kh4n0a2>4><3f;;87>5$0a1>c`<f8i:6<74;n337?6=,8i96kh4n0a2>4g<3f;;>7>5$0a1>c`<f8i:6<l4;n335?6=,8i96kh4n0a2>4e<3flo6=4+1b09bc=i9j;1=i54og094?"6k;0mj6`>c082a>=hm>0;6)?l2;de?k7d93;m76g<a;29 4e52:30b<m>:198m6>=83.:o?4<9:l2g4<632c8;7>5$0a1>6?<f8i:6?54i2494?"6k;0856`>c080?>o3;3:1(<m=:2;8j4e62=10e9<50;&2g7<412d:o<4:;:k75?6=,8i96>74n0a2>3=<a=:1<7*>c380=>h6k80<76g<f;29 4e52:30b<m>:998m6c=83.:o?4<9:l2g4<>32c8h7>5$0a1>6?<f8i:6l54i2a94?"6k;0856`>c08a?>o4j3:1(<m=:2;8j4e62j10e>;50;&2g7<412d:o<4k;:k7=?6=,8i96964n0a2>5=<a==1<7*>c387<>h6k80:76g;6;29 4e52=20b<m>:398m13=83.:o?4;8:l2g4<432c>>7>5$0a1>1><f8i:6954i4394?"6k;0?46`>c086?>o283:1(<m=:5:8j4e62?10e9h50;&2g7<302d:o<48;:k7a?6=,8i96964n0a2>==<a=n1<7*>c387<>h6k80276g;c;29 4e52=20b<m>:`98m1d=83.:o?4;8:l2g4<e32c?m7>5$0a1>1><f8i:6n54i5694?"6k;0?46`>c08g?>oc=3:1(<m=:e68j4e62910ei=50;&2g7<c<2d:o<4>;:kg5?6=,8i96i:4n0a2>7=<am:1<7*>c38g0>h6k80876glf;29 4e52m>0b<m>:598mfc=83.:o?4k4:l2g4<232chh7>5$0a1>a2<f8i:6;54iba94?"6k;0o86`>c084?>odj3:1(<m=:e68j4e62110eno50;&2g7<c<2d:o<46;:k`=?6=,8i96i:4n0a2>d=<aj21<7*>c38g0>h6k80i76gl6;29 4e52m>0b<m>:b98mf3=83.:o?4k4:l2g4<c32ch87>5$0a1>a2<f8i:6h54ib194?"6k;0o86`>c08e?>od:3:1(<m=:e68j4e628:07dm>:18'5f4=l=1e=n?51098mf6=83.:o?4k4:l2g4<6:21bnk4?:%3`6?b33g;h=7?<;:kaa?6=,8i96i:4n0a2>42<3`ho6=4+1b09`1=i9j;1=854iea94?"6k;0o86`>c0822>=nlk0;6)?l2;f7?k7d93;<76gka;29 4e52m>0b<m>:0:8?lb>290/=n<5d59m5f7=9010ei650;&2g7<c<2d:o<4>a:9j`2<72-;h>7j;;o3`5?7e32co:7>5$0a1>a2<f8i:6<m4;hf1>5<#9j81h95a1b395a=<aj=1<7*>c38g0>h6k80:i65fbb83>!7d:3n?7c?l1;3e?>i6:o0;6)?l2;31a>h6k80;76a>2e83>!7d:3;9i6`>c082?>i6:j0;6)?l2;31a>h6k80976a>2c83>!7d:3;9i6`>c080?>ob>3:1(<m=:d78j4e62910eh:50;&2g7<b=2d:o<4>;:kf7?6=,8i96h;4n0a2>7=<al81<7*>c38f1>h6k80876a>3583>!7d:3;8?6`>c083?>i6;;0;6)?l2;307>h6k80:76a>3083>!7d:3;8?6`>c081?>i6;90;6)?l2;307>h6k80876a>2383>!7d:3;9=6`>c083?>i6:90;6)?l2;315>h6k80:76a>1d83>!7d:3;9=6`>c081?>i69m0;6)?l2;315>h6k80876a>1b83>!7d:3;9=6`>c087?>i69k0;6)?l2;315>h6k80>76a>1`83>!7d:3;9=6`>c085?>i6900;6)?l2;315>h6k80<76a>1983>!7d:3;9=6`>c08;?>i69>0;6)?l2;315>h6k80276a>1783>!7d:3;9=6`>c08b?>i69<0;6)?l2;315>h6k80i76a>1283>!7d:3;9=6`>c08`?>i69;0;6)?l2;315>h6k80o76a>1083>!7d:3;9=6`>c08f?>i6990;6)?l2;315>h6k80m76a>0g83>!7d:3;9=6`>c0824>=h99o1<7*>c38264=i9j;1=<54o02g>5<#9j81=??4n0a2>44<3f;;o7>5$0a1>4463g;h=7?<;:m24g<72-;h>7?=1:l2g4<6<21d==o50;&2g7<6:81e=n?51498k44>290/=n<51338j4e628<07b?=8;29 4e5288:7c?l1;34?>i6:>0;6)?l2;315>h6k80:465`13494?"6k;0:><5a1b395<=<g88>6=4+1b09577<f8i:6<o4;n310?6=,8i96<<>;o3`5?7e32e:>>4?:%3`6?7592d:o<4>c:9l54`=83.:o?4>209m5f7=9m10c<?;:18'5f4=9;;0b<m>:0g8?j7713:1(<m=:002?k7d93;m76g82683>!7d:3=9:6`>c083?>o0:<0;6)?l2;512>h6k80:76g82583>!7d:3=9:6`>c081?>o0::0;6)?l2;512>h6k80876gm4;29 4e52k90b<m>:198mg4=83.:o?4m3:l2g4<632ci<7>5$0a1>g5<f8i:6?54i`d94?"6k;0i?6`>c080?>ofm3:1(<m=:c18j4e62=10elj50;&2g7<e;2d:o<4:;:kbg?6=,8i96o=4n0a2>3=<ahh1<7*>c38a7>h6k80<76gna;29 4e52k90b<m>:998md?=83.:o?4m3:l2g4<>32cj47>5$0a1>g5<f8i:6l54i`594?"6k;0i?6`>c08a?>of=3:1(<m=:c18j4e62j10el:50;&2g7<e;2d:o<4k;:kb7?6=,8i96o=4n0a2>`=<ah81<7*>c38a7>h6k80m76gn1;29 4e52k90b<m>:028?lg7290/=n<5b29m5f7=9810e4h50;&2g7<e;2d:o<4>2:9j=`<72-;h>7l<;o3`5?7432c2h7>5$0a1>g5<f8i:6<:4;h;`>5<#9j81n>5a1b3950=<akh1<7*>c38a7>h6k80::65fb`83>!7d:3h87c?l1;34?>oe13:1(<m=:c18j4e628207dl7:18'5f4=j:1e=n?51898mg1=83.:o?4m3:l2g4<6i21bn;4?:%3`6?d43g;h=7?m;:ka1?6=,8i96o=4n0a2>4e<3`h:6=4+1b09f6=i9j;1=i54i`494?"6k;0i?6`>c082a>=n1k0;6)?l2;`0?k7d93;m76sm28594?dd290;w)<?2;3`e>N0:m1C;<o4Z469g~7428>1=k4=3;03>72=:80:m7?7:0`967<6?3w/=oj576;8j<>=9;1e544>2:l24=<73g;9m7>4$01a>4263-;8o7?;1:&21<<23-;>m7;4$07a>0=#9<i196*>5e86?!72m3?0(<;i:49'536==2.::?4:;%357?3<,8<?685+17791>"6>?0>7)?97;78 40?2<1/=;755:&22d<23-;=n7;4$04`>0=#9?n196*>6d86?!71n3?0(<9?:49'527==2.:;?4:;%347?3<,8=?685+16791>"6??0>7)?87;78 41?2<1/=:755:&23d<23-;<n7;4$05`>0=#9>n196*>7d86?!70n3?0(<6?:49'5=7==2.:4?4:;%3;7?3<,82?685+19791>"60?0>7)?77;78 4>?2<1/=5755:&2<d<23-;3n7;4$0:`>0=#91n196*>8d86?!7?n3?0(<7?:49'5<7==2.:5?4:;%3:7?3<,83?685+18791>"61?0>7)?67;78 4??2<1/=4755:&2=d<23-;2n7;4$0;`>0=#90n196*>9d86?!7>n3?0(<o?:49'5d7==2.:m?4;;%3b7?2<,8k?6<lm;%3`b?7b82.:h=4:7:&2`4<2?2.:h8481e9'5a0=9m20(<jk:59'5ac=<2.<>448299'37g=?;20e<mm:188m37=831b=>o50;9j5fc=831b:;4?::k2gf<722c:oi4?::k27<<722cn=7>5$0a1>`6<f8i:6=54ied94?"6k;0n<6`>c082?>ocm3:1(<m=:d28j4e62;10eij50;&2g7<b82d:o<4<;:k467<72-;h>79=1:l2g4<732c<>=4?:%3`6?1592d:o<4>;:k45c<72-;h>79=1:l2g4<532c<=h4?:%3`6?1592d:o<4<;:m245<72-;h>7hi;o3`5?6<3fln6=4+1b09bc=i9j;1=65`fb83>!7d:3lm7c?l1;08?j`e290/=n<5fg9m5f7=;21djl4?:%3`6?`a3g;h=7:4;nd:>5<#9j81jk5a1b391>=hn10;6)?l2;de?k7d93<07bh8:18'5f4=no1e=n?57:9lb3<72-;h>7hi;o3`5?><3fl>6=4+1b09bc=i9j;1565`f583>!7d:3lm7c?l1;c8?j`4290/=n<5fg9m5f7=j21dj<4?:%3`6?`a3g;h=7m4;nd3>5<#9j81jk5a1b39`>=hmo0;6)?l2;de?k7d93o07bkj:18'5f4=no1e=n?5f:9laa<72-;h>7hi;o3`5?7732eno7>5$0a1>c`<f8i:6<?4;nga>5<#9j81jk5a1b3957=<glk1<7*>c38eb>h6k80:?65`e883>!7d:3lm7c?l1;37?>ib03:1(<m=:gd8j4e628?07b??7;29 4e52ol0b<m>:048?j77>3:1(<m=:gd8j4e628=07b??5;29 4e52ol0b<m>:0:8?j77<3:1(<m=:gd8j4e628307b??3;29 4e52ol0b<m>:0c8?j77:3:1(<m=:gd8j4e628h07b??1;29 4e52ol0b<m>:0a8?j`c290/=n<5fg9m5f7=9m10ck<50;&2g7<an2d:o<4>e:9la2<72-;h>7hi;o3`5?7a32c8m7>5$0a1>6?<f8i:6=54i2:94?"6k;0856`>c082?>o4?3:1(<m=:2;8j4e62;10e>850;&2g7<412d:o<4<;:k77?6=,8i96>74n0a2>1=<a=81<7*>c380=>h6k80>76g;1;29 4e52:30b<m>:798m16=83.:o?4<9:l2g4<032c8j7>5$0a1>6?<f8i:6554i2g94?"6k;0856`>c08:?>o4l3:1(<m=:2;8j4e62h10e>m50;&2g7<412d:o<4m;:k0f?6=,8i96>74n0a2>f=<a:?1<7*>c380=>h6k80o76g;9;29 4e52=20b<m>:198m11=83.:o?4;8:l2g4<632c?:7>5$0a1>1><f8i:6?54i5794?"6k;0?46`>c080?>o2:3:1(<m=:5:8j4e62=10e8?50;&2g7<302d:o<4:;:k64?6=,8i96964n0a2>3=<a=l1<7*>c387<>h6k80<76g;e;29 4e52=20b<m>:998m1b=83.:o?4;8:l2g4<>32c?o7>5$0a1>1><f8i:6l54i5`94?"6k;0?46`>c08a?>o3i3:1(<m=:5:8j4e62j10e9:50;&2g7<302d:o<4k;:kg1?6=,8i96i:4n0a2>5=<am91<7*>c38g0>h6k80:76gk1;29 4e52m>0b<m>:398ma6=83.:o?4k4:l2g4<432chj7>5$0a1>a2<f8i:6954ibg94?"6k;0o86`>c086?>odl3:1(<m=:e68j4e62?10enm50;&2g7<c<2d:o<48;:k`f?6=,8i96i:4n0a2>==<ajk1<7*>c38g0>h6k80276gl9;29 4e52m>0b<m>:`98mf>=83.:o?4k4:l2g4<e32ch:7>5$0a1>a2<f8i:6n54ib794?"6k;0o86`>c08g?>od<3:1(<m=:e68j4e62l10en=50;&2g7<c<2d:o<4i;:k`6?6=,8i96i:4n0a2>46<3`i:6=4+1b09`1=i9j;1=<54ib294?"6k;0o86`>c0826>=njo0;6)?l2;f7?k7d93;876gme;29 4e52m>0b<m>:068?ldc290/=n<5d59m5f7=9<10eim50;&2g7<c<2d:o<4>6:9j`g<72-;h>7j;;o3`5?7032com7>5$0a1>a2<f8i:6<64;hf:>5<#9j81h95a1b395<=<am21<7*>c38g0>h6k80:m65fd683>!7d:3n?7c?l1;3a?>oc>3:1(<m=:e68j4e628i07dj=:18'5f4=l=1e=n?51e98mf1=83.:o?4k4:l2g4<6m21bnn4?:%3`6?b33g;h=7?i;:m26c<72-;h>7?=e:l2g4<732e:>i4?:%3`6?75m2d:o<4>;:m26f<72-;h>7?=e:l2g4<532e:>o4?:%3`6?75m2d:o<4<;:kf2?6=,8i96h;4n0a2>5=<al>1<7*>c38f1>h6k80:76gj3;29 4e52l?0b<m>:398m`4=83.:o?4j5:l2g4<432e:?94?:%3`6?74;2d:o<4?;:m277<72-;h>7?<3:l2g4<632e:?<4?:%3`6?74;2d:o<4=;:m275<72-;h>7?<3:l2g4<432e:>?4?:%3`6?7592d:o<4?;:m265<72-;h>7?=1:l2g4<632e:=h4?:%3`6?7592d:o<4=;:m25a<72-;h>7?=1:l2g4<432e:=n4?:%3`6?7592d:o<4;;:m25g<72-;h>7?=1:l2g4<232e:=l4?:%3`6?7592d:o<49;:m25<<72-;h>7?=1:l2g4<032e:=54?:%3`6?7592d:o<47;:m252<72-;h>7?=1:l2g4<>32e:=;4?:%3`6?7592d:o<4n;:m250<72-;h>7?=1:l2g4<e32e:=>4?:%3`6?7592d:o<4l;:m257<72-;h>7?=1:l2g4<c32e:=<4?:%3`6?7592d:o<4j;:m255<72-;h>7?=1:l2g4<a32e:<k4?:%3`6?7592d:o<4>0:9l55c=83.:o?4>209m5f7=9810c<>k:18'5f4=9;;0b<m>:008?j77k3:1(<m=:002?k7d93;876a>0c83>!7d:3;9=6`>c0820>=h99k1<7*>c38264=i9j;1=854o00:>5<#9j81=??4n0a2>40<3f;947>5$0a1>4463g;h=7?8;:m262<72-;h>7?=1:l2g4<6021d=?850;&2g7<6:81e=n?51898k442290/=n<51338j4e628k07b?=4;29 4e5288:7c?l1;3a?>i6::0;6)?l2;315>h6k80:o65`10d94?"6k;0:><5a1b395a=<g8;?6=4+1b09577<f8i:6<k4;n33=?6=,8i96<<>;o3`5?7a32c<>:4?:%3`6?15>2d:o<4?;:k460<72-;h>79=6:l2g4<632c<>94?:%3`6?15>2d:o<4=;:k466<72-;h>79=6:l2g4<432ci87>5$0a1>g5<f8i:6=54ic094?"6k;0i?6`>c082?>oe83:1(<m=:c18j4e62;10elh50;&2g7<e;2d:o<4<;:kba?6=,8i96o=4n0a2>1=<ahn1<7*>c38a7>h6k80>76gnc;29 4e52k90b<m>:798mdd=83.:o?4m3:l2g4<032cjm7>5$0a1>g5<f8i:6554i`;94?"6k;0i?6`>c08:?>of03:1(<m=:c18j4e62h10el950;&2g7<e;2d:o<4m;:kb1?6=,8i96o=4n0a2>f=<ah>1<7*>c38a7>h6k80o76gn3;29 4e52k90b<m>:d98md4=83.:o?4m3:l2g4<a32cj=7>5$0a1>g5<f8i:6<>4;hc3>5<#9j81n>5a1b3954=<a0l1<7*>c38a7>h6k80:>65f9d83>!7d:3h87c?l1;30?>o>l3:1(<m=:c18j4e628>07d7l:18'5f4=j:1e=n?51498mgd=83.:o?4m3:l2g4<6>21bnl4?:%3`6?d43g;h=7?8;:ka=?6=,8i96o=4n0a2>4><3`h36=4+1b09f6=i9j;1=454ic594?"6k;0i?6`>c082e>=nj?0;6)?l2;`0?k7d93;i76gm5;29 4e52k90b<m>:0a8?ld6290/=n<5b29m5f7=9m10el850;&2g7<e;2d:o<4>e:9j=g<72-;h>7l<;o3`5?7a32wx=ko50;0xZ4`f34<m>778;|q2b=<72;qU=k64=7d2><1<uz;m:7>52z\2b3=:>ll15:5rs0d6>5<5sW;m9639ed8:3>{t9o>1<7<t^0d7?80bl33<7p}>f283>7}Y9o901;kl:858yv7a:3:1>vP>f39>2`d=1>1v<h>:181[7a927=il467:p5c6=838pR<h?;<4f=??03ty:ik4?:3y]5``<5?o36494}r3fa?6=:rT:ih526d59=2=z{8oo6=4={_3f`>;1m?02;6s|1d`94?4|V8oi708j4;;4?xu6mh0;6?uQ1dc893c420=0q~?j9;296~X6m016:h<5969~w4c?2909wS?j8:?5a4<>?2wx=h950;0xZ4c034<n<778;|q2a3<72;qU=h84=7fe><1<uz;n97>52z\2a0=:>mo15:5rs0g7>5<5sW;n8639de8:3>{t9l91<7<t^0g0?80ck33<7p}>e383>7}Y9l801;jm:858yv4793:1>vP=009>2c?=1>1v?>?:181[47827=j5467:p5c`=838pR<hi;<4e3??03ty:jh4?:3y]5cc<5?l=6494}r3e`?6=:rT:ji526g79=2=z{8lh6=4={_3eg>;1n=02;6s|1g`94?4|V8li708i3;;4?xu6n>0;6?uQ1g5893`720=0q~?jc;296~X6mj16:h;5969~w4c62909wS?j1:?5`d<>?2wx;n4?:43xZ2e<5?:>6894=727>01<5?:86894=721>01<5?;<6894=735>01<5?;>6894=737>01<5?826894=70;>01<5?8<6894=705>01<5?9o6894=71`>01<5?9i6894=71b>01<5<nn6<m;;<7f=?7d<27>j94>c59>1c1=9j>018h7:0a7?83a13;h863:f`82g1=:=oh1=n:4=4d`>4e334?mh7?l4:?6`c<6k=169h>51b6890c628i?70;j2;3`0>;2m:0:o9525d695f2<5<o>6<m;;<7f2?7d<27>i:4>c59>1`>=9j>018kn:0a7?83bj3;h863:eb82g1=:=ln1=n:4=4gf>4e334?nj7?l4:?6b5<6k=169k?51b6890`528i?70;i3;3`0>;2n<0:o9525g495f2<5<hn6<m;;<7`=?7d<27>h94>c59>1a1=9j>018j7:0a7?83c13;h863:d`82g1=:=mh1=n:4=4f`>4e334?oh7?l4:?6fc<6k=169n>51b6890e628i?70;l2;3`0>;2k:0:o9525b695f2<5<i>6<m;;<7`2?7d<27>o:4>c59>1f>=9j>018mn:0a7?83dj3;h863:cb82g1=:=jn1=n:4=4af>4e334?hj7?l4:?6`5<6k=169i?51b6890b528i?70;k3;3`0>;2l<0:o9525e495f2<uz=>6=4>2z\41>;51:0:o45228095f?<5;3:6<m6;<0:4?7d12794k4>c89>6=c=9j301?6k:077?84ck3;h563=d882g<=::m?1=n74=3f2>4e>348hh7?l9:?1g<<6k016>n;51b;897e628i270<md;3`=>;5j00:o45rs6694?0|V>>018?6:073?82c;3?<70:k3;;4?82dk3;>863:1982g1=z{>91<7:t^61891e52<=019m=:85891d>28??7p}64;2976}Y1=16?8l5569>735==>16?>l5569>76e==>16?>j5569>76c==>16?9j5569>71c==>16?9h5569>706==>16?><5569>765==>16?8k5569>70`==>16??l5569>77e==>16?;:5569>733==>16?8m5569>723==>16?:m5569>72b==>16?:k5569>72`==>16?5>5569>7=7==>16?5<5569>7=5==>16?5:5569>720==>16?:95569>72>==>16?:75569>72g==>16?:l5569>7=3==>168?m51468914c28??70::e;74?822l3?<70::c;74?822j3?<70:;a;74?82313?<70:;8;74?823?3?<70:<6;74?824=3?<70:<4;74?824;3?<7p}63;297~X>;27>n84:7:?6f5<2?2wx5<4?:02xZ<7<5;386894=3;1>01<5;3:6894=3;3>01<5;2m6894=3:f>01<5:8>6<;:;<0;0?303483=7;8;<04`?30348<57;8;<041?30348<=7;8;<0:0?7412795:4>389~w<6=83<pR4>4=407>01<5<8?6494=465><1<5<>i6<;;;<72a?72<2wx4k4?:4y]<c=:==h1=8=4=414>43334?857?:3:?67d<6=:1v:l50;6xZ2d<5<h>6494=71g><1<5:=>6494}r53>5<1mrT<<63=92861>;51;0>963=90861>;5190>963=8g861>;50l0>963:b486e>;2j90>m6390486e>;18=0>m6390286e>;18;0>m6391686e>;19?0>m6391486e>;19=0>m6392886e>;1:10>m6392686e>;1:?0>m6393e86e>;1;j0>m6393c86e>;1;h0>m63:92861>;2:=0>963:47861>;2<>0>963:44861>;20?0>963:82861>;2?o0>963:7c861>;2?>0>963:72861>;2>o0>963:6c861>;2>>0>963:62861>;5lj0>963=d8861>;5l<0>963=d0861>;5km0>963=c8861>;5k<0>963=c0861>;5jm0>963=b8861>;3l:0>963;c3861>;4=k0>m63<6286e>;4;k0>m63<3b86e>;4;m0>m63<3d86e>;4<m0>m63<4d86e>;4<o0>m63<5186e>;4;;0>m63<3286e>;4=l0>m63<5g86e>;4:k0>m63<2b86e>;4>=0>m63<6486e>;4=j0>m63<74861>;4?j0>963<7e861>;4?l0>963<7g861>;4090>963<80861>;40;0>963<82861>;40=0>963<77861>;4?>0>963<79861>;4?00>963<7`861>;4?k0>963<8486e>;3=l0>m63;5e86e>;3=j0>m63;5c86e>;3<h0>m63;4886e>;3<10>m63;4686e>;3;?0>m63;3486e>;3;=0>m63;3286e>;50=0>963=80861>;5?m0>963=78861>;5?<0>963=70861>;2910>963:16861>;51=0==63=96855>{t=h0;6<>j{_7b?83fl3?>70;mc;76?83fn3?>70;m8;76?83e;3?>70;m1;76?83e>3?>70<?4;76?846i3?>70<<0;76?844>3?>70<<8;76?844i3?>70<<c;76?844m3?>70<;0;76?843:3?>70<?6;76?84703?>70<?a;76?847k3?>70<?e;76?84683?>70<>2;76?846<3?>70<>6;76?84603?>70<>c;76?846m3?>70<=0;76?845:3?>70<=4;76?845>3?>70<=8;76?845i3?>70<=c;76?845m3?>70<<2;76?844<3?>70<?3;7b?84613?j70<=f;7b?844=3?j70<<7;7b?84413?j70<<b;7b?844l3?j70<<f;7b?84393?j70<?5;7b?847?3?j70<?9;7b?847j3?j70<?d;7b?847n3?j70<>1;7b?846;3?j70<>5;7b?846?3?j70<>b;7b?846l3?j70<>f;7b?84593?j70<=3;7b?845=3?j70<=7;7b?84513?j70<=b;7b?845l3?j70<<1;7b?844;3?j708<4;76?835j3?>70;=c;76?836>3?>70;?3;76?837:3?>70;?1;76?83783?>70:if;76?82am3?>70:id;76?82ak3?>70:ib;76?82ai3?>70;;e;76?833l3?>70;>f;76?835;3?>70;=2;76?82fl3?>70:ne;76?82fn3?>70:m0;76?82e93?>70:m2;76?82e;3?>70:m4;76?82dl3?>70:k2;76?82c83?>70:k1;76?82ei3?>70:l1;76?82el3?>70:me;76?82en3?>70:l0;76?82>?3?>70:68;76?82>;3?>70==7;76?85503?>70:65;76?82>>3?>70=<7;76?85313?>70==9;76?85?03?>70=76;76?85?j3?>70=79;76?85?m3?>70=7c;76?85>93?>70=7f;76?85><3?>70=62;76?85>?3?>70=65;76?85>i3?>70=68;76?85>l3?>70=6b;76?85f83?>70=6e;76?85f;3?>70=n1;76?826n3?>70:>c;76?82603?>70:>4;76?82683?>70:?c;76?82703?>70:?4;76?82783?>70=ic;76?85cj3?>70=k8;76?85c<3?>70=k0;76?85dk3?>70=l8;76?85d<3?>70=l0;76?85ek3?>70=m8;76?835m3?>70;<0;76?83><3?>70;>4;76?80>83?>708i2;76?80a93?>708jf;76?80bm3?>708jd;76?80bk3?>708jb;76?80bi3?>708j9;76?80b03?>708j7;76?80b>3?>708j4;76?80b;3?>708j2;76?80b93?>708j0;76?80cn3?>708ke;76?80cl3?>708kc;76?80cj3?>708i9;76?80a03?>708i7;76?80a>3?>708i5;76?80a<3?>708i3;76?80a83?>708j5;76?80ci3?>70;ke;76?83b13?>70;i4;76?83a?3?>70;i8;76?83a13?>70;ia;76?83aj3?>70;ic;76?83al3?>70;kf;76?83b83?>70;j1;76?83b:3?>70;j3;76?83b<3?>70;j5;76?83b>3?>70;j7;76?83b03?>70;ja;76?83bj3?>70;jc;76?83bl3?>70;je;76?83bn3?>70;i0;76?83a93?>70;i2;76?83a;3?>70;i5;76?83a>3?>70;me;76?83d13?>70;k4;76?83c?3?>70;k8;76?83c13?>70;ka;76?83cj3?>70;kc;76?83cl3?>70;mf;76?83d83?>70;l1;76?83d:3?>70;l3;76?83d<3?>70;l5;76?83d>3?>70;l7;76?83d03?>70;la;76?83dj3?>70;lc;76?83dl3?>70;le;76?83dn3?>70;k0;76?83c93?>70;k2;76?83c;3?>70;k5;76?83c>3?>70;=0;76?83593?>70;;a;76?82cj3?>70:lf;76?82dm3?>70:mc;76?82ej3?>70<64;45?84>?3<=7p}7e;296~X?m278>84>bb9~w4d3290<hv382d8215=::991>o5220;96g=::;l1>o5222796g=:::=1>o5222;96g=:::h1>o5222f96g=:::l1>o5225396g=::9?1>o5221596g=::931>o5221`96g=::9n1>o5221d96g=::8;1>o5220196g=::8?1>o5220596g=::8h1>o5220f96g=::8l1>o5223396g=::;91>o5223796g=::;=1>o5223;96g=::;h1>o5223f96g=:::;1>o5222196g=:>9;15l526129=d=:=ol15l525gg9=d=:>8:15l526039=d=:>8915l526009=d=:>;815l526319=d=:>;?15l526369=d=:>:<15l526259=d=:>:315l5262:9=d=:>:?15l525909=d=:=>o15l5256c9=d=:=><15l525609=d=:=?o15l5257c9=d=:=?<15l525709=d=::m215l522e69=d=::m:15l522ba9=d=::j215l522b69=d=::j:15l522ca9=d=::k215l524ea9505<5=nh6<;:;<11=?7d127?=o46a:?752<>i27?=>46a:?74c<>i27?<o46a:?742<>i27?<>46a:?0bc<>i278jo46a:?0`2<>i278h>46a:?0gc<>i278oo46a:?0g2<>i278o>46a:?0fc<>i278no46a:?0f2<>i27?9l46a:?71<<>i27?9546a:?712<>i27?9;46a:?703<>i27?8846a:?701<>i27?8>46a:?707<>i27???46a:?774<>i27??=46a:?76c<>i27?>h46a:?1<5<>i279;n46a:?13=<>i279;946a:?135<>i27?ho467:?1=1<0:;16>4:5732897?32>;m70<64;52a>;51=0:oi5228695fc<5;3<6n<4=3;4>f7<5;3<6h=4=3;4>2453482;79=0:?1=2<09o16>49570g897?028io70<67;3`a>{t:0k1<7<t=3;b>4dd348j?7?:8:p6<d=838p1?7m:0``?84f;3;>;6s|28a94?4|5;3h6<ll;<0b7?72=2wx>4k50;0x97?b28hh70<n3;362>{t:h91<7<t=3c0>4dd348j>7?:4:p6<b=838p1?7k:0``?84f<3;>46s|28d94?4|5;3m6<ll;<0b0?72?2wx>l>50;0x97g728hh70<n4;361>{t:h;1<7<t=3c2>4dd348j87?:6:p6d2=838p1?o;:0``?84f:3;>?6s|2`094?4|5;k96<ll;<0e0?7202wx>;:50;5x97>c28hh70<74;3`=>;5080:o45226f95f?<5;=26<m6;<041?7d1279;<4>c89~w6752909w0<i5;362>;51>0:>n5rs232>5<5s48m97?:5:?1=2<bl2wx?<>50;0x97`228?<70<67;g`?xu48o0;6?u22g7950><5;3<6hl4}r13a?6=:r795h4>579>6<1=mh1v>>k:18184>m3;>;63=968f=>{t;9h1<7<t=3;f>4323482;7k7;|q04d<72;q6>4k514:897?028:<7p}<0883>7}::0i1=884=3;4>4613ty8<54?:3y>6<e=9<=01?78:00a?xu48>0;6?u228a9503<5;3<6<>:;|q043<72;q6>4m514:897?028:?7p}<0483>7}::0h1=884=3;4>4643ty8<94?:3y>6<d=9<=01?78:021?xu48:0;6?u228`9503<5;3<6<>>;|q047<72;q6>4l514:897?02on0q~=?0;296~;51h0:9;522859b7=z{;lm6=4={<0:e?72?2795:4j7:p6cc=838p1?7n:076?84><3;9o6s|2gf94?4|5;3j6<;7;<0:0?cc3ty9jn4?:3y>6d7=9<<01?7;:da8yv4aj3:1>v3=a08212=::0>1io5rs3db>5<5s48j=7?:5:?1=1<bi2wx>k750;0x97g628?370<64;g:?xu5n10;6?u22`29500<5;3?6h64}r0e3?6=:r79m=4>569>6<2=99=0q~=>9;296~;5i90:98522869550<uz9:47>52z?1e5<6=116>4:513`8yv56?3:1>v3=9g8213=::0>1==;4}r122?6=:r795k4>569>6<2=99>0q~=>5;296~;51o0:98522869555<uz9:87>52z?1=c<6=116>4:51108yv56;3:1>v3=9e8213=::0>1==?4}r13g?6=:r795i4>569>6<2=nm1v>>>:18184>l3;>963=958e6>{t:o<1<7<t=3;g>43?348287k8;|q066<72=q6>im51b4897bc28?870<64;1b?84>?39j7p}<2383>1}::m31=n84=3fb>434348287=7;<0:3?5?3ty8><4?:5y>6a3=9j<01?j9:070?84><39<70<67;14?xu4:90;69u22e395f0<5;n96<;<;<0:0?513482;7=9;|q05c<72=q6>nj51b4897eb28?870<64;60?84>?3>87p}<1d83>1}::j31=n84=3ab>434348287:=;<0:3?253ty8=i4?:5y>6f3=9j<01?m9:070?84><3>:70<67;62?xu49j0;69u22b395f0<5;i96<;<;<0:0?273482;7:?;|q05g<72=q6>oj51b4897db28?870<64;1e?84>?39m7p}<1`83>1}::k31=n84=3`b>434348287=j;<0:3?5b3ty9ih4?:5y>6<5=1>16>;=514:897>328i=70<75;367>{t:ln1<7:t=3;1><1<5;<86<;8;<0;5?7d>2794?4>529~w7cd290?w0<61;;4?841;3;>:63=7e82g3=::>o1=8=4}r0ff?6=<r795=467:?126<6=<16>:751b48971f28?87p}=e`83>1}::1l15:522719502<5;=>6<m9;<042?72;2wx>h750;6x97>b20=01?8<:070?84093;h:63=738216=z{:8?6=4l{<0;`?72;279:>4>bb9>6ae==>16>i75569>6a3==>16>i?5569>6fb==>16>n75569>6f3==>16>n?5569>6gb==>16>o75569~w7`32909w0<63;3`2>;5n=0:985rs3d0>5<4s482>7?l6:?1e7<6l;16>k:51458yv4a:3:18v3=9082g3=::h91=8=4=3c7>434348m87?:6:p6c7=83>p1?7?:0a5?84f;3;>863=a58211=::o>1=8=4}r0e4?6=ir794k4>c79>6<g=9<>01?7m:077?84>k3;>863=9d8211=::0n1=8:4=3;e>433348j<7?:4:?1e4<6==16>k;51418yv4bn3:1mv3=8d82g3=::0k1=8=4=3;a>4343482o7?:3:?1=`<6=:16>4j5141897?a28?870<n0;367>;5i80:9>522g79502<uz?i;7>52z?6f3<>?27>n84>c79~w0d52909w0;m1;;4?83e83;h:6s|5c694?4|5<h86494=4`2>4e13ty>n44?:3y>1g>=1>169o851b48yv3ei3:1?v3:ag8:3>;2j:0:o;525`g9505<uz?in7>52z?6f0<6k>169o>5969~w0dc2909w08<4;3`2>;11902;6s|68394?4|5<hh6494=7;3>4e13ty=5?4?:2y>1ge=9j<018l::3:890d72;20q~863;296~;2im02;63:b982g3=z{?i<6=4={<000?7d>2795:4l0:p2f0=838p1?==:0a5?84>?3hm7p}9c583>7}::;o1=n84=3;4>gc<uz<h?7>52z?16f<6k?16>495be9~w3e52909w0<=a;3`2>;51>0oo6s|6b394?4|5;836<m9;<0:3?be3ty=o=4?:3y>670=9j<01?78:d08yv0en3:1>v3=2582g3=::0=1hl5rs7`f>5<5s489>7?l6:?1=2<c12wx:oj50;0x974728i=70<67;f;?xu1jj0;6?u220g95f0<5;3<6i94}r4af?6=:r79=n4>c79>6<1=l?1v;l6:18184603;h:63=968g6>{t>k21<7<t=335>4e13482;7m8;|q5f2<72;q6><:51b4897?02ki0q~8m6;296~;59;0:o;522869a6=z{?h>6=4={<024?7d>279594l2:p2g2=838p1?>j:0a5?84><3i:7p}9b283>7}::9i1=n84=3;7>f6<uz<i>7>52z?14d<6k?16>4:5bg9~w3d62909w0<?8;3`2>;51=0ii6s|6c294?4|5;:=6<m9;<0:0?dc3ty=oh4?:3y>614=9j<01?7;:ea8yv0dl3:1>v3=4182g3=::0>1ho5rs7a`>5<5s488i7?l6:?1=1<b:2wx:nl50;0x975d28i=70<64;fb?xu1kh0;6?u222c95f0<5;3?6i74}r4`=?6=:r79?54>c79>6<2=l11v;m7:181844>3;h:63=958g3>{t>j?1<7<t=313>4e1348287j9;|q5fd<72;q6><o51b4897?32m80q~8nf;296~;58=0:o;522869g2=z{?n26=4>5z?6ea<6k?1684:51418963e2;k01>8<:3c8965e2;o01>=l:3g8965c2;o01>=j:3g8962c2;o01>:j:3g8962a2;o01>;?:3g896552;o01>=<:3g8963b2;o01>;i:3g8964e2;o01><l:3g896032;o01>8::3g8963d2;o0q~865;2951}:=hl1=n84=27a>7d<5:<86?l4=21a>7`<5:9h6?h4=21g>7`<5:9n6?h4=26g>7`<5:>n6?h4=26e>7`<5:?;6?h4=211>7`<5:986?h4=27f>7`<5:?m6?h4=20a>7`<5:8h6?h4=247>7`<5:<>6?h4=27`>7`<uz<o47>52z?060<6=:1694=51b48yv0c=3:1?v3:ae82g<=:<k81=n84=5`0><1<uz<o87>54z?6f=<6k0169o=51b;891d628i=70:m2;;4?xu1l:0;68u25`d95f?<5<h:6<m6;<7a2?7d127?n=4>c79>0g7=1>1v;j=:18083ek3;o>63;ag82g3=:<k:15:5rs7f2>5<4s4>ji7?l6:?7ec<>?27=5=4>c59~w3b72903w08<4;3g6>;29?02;63:458213=:<hn1=n84=5cf><1<5=nn6<;;;<7:0?7d127>=94>c89~w3b02908w0:m4;3`2>;4:10:o45248495f?<uz<o:7>525y>0g5=9j<019l;:858964028i270:65;3`=>;4;>0:o45235;95f?<5:236<m6;<1;2?7d12784o4>c89>7=?=9j301>6j:0a:?85?k3;h563<9082g<=:;1l1=n74=2;7>4e>3492>7?l9:?0=2<6k016?4;51b;896?f28i270=68;3`=>;41m0:o45238`95f?<5:k;6<m6;<1:a?7d1278m>4>c89>7d7=9j3019?i:0a:?826k3;h563;1982g<=:<8>1=n74=533>4e>34>;o7?l9:?74=<6k0168=:51b;8916728i270=ic;3`=>{t>0>1<7?;{<737?7d127><?4>c89>157=9j3018>?:0a:?82an3;h563;fd82g<=:<on1=n74=5d`>4e>34>mn7?l9:?7bd<6k01699k51b;8902c28i270;>9;4f?82cm3;>?63;bg82g3=:<j:15:5253g95f?<5<9;6<m6;<721?72<27>=:49e:p2db=839p1>o>:0a5?84><3>270<67;6:?xu1ij0;6>u238g95f0<5;3?6994=3;4>11<uz<jn7>53z?0=g<6k?16>4:5479>6<1=<?1v;on:18085>03;h:63=95871>;51>0?96s|6`;94?5|5:3>6<m9;<0:0?353482;7;=;|q5e=<72:q6?4<51b4897?32<;01?78:438yv0f?3:1?v3<8g82g3=::0>19=52285915=z{?k=6=4<{<1;g?7d>279594;f:?1=2<3n2wx:l;50;1x96>>28i=70<64;6f?84>?3>n7p}9a583>6}:;1<1=n84=3;7>1b<5;3<69j4}r4b6?6=9?q699k5969>71?=9j<0197;:076?852j38270=93;0:?854j38o70=<c;0g?854l38o70=<e;0g?853l38o70=;e;0g?853n38o70=:0;0g?854:38o70=<3;0g?852m38o70=:f;0g?855j38o70==c;0g?851<38o70=95;0g?852k38o7p}9a083>40|5<>o6494=214>4e134>287?:4:?01g<50278:>4=8:?07g<5k278?n4=c:?07a<5k278?h4=c:?00a<5k2788h4=c:?00c<5k2789=4=c:?077<5k278?>4=c:?01`<5k2789k4=c:?06g<5k278>n4=c:?021<5k278:84=c:?01f<5k2wx:l>50;4x906420=01>o=:070?826n3;h:63;218216=:<<i1?<5245:974=z{?3m6=49{<736??03492j7?:3:?75f<6k?168<j51418913d2;l019:7:3d8yv0>m3:1:v3:008:3>;41j0:9>5240:95f0<5=;26<;<;<66g?4b34>?47<j;|q5=a<72?q69=>5969>7<?=9<9019?;:0a5?826=3;>?63;5b81`>;3<109h6s|68a94?0|5=lm6494=2;5>43434>:<7?l6:?754<6=:1688m52b9>01>=:j1v;7m:18582am33<70=63;367>;38j0:o;5241f9505<5=?i6>?4=564>67<uz<2m7>56z?7ba<>?2785=4>529>05>=9j<019>6:070?822j38m70:;7;0e?xu1100;6;u24ga9=2=:;1n1=8=4=527>4e134>;97?:3:?71g<5m27?8:4=e:p2<>=83<p19hm:85896>f28?870:?0;3`2>;3880:9>5244`96a=:<==1>i5rs7;4>5<1s4>mm778;<1;3?72;278jn4>c79>7cb=9<9019;m:3a891202;i0q~8ne;29=~;4:10:o;522869371<5;3?6:<:;<0:0?15<2795948229>6<1=?;=01?78:606?84>?3=9863=968466=z{?k86=4<{<6:2?7d>27>584>559>6<2=jj1v;79:18082ck3;>863<2882g3=:=:;1=8:4}r4`b?6=:r78>84>559>6c2=9ki0q~9>2;297~;5;:02;639f382g3=:=m<15:5rs632>5<4s488=778;<4e5?7d>27>h8467:p35`=839p1?<k:85893ca28i=70;k3;;4?xu08l0;6>u223`9=2=:>lo1=n84=4f1><1<uz=;h7>53z?16<<>?27=ii4>c79>1a7=1>1v:>l:180845?33<708jc;3`2>;2l902;6s|71`94?5|5;8>6494=7ga>4e134?hj778;|q44d<72:q6>?=5969>2`g=9j<018mj:858yv1713:1?v3=208:3>;1m00:o;525bf9=2=z{>:36=4<{<02b??034<n47?l6:?6gf<>?2wx;=950;1x977c20=01;k8:0a5?83dj33<7p}80783>6}::8h15:526d495f0<5<ij6494}r530?6=;r79=:467:?5a1<6k?169n65969~w2642908w0<>5;;4?80b;3;h:63:c68:3>{t?981<7=t=330><1<5?o96<m9;<7`2??03ty<<<4?:2y>647=1>16:h?51b4890e220=0q~9?0;297~;58o02;639e182g3=:=j>15:5rs7de>5<4s48;h778;<4gb?7d>27>o>467:p2cc=839p1?>m:85893bb28i=70;l2;;4?xu1nm0;6>u221;9=2=:>mn1=n84=4a2><1<uz<mo7>53z?142<>?27=hn4>c79>1f6=1>1v;hm:180847=33<708kb;3`2>;2jo02;6s|70;94?5|5;>:6494=7d:>4e134?oh778;|q45=<72:q6>>h5969>2c>=9j<018jl:858yv16?3:1?v3=3e8:3>;1n>0:o;525e`9=2=z{>;=6=4<{<00f??034<m:7?l6:?6`d<>?2wx;<;50;1x975>20=01;h::0a5?83c133<7p}81583>6}:::=15:526g695f0<5<n36494}r527?6=;r79?8467:?5b6<6k?169i95969~w2772908w0<=f;;4?80a83;h:63:d58:3>{t?9?1<7=t=33:><1<5?o>6<m9;<7`=??03ty=jl4?:2y>655=1>16:io51b4890db20=0q~<:b;296~;5;=02;63=3282g3=z{;?j6=4={<006??03488=7?l6:p60>=838p1?<j:858974c28i=7p}=5683>7}::;i15:5223`95f0<uz8>:7>52z?16d<>?279>44>c79~w7322909w0<=8;;4?845?3;h:6s|24694?4|5;8=6494=306>4e13ty99>4?:3y>672=1>16>?=51b48yv42:3:1>v3=238:3>;5:80:o;5rs372>5<5s489<778;<02b?7d>2wx>8>50;0x977b20=01??k:0a5?xu5<o0;6?u220a9=2=::8h1=n84}r07`?6=:r79=5467:?152<6k?1v?:l:181846>33<70<>5;3`2>{t:=h1<7<t=337><1<5;;86<m9;|q10d<72;q6><<5969>647=9j<0q~<;9;296~;59902;63=0g82g3=z{;>36=4={<03a??0348;h7?l6:p611=838p1?>l:858976e28i=7p}=4783>7}::9k15:5221;95f0<uz8?97>52z?14=<>?279<:4>c79~w7232909w0<?6;;4?847=3;h:6s|27094?4|5;>96494=362>4e13ty9:<4?:3y>616=1>16>>h51b48yv4183:1>v3=3d8:3>;5;m0:o;5rs37e>5<5s488o778;<00f?7d>2wx>8k50;0x975f20=01?=6:0a5?xu5=m0;6?u222:9=2=:::=1=n84}r06g?6=:r79?;467:?170<6k?1v?;6:181844833<70<=f;3`2>{t:=o1<7<t=33b><1<5;;26<m9;|q106<72;q6>=:5969>655=9j<0q~8;a;296~;1880:m55260291<=z{?>o6=4={<431??034<:87?l8:p21d=838p1;?<:0c;?805:3?27p}94d83>7}:>8=15:5263495f><uz<?o7>52z?560<6i116:>85589~w32a2909w08=9;;4?804i3;h46s|66294?4|5?:96?j4=4ff>4e13ty=;o4?:3y>254=:o169h751b48yv0?>3:1>v390281`>;2n=0:o;5rs7::>5<5s4<;?7<i;<7e3?7d>2wx:5o50;0x93632;n018h7:0a5?xu10k0;6?u261696c=:=o31=n84}r4;g?6=:r7=<84=9:?6bd<6k?1v;6k:181807=38i70;ib;3`2>{t>1o1<7<t=737>7b<5<lh6<m9;|q5<c<72;q6:<:52g9>1cb=9j<0q~881;296~;19<09h63:dg82g3=z{?=96=4={<421?4a34?n<7?l6:p225=838p1;?9:3f890c628i=7p}97583>7}:>8<1>k525d095f0<uz<<97>52z?552<5127>i>4>c79~w3112909w08>7;0a?83b<3;h:6s|66594?4|5?8=6?j4=4g6>4e13ty=;54?:3y>270=:o169h851b48yv0013:1>v392681`>;2m>0:o;5rs75b>5<5s4<9;7<i;<7f<?7d>2wx::m50;0x934?2;n018kn:0a5?xu1?m0;6?u263:96c=:=lh1=n84}r44a?6=:r7=>44=9:?6af<6k?1v;9i:181805138i70;jd;3`2>{t>1:1<7<t=71b>7b<5<on6<m9;|q5<4<72;q6:>o52g9>1``=9j<0q~872;296~;1;k09h63:f182g3=z{?286=4={<40f?4a34?m=7?l6:p2=2=838p1;=l:3f890`528i=7p}98483>7}:>:i1>k525g195f0<uz<3;7>52z?57a<5127>j84>c79~w3>?2909w08<d;0a?83a>3;h:6s|64294?5|5?:96?m4=4ff><1<5<hn6<m9;|q51g<72:q6:=<52d9>1`?=1>169n751b48yv01>3:1?v390281g>;2n=02;63:d582g3=z{?<26=4<{<437?4b34?m;778;<7g3?7d>2wx:;o50;1x93632;i018h7:85890b?28i=7p}96c83>6}:>9>1>h525g;9=2=:=m31=n84}r45g?6=;r7=<84=8:?6bd<>?27>hl4>c79~w30c2908w08?5;0b?83aj33<70;kb;3`2>{t>?o1<7=t=737>7e<5<lh6494=4f`>4e13ty=:k4?:2y>242=:l169kj5969>1ab=9j<0q~8:1;297~;19<09o63:dg8:3>;2jo0:o;5rs771>5<4s4<:97<j;<7f4??034?h<7?l6:p205=839p1;?9:3a890c620=018m>:0a5?xu1==0;6>u260496`=:=l815:525b095f0<uz<>97>53z?552<5027>i>467:?6g6<6k?1v;;9:180806?38j70;j4;;4?83d<3;h:6s|64594?5|5?8=6?m4=4g6><1<5<i>6<m9;|q51=<72:q6:?852d9>1`0=1>169n851b48yv0213:1?v392681g>;2m>02;63:c682g3=z{??j6=4<{<413?4b34?n4778;<7`<?7d>2wx:8m50;1x934?2;i018kn:85890ef28i=7p}95e83>6}:>;21>h525d`9=2=:=jh1=n84}r46a?6=;r7=>44=8:?6af<>?27>on4>c79~w33a2908w08=9;0b?83bl33<70;ld;3`2>{t>?:1<7=t=71b>7e<5<on6494=4af>4e13ty=:<4?:2y>26g=:l169hh5969>1f`=9j<0q~892;297~;1;k09o63:f18:3>;2l90:o;5rs740>5<4s4<8n7<j;<7e5??034?o=7?l6:p232=839p1;=l:3a890`520=018j=:0a5?xu1><0;6>u262a96`=:=o915:525e195f0<uz<=;7>53z?57a<5027>j8467:?6`0<6k?1v;87:180804l38j70;i6;;4?83c>3;h:6s|61;94?4|5<lm6874=4df>4g?3ty=<l4?:3y>256==0169kh51`:8yv07j3:1>v390086=>;1890:m55rs72`>5<5s4<;>7?l6:?6b`<6l;1v;>9:181807;3;h4639038:3>{t>9n1<7<t=720>4e134?mj7?k2:p251=838p1;>;:0a;?807;33<7p}90d83>7}:>9>1=n84=723>4b53ty=<54?:3y>253=9j=01;>;:858yv07n3:1>v390482g3=:>9;1=i<4}r42`?6=:r7==>4:9:?557<6i11v;?l:18180693;j46391386=>{t>8o1<7<t=733>4b534<:87?l6:p24>=838p1;?::0a;?806<33<7p}91g83>7}:>8?1=n84=732>4b53ty==44?:3y>240=9j201;?::858yv0583:1>v391782g3=:>881=i<4}r42e?6=:r7==:4>c69>240=1>1v;<>:181806?3;h:6391282`7=z{?;i6=4={<424?7f027==<4:9:p27`=838p1;<::4;8934328k37p}92d83>7}:>;91=l64=707>0?<uz<8<7>52z?567<6l;16:?851b48yv05i3:1>v392682g==:>;<15:5rs712>5<5s4<9;7?l6:?566<6l;1v;<m:18180503;h4639268:3>{t>:81<7<t=70;>4e134<987?k2:p27e=838p1;<6:0a4?805033<7p}93283>7}:>;31=n84=706>4b53ty=>i4?:3y>274=9h201;<<:4;8yv03=3:1>v39358:3>;1;<0:m55rs760>5<5s4<857;6;<40<?7f02wx:9:50;0x935>28k3708<5;7:?xu1<;0;6?u262595d><5?936874}r472?6=:r7=?;4>d39>26g=9j<0q~8<e;296~;1;k0:o55262c9=2=z{?><6=4={<40f?7d>27=?:4>d39~w35a2909w08<c;3`<>;1;k02;6s|65:94?4|5?9h6<m9;<40<?7c:2wx:9>50;0x935c28i<708<c;;4?xu1<00;6?u262f95f0<5?926<j=;|q504<72;q6:>851`:893502<30q~;>b;296~;29k0:nn5250c9502<uz?:o7>52z?65f<6jj169<o51418yv3303:1?v3:4782g3=:===15:5255795f?<uz??57>52z?602<6k?1699;5969~w0422909w0;=4;3`2>;2:902;6s|53594?5|5<;m6494=403>4e134?9=778;|q66=<72;q69<h51b;8904628i=7p}:2883>7}:=;91=n84=401><1<uz?9m7>52z?667<6k?169<k51418yv35>3:19v3:2585a>;2::0=i63:1d82ff=:=;:1:h5253392`=z{<3=6=4={<6fb?7ek27>8<4>569~w0??2909w0:i1;3ag>;2<;0:9:5rs4;:>5<5s4>m>7?mc:?67a<6=>1v87n:18182a;3;io63:3d8213=z{<3i6=4={<6e0?7ek27>8<4>579~w0?d2909w0:i5;3ag>;2<;0:9;5rs4;g>5<5s4>m:7?mc:?67a<6==1v87j:18182a?3;io63:3d8210=z{<3m6=4={<6e<?7ek27>8<4>599~w0g72909w0:i9;3ag>;2;o0:955rs4;4>5<5s4>m<7?mc:?67a<6=11v9k7:181836i3;io63:38821==z{<k96=4m{<77g?7ek27>4;4>c89>1=5=9j30189i:0a:?830j3;h563:7682g<=:=>91=n74=44e>4e>34?=n7?l9:?622<6k0169;=51b;8yv2b?3:18v3:0582ff=:=8215:525059=2=:=:91=8;4}r6fg?6=;r7>8h4:7:?60a<2?27>=i4>bb9~w0752909w0;63;;4?834i3;io6s|5`394?4|5<>i6<ll;<77g?72:2wx8h>50;0x902228i=70;;a;4f?xu2i00;6:u256`95f0<5<=h6<;<;<70`?72=27>?h4>559>16`=9<?018:>:070?833:3;>?6s|5`c94?1|5<=m6<m9;<7;4?72;27>?i4>579>16c=9<=018=i:074?83393;>863:438211=z{<ki6=4<{<7;7?7d>27>494>529>16`=9<>0q~;nc;297~;20?0:o;525959505<5<9m6<;<;|q6e3<7289p19h?:075?82a13;>:63;f98213=:<o=1=884=5d5>43134>m97?:6:?7b1<6=?168k=5144891`528?=70:i1;362>;3mo0:9;5257d95f0<5<=;6<;<;<707?72<27>?94>579>163=9<=018=9:074?83403;>:63:388213=z{<k<6=47{<747?7d>27>;94>529>116=9<9018=6:076?834k3;o>63:3g8213=:==81=8;4=416>4333ty>m54?:6y>121=9j<01897:070?83383;>863:368216=:=:31=8:4=41g>43434?8i7?:3:p1d5=83;8w0:i0;367>;3n00:9>524g:9505<5=l<6<;<;<6e2?72;27?j84>529>0c2=9<9019h<:070?82a:3;>?63;f08216=:<ll1=8=4=440>4e134?=87?:3:?677<6l;169<l51458907d28?<70;<5;361>;2;?0:9;5252:9503<uz?j87>515y>0c6=9<>019h6:077?82a03;>863;f68211=:<o<1=8:4=5d6>43334>m87?:4:?7b6<6==168k<5146891`628??70:jf;360>;2>>0:o;5257:9505<5<986<;<;<700?72;27>=o4>599>14e=9<2018=::07;?834>3;>463:39821==z{<k>6=4>3z?65d<6l;168k>5147891`>28?>70:i8;361>;3n>0:98524g49503<5=l>6<;:;<6e0?72=27?j>4>549>0c4=9<?019h>:076?82bn3;>963:6c82g3=:=?i1=8=4=410>43134?887?:5:?670<6=?169>851478905?28?<7p};e483>7}:=9>1=8;4=43;>4e13ty?i;4?:3y>14?=9j<018>;:070?xu3m=0;6?u25169502<5<;<6<m9;|q64c<72kq69==5569>154==>169=?5569>156==>168kh5569>0cc==>168kj5569>0ce==>168kl5569>0cg==>1699:51ca8yv2b93:1>v3:4`82g3=:=:>1=864}r6f`?6=:r7>>h4>c79>163=9<90q~:je;297~;2;90:o;525239505<5<9=6<;;;|q656<72:q694:51b4890?228?870;<4;363>{t<l81<7=t=437>4e134?:97?:3:?673<6=:1v8??:181833l3;h:63:328212=z{<;:6=4={<77a?7d>27>?94>559~w0622909w0:ia;3`2>;29j0:9>5rs425>5<5s4>mn7?l6:?65f<6==1v8>8:18182ak3;h:63:1b8213=z{<:36=4={<6e`?7d>27>=n4>549~w06>2909w0:ie;3`2>;29k0:9>5rs42b>5<5s4>mj7?l6:?65g<6==1v8>m:18183783;h:63:1c8213=z{<:h6=4={<735?7d>27>=o4>549~w06c2909w0;?2;3`2>;2;10:995rs42f>5<5s4?;?7?l6:?67=<6=:1v9k<:181836>3;h:63:458210=z{=oi6=4;{<71g?7d>27>894>529>14b=9<9018<k:070?xu3mh0;68u253`95f0<5<8h6494=467>43334?:h7?:4:?66a<6==1v9k6:187835j33<70;>f;3`2>;2::0>;63:228:3>{t=<21<7<t=440><1<5<<>6<ll;|q60c<72:q69;85589>13?==0169;<51`:8yv3213:1>v3:668:3>;2>00:nn5rs473>5<4s4?=m7;6;<75`?3>34?=:7?n8:p10g=838p188m:858900c28hh7p}:5083>6}:=?o1945256391<=:=?k1=l64}r76f?6=:r7>:k467:?634<6jj1v8;=:180830:3?270;85;7:?831m3;j46s|54a94?4|5<=86494=456>4dd3ty>9>4?:2y>120==0169:75589>124=9h20q~;:d;296~;2?>02;63:7882ff=z{<??6=4<{<74e?3>34?<h7;6;<742?7f02wx98k50;0x901e20=0189k:0``?xu2=<0;6>u256g91<=:=1;1945256c95d><uz?>j7>52z?63c<>?27>4<4>bb9~w0312908w0;72;7:?83?=3?270;8e;3b<>{t=?:1<7<t=4:0><1<5<2>6<ll;|q612<72;q69565589>1=4=9h20q~;91;296~;20?02;63:8982ff=z{<226=4<{<750?7ek27>:?4>d39>133=9h=0q~;7a;297~;2>10:nn5257495a4<5<<26<o8;|q6<g<72:q69;m51ca8900f28n970;9d;3b3>{t=1i1<7=t=453>4dd34?=i7?k2:?634<6i>1v86k:180830<3;io63:7382`7=:=>?1=l94}r7;a?6=;r7>;54>bb9>120=9m801896:0c4?xu20o0;6>u256a95ge<5<=j6<j=;<74`?7f?2wx94>50;1x90>728hh70;8e;3g6>;2080:m:5rs4;2>5<4s4?387?mc:?6<7<6l;1695;51`58yv3>:3:1>v3:8682ff=:=121=l94}r0ba?6=:r79n4467:?1fg<6jj1v?o::18084ek3?270<mf;7:?84e03;j46s|2`d94?4|5;ho6494=3`e>4dd3ty9m;4?:2y>6f6==016>n=5589>6ge=9h20q~<m0;296~;5k802;63=c282ff=z{;k<6=4<{<0`0?3>348h;7;6;<0`4?7f02wx>o?50;0x97e220=01?m8:0``?xu5i10;6>u22b:91<=::jh194522b695d><uz8i>7>52z?1g<<>?279oo4>bb9~w7g>2908w0<lc;7:?84dn3?270<l8;3b<>{t:k91<7<t=3ag><1<5;im6<ll;|q1ed<72:q6>i>5589>6a5==016>nm51`:8yv4e<3:1>v3=d08:3>;5l:0:nn5rs3ca>5<4s48o87;6;<0g3?3>348o<7?n8:p6g3=838p1?j::85897b028hh7p}=ab83>6}::m2194522e`91<=::m>1=l64}r0a2?6=:r79h4467:?1`g<6jj1v?ok:18184cm3?270<k8;3b<>{t:k=1<7<t=3f`><1<5;nn6<ll;|q1`c<72:q6>oo51ca897d?28n970<mb;3b3>{t:l:1<7=t=3`f>4dd348io7?k2:?1fc<6i>1v?k>:18084d:3;io63=c182`7=::j91=l94}r0f6?6=;r79o;4>bb9>6f2=9m801?m8:0c4?xu5m:0;6>u22bc95ge<5;i36<j=;<0`f?7f?2wx>h:50;1x97eb28hh70<lc;3g6>;5ko0:m:5rs3g6>5<4s48o>7?mc:?1`5<6l;16>i=51`58yv4b>3:1?v3=d782ff=::m>1=i<4=3f4>4g03ty9i:4?:2y>6ag=9ki01?j7:0f1?84cj3;j;6s|2d:94?4|5;no6<ll;<0ga?7f?2wx8ih50;0x91gc20=019jj:0``?xu3j10;6?u24ea9500<5=no6<ll;|q7f2<720q68lj51e0891gb28n970:nf;3g6>;3j90:h?524c395a4<5=h96<j=;<6a7?7c:27?n94>d39>0ae=9ki0q~:m5;297~;3lm0:9>524e295f0<5=n:6494}r6a2?6=:r7?hi4>559>0ad=9j<0q~:k5;291~;3l:0=i63;d385a>;3kj0:nn524bd92`=:<jo1:h5rs5fb>5<5s4>o=7?l6:?7gf<6=:1v9j6:18182c:3;h:63;d18:3>{t<m21<7=t=5ag>4e134>o>7;8;<6g6??03ty?h:4?:3y>0fb=9j3019mi:0a5?xu3l?0;6>u24bf9=2=:<jl15:524bg95f0<uz>o87>52z?7`6<6k?168nk5969~w1e3290>w0:l2;4f?82d93<n70:m9;3ag>;3jj0=i63;bc85a>{t<jh1<7<t=5a3>4e134>i57?:3:p0fg=838p19lj:0a5?82en33<7p};c883>7}:<kn1=n84=5`f><1<uz>h47>52z?7g4<6k?168oj5969~w1e02908w0:ma;3`2>;3k80>;63;c08:3>{t<j<1<7<t=5`b>4e>34>io7?l6:p0f3=839p19ln:85891dd20=019lm:0a5?xu3k:0;6?u24b095f0<5=hi6494}r11b?6=:r78>l4>529>77e=9j<0q~==e;296~;4:k02;63<2b82g==z{:9;6=4={<11e?72<278>o4>c79~w64c2909w0==9;;4?855i3;io6s|37394?4|5:?o6<;<;<16b?7d>2wx?;>50;0x963b20=01>;i:0a;?xu4>;0;6?u234f9502<5:?n6<m9;|q070<72;q6?>?51418965428i=7p}<3583>7}:;:815:5232195f><uz98:7>52z?074<6==16?><51b48yv52?3:1>v3<4`8216=:;<:1=n84}r160?6=:r788k467:?015<6k11v>;7:181853i3;>863<4g82g3=z{:?>6=4={<17a??0349?j7?l8:p70?=838p1>:m:070?853m3;h:6s|34494?4|5:>o6494=26f>4e?3ty89l4?:3y>71d=9<>01>:k:0a5?xu4=80;6?u235a9505<5:>j6<ll;|q017<72;q6?9m51468962e28hh7p}<5283>7}:;=315:5235a95ge<uz9?97>52z?07=<6=:16?>k51b48yv53:3:1>v3<3e8:3>;4;l0:o55rs265>5<5s49847?:4:?07a<6k?1v>:<:181854k33<70=<d;3`<>{t;==1<7<t=21:>4343498o7?l6:p712=838p1>=m:858965d28i37p}<4983>7}:;:31=8:4=21a>4e13ty8?k4?:3y>76g=9<901>=7:0``?xu4<90;6?u232c9502<5:926<ll;|q004<72;q6?>95969>76g=9ki0q~=96;29g~;4:>02;63<5c82g3=:;mh19:523e:912=:;m>19:523e2912=:;ji19:523b:912=:;j>19:523b2912=:;ki19:523c:912=z{=k>6=4={<16f?7d?278?>467:p0<?=83hp1>8<:0a5?826n3?<70:>c;74?82603?<70:>4;74?82683?<70:?c;74?82703?<70:?4;74?82783?<70=ic;74?xu3i=0;6?u234`9=2=:;?91=n94}r6bf?6=:r7?58467:?7=1<6jj1v9ol:18182>=3;h:63;978:3>{t<hk1<7<t=204>4e134994778;|q7=g<72;q68495569>732=9j<0q~:6e;296~;3110>;63<6482g3=z{=k26=4={<150??0349=97?l8:p73>=838p197<:458963d28i=7p};a983>7}:;??15:5234a95f><uz>j;7>52z?06g<6k116?8m5969~w641290?w0:67;3`=>;3110:o45248195f?<5:9:6<ll;|q7e3<72;q6?><51b:8964d20=0q~=9a;29f~;4=m0:nn523e`95f?<5:n36<m6;<1g0?7d1278h=4>c89>7fe=9j301>m7:0a:?85d<3;h563<c182g<=:;ki1=n74=2`;>4e>3ty?m>4?:3y>735=1>16?8k51b:8yv2f:3:1>v3<3c82g==:;<l15:5rs5c2>5<5s498i778;<17`?7d02wx?;750;ax91?428i=70=<b;12?854k39:70=<d;12?854m39:70=;d;12?853m39:70=;f;12?852839:70=<1;3g6>;4=m0:h?5234a974=z{=3m6=4l{<6:<?7d>278?44>d39>76>=9m801>:m:0f1?853i3;o>63<33805>;4;:08=63<5d805>;4=o08=63<2c805>;4:j08=63<64805>{t<0i1<7;t=5;4>4e13498m7?k2:?00f<6l;16??o51e0896032:;0q~:n0;296~;4=902;63;5c82g==z{=3o6=4={<6:<??034>>:7?n8:p0<g=838p1978:858912528k37p}<6683>7}:<0915:5243g95d><uz9n;7>52z?0`f<6jj16?ij51`58yv5b>3:1?v3<d882ff=:;m=1=i<4=2fb>4g03ty8i84?:2y>7a3=9ki01>j<:0f1?85c>3;j;6s|3d694?5|5:n:6<ll;<1`b?7c:278h?4>a69~w6c42908w0=ld;3ag>;4kk0:h?523bg95d1<uz9n>7>53z?0g<<6jj16?n951e0896ef28k<7p}<e083>6}:;j?1=om4=2a0>4b5349h:7?n7:p7`6=839p1>m>:0``?85en3;o>63<c382e2=z{:nm6=4<{<1a`?7ek278no4>d39>7gc=9h=0q~=ke;297~;4j00:nn523c595a4<5:hj6<o8;|q0f3<72;q6?il5969>7ab=9ki0q~=nc;296~;4lm0>563<d682e==z{:h>6=4={<1g<??0349om7?mc:p7dd=839p1>j8:4;896bf2<301>j<:0c;?xu4j=0;6?u23e69=2=:;m<1=om4}r1be?6=;r78h>4:9:?0`3<21278ok4>a99~w6d42909w0=k0;;4?85c:3;io6s|3`;94?5|5:im6874=2f1>0?<5:ii6<o7;|q0f7<72;q6?nm5969>7fc=9ki0q~=n8;297~;4kk0>563<cd86=>;4k>0:m55rs2`2>5<5s49h4778;<1`e?7ek2wx?l950;1x96e02<301>mn:4;896e428k37p}<b183>7}:;j>15:523b495ge<uz9j:7>53z?0g6<21278o;4:9:?0fc<6i11v>oi:18185d833<70=l2;3ag>{t;h?1<7=t=2`e>0?<5:i96874=2`a>4g?3ty8mh4?:3y>7ge=1>16?ok51ca8yv5f<3:1?v3<bc86=>;4jl0>563<b682e==z{:ko6=4={<1a<??0349im7?mc:p07d=838p19<?:0``?82593;j;6s|43c94?5|5=;o6<ll;<62f?7c:27?=h4>a69~w14>2908w0:>9;3ag>;39>0:h?5240c95d1<uz>947>53z?750<6jj168<=51e08917128k<7p};2683>6}:<8;1=om4=52e>4b534>:>7?n7:p070=839p19>k:0``?827j3;o>63;0d82e2=z{=8>6=4<{<63=?7ek27?<:4>d39>05g=9h=0q~:=4;297~;38<0:nn5241195a4<5=:=6<o8;|q766<72:q68=?51ca896`a28n970:?2;3b3>{t<;81<7=t=2dg>4dd349mn7?k2:?0b`<6i>1v>hn:181826n33<70:=1;3ag>{t;o:1<7<t=502>0?<5=;i6<o7;|q0b<<72;q68<m5969>04c=9ki0q~=jf;297~;39k0>563;1d86=>;39>0:m55rs2d;>5<5s4>:4778;<62e?7ek2wx?hk50;1x91702<3019?n:4;8917428k37p}<f683>7}:<8>15:5240495ge<uz9nh7>53z?756<2127?=;4:9:?74c<6i11v>h9:181826833<70:>2;3ag>{t;li1<7=t=52e>0?<5=;96874=52a>4g?3ty8j84?:3y>05e=1>168=k51ca8yv5bj3:1?v3;0c86=>;38l0>563;0682e==z{:l?6=4={<63<??034>;m7?mc:p7`g=839p19>8:4;8916f2<3019><:0c;?xu4n:0;6?u24169=2=:<9<1=om4}r1f=?6=;r7?<>4:9:?743<21278jk4>a99~w6`52909w0:?0;;4?827:3;io6s|3d:94?5|5:lm6874=521>0?<5:li6<o7;|q0b4<72;q6?km5969>7cc=9ki0q~=84;296~;4i:02;63<a382ff=z{:=86=4={<1b4??03492j7?mc:p724=838p1>7k:85896?d28hh7p}<7083>7}:;0k15:5238;95ge<uz9<<7>52z?0=2<>?2785;4>bb9~w60a2909w0=64;;4?85>;3;io6s|37g94?4|5:3:6494=2;3>4dd3ty8:i4?:3y>7=c=1>16?5j51ca8yv51k3:1>v3<8c8:3>;40h0:nn5rs24a>5<5s4934778;<1;3?7ek2wx8;k50;0x914c28hh70::e;;4?xu3>j0;6?u243a95ge<5=>j6494}r65e?6=:r78484>c79>060=1>1v99::181850j3;h:63<848:3>{t<>>1<7<t=25b>4e1349<n778;|q736<72;q6?:751b48961f20=0q~:82;296~;4?10:o;5236;9=2=z{==:6=4={<143?7d>278;5467:p026=838p1>99:0a5?850?33<7p};6g83>6}:;1>1=n84=255><1<5:k96<;:;|q73`<72:q6?5=51b4896>320=01>7i:076?xu3?m0;6>u239095f0<5:286494=2;`>4323ty?;n4?:2y>7=7=9j<01>6=:85896?>28?>7p};7c83>6}:;1:1=n84=2:2><1<5:3=6<;:;|q73d<72:q6?:h51b4896>720=01>7<:076?xu3?00;6>u236g95f0<5:=m6494=2;3>4323ty?;54?:2y>72b=9j<01>9j:85896>c28?>7p};7683>6}:;>i1=n84=25g><1<5:2j6<;:;|q733<72:q6?:;51b48961d20=01>68:076?xu3>m0;6?u243f9505<5=><6<m7;|q72g<72;q68?m51418915428i37p};9383>1}:;h81=8:4=2fa>4e1349oo7?:3:?771<492wx84?50;6x96?a28??70=k8;3`2>;4l00:9>5242696c=z{=3;6=4;{<1:g?72<278h94>c79>7a3=9<9019=;:3g8yv2?n3:18v3<988211=:;m:1=n84=2f2>43434>887<k;|q7<`<72=q6?485146896ed28i=70=ld;367>;3;=09o6s|49f94?2|5:386<;;;<1`<?7d>278o44>529>065=;81v96l:18785>83;>863<c582g3=:;j?1=8=4=510>7`<uz>3n7>54z?0<a<6==16?n>51b4896e628?870:<3;0f?xu30h0;69u239c9502<5:hh6<m9;<1a`?72;27??>4=d:p0=?=83>p1>68:077?85e03;h:63<b88216=:<:91>n5rs5:;>5<5s49j?7?l6:?0e4<>?2wx85950;0x96g728i=70=6e;;4?xu30?0;6?u238f95f0<5:3i6494}r6;1?6=:r785l4>c79>7<>=1>1v96;:18185>?3;h:63<948:3>{t<191<7<t=2;7>4e13492>778;|q7<7<72;q6?4?51b4896>a20=0q~:71;296~;40l0:o;5239a9=2=z{=2;6=4={<1;f?7d>27844467:p02`=838p1>67:0a5?85?>33<7p};6383>7}:<<21945244595d><uz>=?7>52z?71<<2127?954>a99~w1032909w0::a;7:?82213;j46s|47794?4|5=?j6<o7;<662?3>3ty?:;4?:3y>00d=9j<019;8:0f1?xu3=o0;6?u244a95f><5=?i6494}r653?6=:r7?9n4>c79>00>=9m80q~:90;296~;3=m0:o55244a9=2=z{=<36=4={<66`?7d>27?944>d39~w1062909w0::e;3`3>;3=m02;6s|47;94?4|5=?n6<m9;<66e?7c:2wx89k50;0x91232<3019:<:0c;?xu3<o0;6?u245791<=:<=>1=l64}r664?6=:r7?8;4:9:?700<6i11v9;>:181823>3;j463;4386=>{t<<81<7<t=564>4e134>??7?k2:p01d=838p19:7:0a;?823?33<7p};5283>7}:<=21=n84=567>4b53ty?8n4?:3y>01?=9j2019:7:858yv22<3:1>v3;4882g3=:<=?1=i<4}r67`?6=:r7?8l4>c69>01?=1>1v9;::181823i3;h:63;4782`7=z{=9j6=4={<604?3>34>9j7?n8:p06d=838p19=>:4;8915728k37p};3b83>7}:<:81945242395d><uz>8h7>52z?777<6i1168?k5589~w15b2909w0:<3;3`2>;3:o0:h?5rs514>5<5s4>887?l8:?776<>?2wx8>h50;0x915328i=70:<0;3g6>{t<:21<7<t=516>4e?34>88778;|q705<72;q68>;51b48915628n97p};3883>7}:<:<1=n94=516><1<uz>?=7>52z?773<6k?168><51e08yv41i3:1>v3=708:3>;5?:0:nn5rs346>5<4s48<87;6;<043?3>348<<7?n8:p63d=838p1?9::858971028hh7p}=6783>6}::>21945226`91<=::>>1=l64}r05g?6=:r79;4467:?13g<6jj1v?88:180840k3?270<8f;7:?84003;j46s|27f94?4|5;=o6494=35e>4dd3ty9:54?:2y>6=6==016>5=5589>62e=9h20q~<9e;296~;50802;63=8282ff=z{;<26=4={<0;2?3>3483<7?n8:p63`=838p1?6;:85897>128hh7p}=8683>6}::>81=om4=353>4b5348<?7?n7:p6=>=839p1?99:0``?840<3;o>63=7682e2=z{;226=4<{<04e?7ek279;54>d39>62d=9h=0q~<7a;297~;5?l0:nn5226a95a4<5;=m6<o8;|q1<g<72:q6>5<51ca897>728n970<73;3b3>{t:1i1<7<t=3:6>4dd3483:7?n7:p5g?=838p1?h::0``?84a<3;>86s|52`94?4|5<9h6<ll;<70e?72?2wx9>m50;0x905a28hh70;<a;360>{t=:l1<7<t=463>4dd34??=7?:5:p116=838p18:>:0``?834i3;>96s|55394?4|5<>96<ll;<703?7202wx99=50;0x905028?>70;<2;3ag>{t=:81<7<t=416>4dd34?8;7?:6:p163=838p18=9:0``?834?3;>;6s|52494?4|5<9<6<ll;<70e?7202wx9>950;0x905?28hh70;<9;363>{t=:21<7<t=41:>4dd34?8m7?:6:p17`=83;?=v3:2d8:3>;0:o0:nh525c796<=:=k?1>l525c796g=:=k:1>4525c296d=:=k:1>o5221196==::991>45221196d=::831>55220;96<=::831>l5223d96==::;l1>45223d96d=:::?1>55222796<=:::?1>l5222596==:::=1>45222596d=:::31>55222;96<=:::31>l5222`96==:::h1>45222`96d=:::n1>55222f96<=:::n1>l5222d96==:::l1>45222d96d=::=;1>55225396<=::=;1>l5221796==::9?1>45221796d=::9=1>55221596<=::9=1>l5221;96==::931>45221;96d=::9h1>55221`96<=::9h1>l5221f96==::9n1>45221f96d=::9l1>55221d96<=::9l1>l5220396==::8;1>45220396d=::891>55220196<=::891>l5220796==::8?1>45220796d=::8=1>55220596<=::8=1>l5220`96==::8h1>45220`96d=::8n1>55220f96<=::8n1>l5220d96==::8l1>45220d96d=::;;1>55223396<=::;;1>l5223196==::;91>45223196d=::;?1>55223796<=::;?1>l5223596==::;=1>45223596d=::;31>55223;96<=::;31>l5223`96==::;h1>45223`96d=::;n1>55223f96<=::;n1>l5222396==:::;1>45222396d=:::91>55222196<=:::91>l52616974=:>991?<52610974=:=oo19452604974=:>8?1?<52606974=:>;21?<52635974=:>;<1?<5262a974=:>:h1?<5262c974=:>:?1=i<4=40a>01<5<8h6894=435>01<5<;26494=4;0>01<5<896894=465>01<5<><6894=4:5>01<5<286894=45e>01<5<=i6894=454>01<5<=86894=44e>01<5<<i6894=444>01<5<<86894=441>0?<5<<>6874=3`;>0?<5;hi6874=5fg>43234>o<7;8;<6g5?3034>ih7;8;<6aa?3034>ij7;8;<6`4?30349397<7;<1;1?4>349397<n;<1;1?4e349mn7;6;<1ea?3>349i;7;6;<1ae?3>34>>h7<l;<66`?4c34>>h7<j;<66`?4a34>>h7=>;<66a?4?34>>i7<6;<66a?4f34>>i7<m;<663?3>34>>:7?k2:?70<<5k27?844=d:?70<<5m27?844=f:?70<<4927?8l4=8:?70d<5127?8l4=a:?70d<5j27?8>4:9:?707<6l;168>;52b9>063=:m168>;52d9>063=:o168>;5309>060=:1168>85289>060=:h168>852c9>07`==0168?k51e0897172<301?9<:4;8902f20=01?7;:2f897?32:i01?7;:2`897?32:?01?7;:5a897?32=h01?7;:5c897?32=>01?7;:c6897?32k801?7;:c2897?32hl01?7;:`g897?32hn01?7;:`a897?32hh01?7;:`c897?32h301?7;:`:897?32h=01?7;:`7897?32h>01?7;:`1897?32h801?7;:`3897?32h:01?7;:8d897?320o01?7;:8f897?320i01?7;:c`897?32kk01?7;:c;897?32k201?7;:c5897?32k<01?7;:c7897?32k;01?7;:`4897?320h01?7;:e7897?32m901?7;:e3897?32m:01?7;:bd897?32jo01?7;:bf897?32ji01?7;:b`897?32jk01?7;:b;897?32j201?7;:b4897?32j?01?7;:b6897?32j901?7;:d3897?32ml01?7;:eg897?32mn01?7;:d4897?32l>01?7;:01b?84><3;hn63=9582gf=::0=1?i5228597f=::0=1?o52285970=::0=18n5228590g=::0=18l52285901=::0=1n9522859f7=::0=1n=522859ec=::0=1mh522859ea=::0=1mn522859eg=::0=1ml522859e<=::0=1m5522859e2=::0=1m8522859e1=::0=1m>522859e7=::0=1m<522859e5=::0=15k522859=`=::0=15i522859=f=::0=1no522859fd=::0=1n4522859f==::0=1n:522859f3=::0=1n8522859f4=::0=1m;522859=g=::0=1h8522859`6=::0=1h<522859`5=::0=1ok522859g`=::0=1oi522859gf=::0=1oo522859gd=::0=1o4522859g==::0=1o;522859g0=::0=1o9522859g6=::0=1i<522859`c=::0=1hh522859`a=::0=1i;522859a1=::0=1=>o4=3;4>4ee3482;7?lc:p167=838p18=>:0``?834833<7p}:9483>7}:=0?1=om4=4;7><1<uz?:97>52z?650<6jj169<:5969~w4d22909w0;<d;3ag>;2;j0:9>5rs0`5>5<5s4?8i7?mc:?67f<6==1v<l8:181834;3;io63:338216=z{8h36=4={<700?7ek27>??4>559~yk`3<3:1=vF81`9~jc22290:wE9>a:mb10=83;pD:?n;|le02<728qC;<o4}od7<?6=9rB<=l5rng6:>5<6sA=:m6saf5c94?7|@>;j7p`i4c83>4}O?8k0qch;c;295~N09h1vbk:k:182M16i2wej9k50;3xL27f3tdm8k4?:0yK34g<ugl><7>51zJ45d=zfo?:6=4>{I52e>{in<81<7?tH63b?xha=:0;6<uG70c8yk`2<3:1=vF81`9~jc32290:wE9>a:mb00=83;pD:?n;|le12<728qC;<o4}od6<?6=9rB<=l5rng7:>5<6sA=:m6saf4c94?7|@>;j7p`i5c83>4}O?8k0qch:c;295~N09h1vbk;k:182M16i2wej8k50;3xL27f3tdm9k4?:0yK34g<ugl=<7>51zJ45d=zfo<:6=4>{I52e>{in?81<7?tH63b?xha>:0;6<uG70c8yk`1<3:1=vF81`9~jc02290:wE9>a:mb30=83;pD:?n;|le22<728qC;<o4}od5<?6=9rB<=l5rng4:>5<6sA=:m6saf7c94?7|@>;j7p`i6c83>4}O?8k0qch9c;295~N09h1vbk8k:182M16i2wej;k50;3xL27f3tdm:k4?:0yK34g<ugl<<7>51zJ45d=zfo=:6=4>{I52e>{in>81<7?tH63b?xha?:0;6<uG70c8yk`0<3:1=vF81`9~jc12290:wE9>a:mb20=83;pD:?n;|le32<728qC;<o4}od4<?6=9rB<=l5rng5:>5<6sA=:m6saf6c94?7|@>;j7p`i7c83>4}O?8k0qch8c;295~N09h1vbk9k:182M16i2wej:k50;3xL27f3tdm;k4?:0yK34g<ugl3<7>51zJ45d=zfo2:6=4>{I52e>{in181<7?tH63b?xha0:0;6<uG70c8yk`?<3:1=vF81`9~jc>2290:wE9>a:mb=0=83;pD:?n;|le<2<728qC;<o4}od;<?6=9rB<=l5rng::>5<6sA=:m6saf9c94?7|@>;j7p`i8c83>4}O?8k0qch7c;295~N09h1vbk6k:182M16i2wej5k50;3xL27f3tdm4k4?:0yK34g<ugl2<7>51zJ45d=zfo3:6=4>{I52e>{in081<7?tH63b?xha1:0;6<uG70c8yk`><3:1=vF81`9~jc?2290:wE9>a:mb<0=83;pD:?n;|le=2<728qC;<o4}od:<?6=9rB<=l5rng;:>5<6sA=:m6saf8c94?7|@>;j7p`i9c83>4}O?8k0qch6c;295~N09h1vbk7k:182M16i2wej4k50;3xL27f3tdm5k4?:0yK34g<uglj<7>51zJ45d=zfok:6=4>{I52e>{inh81<7?tH63b?xhai:0;6<uG70c8yk`f<3:1=vF81`9~jcg2290:wE9>a:mbd0=83;pD:?n;|lee2<728qC;<o4}odb<?6=9rB<=l5rngc:>5<6sA=:m6saf`c94?7|@>;j7p`iac83>4}O?8k0qchnc;295~N09h1vbkok:182M16i2wejlk50;3xL27f3tdmmk4?:0yK34g<ugli<7>51zJ45d=zfoh:6=4>{I52e>{ink81<7?tH63b?xhaj:0;6<uG70c8yk`e<3:1=vF81`9~jcd2290:wE9>a:mbg0=83;pD:?n;|lef2<728qC;<o4}oda<?6=9rB<=l5rng`:>5<6sA=:m6safcc94?7|@>;j7p`ibc83>4}O?8k0qchmc;295~N09h1vbklk:182M16i2wejok50;3xL27f3tdmnk4?:0yK34g<uglh<7>51zJ45d=zfoi:6=4>{I52e>{inj81<7?tH63b?xhak:0;6<uG70c8yk`d<3:1=vF81`9~jce2290:wE9>a:mbf0=83;pD:?n;|leg2<728qC;<o4}od`<?6=9rB<=l5rnga:>5<6sA=:m6safbc94?7|@>;j7p`icc83>4}O?8k0qchlc;295~N09h1vbkmk:182M16i2wejnk50;3xL27f3tdmok4?:0yK34g<uglo<7>51zJ45d=zfon:6=4>{I52e>{inm81<7?tH63b?xhal:0;6<uG70c8yk`c<3:1=vF81`9~jcb2290:wE9>a:mba0=83;pD:?n;|le`2<728qC;<o4}odg<?6=9rB<=l5rngf:>5<6sA=:m6safec94?7|@>;j7p`idc83>4}O?8k0qchkc;295~N09h1vbkjk:182M16i2wejik50;3xL27f3tdmhk4?:0yK34g<ugln<7>51zJ45d=zfoo:6=4>{I52e>{inl81<7?tH63b?xham:0;6<uG70c8yk`b<3:1=vF81`9~jcc2290:wE9>a:mb`0=83;pD:?n;|lea2<728qC;<o4}odf<?6=9rB<=l5rngg:>5<6sA=:m6safdc94?7|@>;j7p`iec83>4}O?8k0qchjc;295~N09h1vbkkk:182M16i2wejhk50;3xL27f3tdmik4?:0yK34g<uglm<7>51zJ45d=zfol:6=4>{I52e>{ino81<7?tH63b?xhan:0;6<uG70c8yk`a<3:1=vF81`9~jc`2290:wE9>a:mbc0=83;pD:?n;|leb2<728qC;<o4}ode<?6=9rB<=l5rngd:>5<6sA=:m6safgc94?7|@>;j7p`ifc83>4}O?8k0qchic;295~N09h1vbkhk:182M16i2wejkk50;3xL27f3tdmjk4?:0yK34g<ug;;<=4?:0yK34g<ug;;<<4?:0yK34g<ug;;<?4?:0yK34g<ug;;<>4?:0yK34g<ug;;<94?:0yK34g<ug;;<84?:0yK34g<ug;;<;4?:0yK34g<ug;;<:4?:0yK34g<ug;;<54?:0yK34g<ug;;<44?:0yK34g<ug;;<l4?:0yK34g<ug;;<o4?:0yK34g<ug;;<n4?:0yK34g<ug;;<i4?:0yK34g<ug;;<h4?:0yK34g<ug;;<k4?:0yK34g<ug;;==4?:0yK34g<ug;;=<4?:0yK34g<ug;;=?4?:0yK34g<ug;;=>4?:0yK34g<ug;;=94?:0yK34g<ug;;=84?:0yK34g<ug;;=;4?:0yK34g<ug;;=:4?:0yK34g<ug;;=54?:0yK34g<ug;;=44?:0yK34g<ug;;=l4?:0yK34g<ug;;=o4?:0yK34g<ug;;=n4?:0yK34g<ug;;=i4?:0yK34g<ug;;=h4?:0yK34g<ug;;=k4?:0yK34g<ug;;>=4?:0yK34g<ug;;><4?:0yK34g<ug;;>?4?:0yK34g<ug;;>>4?:0yK34g<ug;;>94?:0yK34g<ug;;>84?:0yK34g<ug;;>;4?:0yK34g<ug;;>:4?:0yK34g<ug;;>54?:0yK34g<ug;;>44?:0yK34g<ug;;>l4?:0yK34g<ug;;>o4?:0yK34g<ug;;>n4?:0yK34g<ug;;>i4?:0yK34g<ug;;>h4?:0yK34g<ug;;>k4?:0yK34g<ug;;?=4?:0yK34g<ug;;?<4?:0yK34g<ug;;??4?:0yK34g<ug;;?>4?:0yK34g<ug;;?94?:0yK34g<ug;;?84?:0yK34g<ug;;?;4?:0yK34g<ug;;?:4?:0yK34g<ug;;?54?:0yK34g<ug;;?44?:0yK34g<ug;;?l4?:0yK34g<ug;;?o4?:0yK34g<ug;;?n4?:0yK34g<ug;;?i4?:0yK34g<ug;;?h4?:0yK34g<ug;;?k4?:0yK34g<ug;;8=4?:0yK34g<ug;;8<4?:0yK34g<ug;;8?4?:0yK34g<ug;;8>4?:0yK34g<ug;;894?:0yK34g<ug;;884?:0yK34g<ug;;8;4?:0yK34g<ug;;8:4?:0yK34g<ug;;854?:0yK34g<ug;;844?:0yK34g<ug;;8l4?:0yK34g<ug;;8o4?:0yK34g<ug;;8n4?:0yK34g<ug;;8i4?:0yK34g<ug;;8h4?:0yK34g<ug;;8k4?:0yK34g<ug;;9=4?:0yK34g<ug;;9<4?:0yK34g<ug;;9?4?:0yK34g<ug;;9>4?:0yK34g<ug;;994?:0yK34g<ug;;984?:0yK34g<ug;;9;4?:0yK34g<ug;;9:4?:0yK34g<ug;;954?:0yK34g<ug;;944?:0yK34g<ug;;9l4?:0yK34g<ug;;9o4?:0yK34g<ug;;9n4?:0yK34g<ug;;9i4?:0yK34g<ug;;9h4?:0yK34g<ug;;9k4?:0yK34g<ug;;:=4?:0yK34g<ug;;:<4?:0yK34g<ug;;:?4?:0yK34g<ug;;:>4?:0yK34g<ug;;:94?:0yK34g<ug;;:84?:0yK34g<ug;;:;4?:0yK34g<ug;;::4?:0yK34g<ug;;:54?:0yK34g<ug;;:44?:0yK34g<ug;;:l4?:0yK34g<ug;;:o4?:0yK34g<ug;;:n4?:0yK34g<ug;;:i4?:0yK34g<ug;;:h4?:0yK34g<ug;;:k4?:0yK34g<ug;;;=4?:0yK34g<ug;;;<4?:0yK34g<ug;;;?4?:0yK34g<ug;;;>4?:0yK34g<ug;;;94?:0yK34g<ug;;;84?:0yK34g<ug;;;;4?:0yK34g<ug;;;:4?:0yK34g<ug;;;54?:0yK34g<ug;;;44?:0yK34g<ug;;;l4?:0yK34g<ug;;;o4?:0yK34g<ug;;;n4?:0yK34g<ug;;;i4?:0yK34g<ug;;;h4?:0yK34g<ug;;;k4?:0yK34g<ug;;4=4?:0yK34g<ug;;4<4?:0yK34g<ug;;4?4?:0yK34g<ug;;4>4?:0yK34g<ug;;494?:0yK34g<ug;;484?:0yK34g<ug;;4;4?:0yK34g<ug;;4:4?:0yK34g<ug;;454?:0yK34g<ug;;444?:0yK34g<ug;;4l4?:0yK34g<ug;;4o4?:0yK34g<ug;;4n4?:0yK34g<ug;;4i4?:0yK34g<ug;;4h4?:0yK34g<ug;;4k4?:0yK34g<ug;;5=4?:0yK34g<ug;;5<4?:0yK34g<ug;;5?4?:0yK34g<ug;;5>4?:0yK34g<ug;;594?:0yK34g<ug;;584?:0yK34g<ug;;5;4?:0yK34g<ug;;5:4?:0yK34g<ug;;554?:0yK34g<ug;;544?:0yK34g<ug;;5l4?:0yK34g<ug;;5o4?:0yK34g<ug;;5n4?:0yK34g<ug;;5i4?:0yK34g<ug;;5h4?:0yK34g<ug;;5k4?:0yK34g<ug;;m=4?:0yK34g<ug;;m<4?:0yK34g<ug;;m?4?:0yK34g<ug;;m>4?:0yK34g<ug;;m94?:0yK34g<ug;;m84?:0yK34g<ug;;m;4?:0yK34g<ug;;m:4?:0yK34g<ug;;m54?:0yK34g<ug;;m44?:0yK34g<ug;;ml4?:0yK34g<ug;;mo4?:0yK34g<ug;;mn4?:0yK34g<ug;;mi4?:0yK34g<ug;;mh4?:0yK34g<ug;;mk4?:0yK34g<ug;;n=4?:0yK34g<ug;;n<4?:0yK34g<ug;;n?4?:0yK34g<ug;;n>4?:0yK34g<ug;;n94?:0yK34g<ug;;n84?:0yK34g<ug;;n;4?:0yK34g<ug;;n:4?:0yK34g<ug;;n54?:0yK34g<ug;;n44?:0yK34g<ug;;nl4?:0yK34g<ug;;no4?:0yK34g<ug;;nn4?:0yK34g<ug;;ni4?:0yK34g<ug;;nh4?:0yK34g<ug;;nk4?:0yK34g<ug;;o=4?:0yK34g<ug;;o<4?:0yK34g<ug;;o?4?:0yK34g<ug;;o>4?:0yK34g<ug;;o94?:0yK34g<ug;;o84?:0yK34g<ug;;o;4?:0yK34g<ug;;o:4?:0yK34g<ug;;o54?:0yK34g<ug;;o44?:0yK34g<ug;;ol4?:0yK34g<ug;;oo4?:0yK34g<ug;;on4?:0yK34g<ug;;oi4?:0yK34g<ug;;oh4?:0yK34g<ug;;ok4?:0yK34g<ug;;h=4?:0yK34g<ug;;h<4?:0yK34g<ug;;h?4?:0yK34g<ug;;h>4?:0yK34g<ug;;h94?:0yK34g<ug;;h84?:0yK34g<ug;;h;4?:0yK34g<ug;;h:4?:0yK34g<ug;;h54?:0yK34g<ug;;h44?:0yK34g<ug;;hl4?:0yK34g<ug;;ho4?:0yK34g<ug;;hn4?:0yK34g<ug;;hi4?:0yK34g<ug;;hh4?:0yK34g<ug;;hk4?:0yK34g<ug;;i=4?:0yK34g<ug;;i<4?:0yK34g<ug;;i?4?:0yK34g<ug;;i>4?:0yK34g<ug;;i94?:0yK34g<ug;;i84?:0yK34g<ug;;i;4?:0yK34g<ug;;i:4?:0yK34g<ug;;i54?:0yK34g<ug;;i44?:0yK34g<ug;;il4?:0yK34g<ug;;io4?:0yK34g<ug;;in4?:0yK34g<ug;;ii4?:0yK34g<ug;;ih4?:0yK34g<ug;;ik4?:0yK34g<ug;;j=4?:0yK34g<ug;;j<4?:0yK34g<ug;;j?4?:0yK34g<ug;;j>4?:0yK34g<ug;;j94?:0yK34g<ug;;j84?:0yK34g<ug;;j;4?:0yK34g<ug;;j:4?:0yK34g<ug;;j54?:0yK34g<ug;;j44?:0yK34g<ug;;jl4?:0yK34g<ug;;jo4?:0yK34g<ug;;jn4?:0yK34g<ug;;ji4?:0yK34g<ug;;jh4?:0yK34g<ug;;jk4?:0yK34g<ug;:<=4?:0yK34g<ug;:<<4?:0yK34g<ug;:<?4?:0yK34g<ug;:<>4?:0yK34g<ug;:<94?:0yK34g<ug;:<84?:0yK34g<ug;:<;4?:0yK34g<ug;:<:4?:0yK34g<ug;:<54?:0yK34g<ug;:<44?:0yK34g<ug;:<l4?:0yK34g<ug;:<o4?:0yK34g<ug;:<n4?:0yK34g<ug;:<i4?:0yK34g<ug;:<h4?:0yK34g<ug;:<k4?:0yK34g<ug;:==4?:0yK34g<ug;:=<4?:0yK34g<ug;:=?4?:0yK34g<ug;:=>4?:0yK34g<ug;:=94?:0yK34g<ug;:=84?:0yK34g<ug;:=;4?:0yK34g<ug;:=:4?:0yK34g<ug;:=54?:0yK34g<ug;:=44?:0yK34g<ug;:=l4?:0yK34g<ug;:=o4?:0yK34g<ug;:=n4?:0yK34g<ug;:=i4?:0yK34g<ug;:=h4?:0yK34g<ug;:=k4?:0yK34g<ug;:>=4?:0yK34g<ug;:><4?:0yK34g<ug;:>?4?:0yK34g<ug;:>>4?:0yK34g<ug;:>94?:0yK34g<ug;:>84?:0yK34g<ug;:>;4?:0yK34g<ug;:>:4?:0yK34g<ug;:>54?:0yK34g<ug;:>44?:0yK34g<ug;:>l4?:0yK34g<ug;:>o4?:0yK34g<ug;:>n4?:0yK34g<ug;:>i4?:0yK34g<ug;:>h4?:0yK34g<ug;:>k4?:0yK34g<ug;:?=4?:0yK34g<ug;:?<4?:0yK34g<ug;:??4?:0yK34g<ug;:?>4?:0yK34g<ug;:?94?:0yK34g<ug;:?84?:0yK34g<ug;:?;4?:0yK34g<ug;:?:4?:0yK34g<ug;:?54?:0yK34g<ug;:?44?:0yK34g<ug;:?l4?:0yK34g<ug;:?o4?:0yK34g<ug;:?n4?:0yK34g<ug;:?i4?:0yK34g<ug;:?h4?:0yK34g<ug;:?k4?:0yK34g<ug;:8=4?:0yK34g<ug;:8<4?:0yK34g<ug;:8?4?:0yK34g<ug;:8>4?:0yK34g<ug;:894?:0yK34g<ug;:884?:0yK34g<ug;:8;4?:0yK34g<ug;:8:4?:0yK34g<ug;:854?:0yK34g<ug;:844?:0yK34g<ug;:8l4?:0yK34g<ug;:8o4?:0yK34g<ug;:8n4?:0yK34g<ug;:8i4?:0yK34g<ug;:8h4?:0yK34g<ug;:8k4?:0yK34g<ug;:9=4?:0yK34g<ug;:9<4?:0yK34g<ug;:9?4?:0yK34g<ug;:9>4?:0yK34g<ug;:994?:0yK34g<ug;:984?:0yK34g<ug;:9;4?:0yK34g<ug;:9:4?:0yK34g<ug;:954?:0yK34g<ug;:944?:0yK34g<ug;:9l4?:0yK34g<ug;:9o4?:0yK34g<ug;:9n4?:0yK34g<ug;:9i4?:0yK34g<ug;:9h4?:0yK34g<ug;:9k4?:0yK34g<ug;::=4?:0yK34g<ug;::<4?:0yK34g<ug;::?4?:0yK34g<ug;::>4?:0yK34g<ug;::94?:0yK34g<ug;::84?:0yK34g<ug;::;4?:0yK34g<ug;:::4?:0yK34g<ug;::54?:0yK34g<ug;::44?:0yK34g<ug;::l4?:0yK34g<ug;::o4?:0yK34g<ug;::n4?:0yK34g<ug;::i4?:0yK34g<ug;::h4?:0yK34g<ug;::k4?:0yK34g<ug;:;=4?:0yK34g<ug;:;<4?:0yK34g<ug;:;?4?:0yK34g<ug;:;>4?:0yK34g<ug;:;94?:0yK34g<ug;:;84?:0yK34g<ug;:;;4?:0yK34g<ug;:;:4?:0yK34g<ug;:;54?:0yK34g<ug;:;44?:0yK34g<ug;:;l4?:0yK34g<ug;:;o4?:0yK34g<ug;:;n4?:0yK34g<ug;:;i4?:0yK34g<ug;:;h4?:0yK34g<ug;:;k4?:0yK34g<ug;:4=4?:0yK34g<ug;:4<4?:0yK34g<ug;:4?4?:0yK34g<ug;:4>4?:0yK34g<ug;:494?:0yK34g<ug;:484?:0yK34g<ug;:4;4?:0yK34g<ug;:4:4?:0yK34g<ug;:454?:0yK34g<ug;:444?:0yK34g<ug;:4l4?:0yK34g<ug;:4o4?:0yK34g<ug;:4n4?:0yK34g<ug;:4i4?:0yK34g<ug;:4h4?:0yK34g<ug;:4k4?:0yK34g<ug;:5=4?:0yK34g<ug;:5<4?:0yK34g<ug;:5?4?:0yK34g<ug;:5>4?:0yK34g<ug;:594?:0yK34g<ug;:584?:0yK34g<ug;:5;4?:0yK34g<ug;:5:4?:0yK34g<ug;:554?:0yK34g<ug;:544?:0yK34g<ug;:5l4?:0yK34g<ug;:5o4?:0yK34g<ug;:5n4?:0yK34g<ug;:5i4?:0yK34g<ug;:5h4?:0yK34g<ug;:5k4?:0yK34g<ug;:m=4?:0yK34g<ug;:m<4?:0yK34g<ug;:m?4?:0yK34g<ug;:m>4?:0yK34g<ug;:m94?:0yK34g<ug;:m84?:0yK34g<ug;:m;4?:0yK34g<ug;:m:4?:0yK34g<ug;:m54?:0yK34g<ug;:m44?:0yK34g<ug;:ml4?:0yK34g<ug;:mo4?:0yK34g<ug;:mn4?:0yK34g<ug;:mi4?:0yK34g<ug;:mh4?:0yK34g<ug;:mk4?:0yK34g<ug;:n=4?:0yK34g<ug;:n<4?:0yK34g<ug;:n?4?:0yK34g<ug;:n>4?:0yK34g<ug;:n94?:0yK34g<ug;:n84?:0yK34g<ug;:n;4?:0yK34g<ug;:n:4?:0yK34g<ug;:n54?:0yK34g<ug;:n44?:0yK34g<ug;:nl4?:0yK34g<ug;:no4?:0yK34g<ug;:nn4?:0yK34g<ug;:ni4?:0yK34g<ug;:nh4?:0yK34g<ug;:nk4?:0yK34g<ug;:o=4?:0yK34g<ug;:o<4?:0yK34g<ug;:o?4?:0yK34g<ug;:o>4?:0yK34g<ug;:o94?:0yK34g<ug;:o84?:0yK34g<ug;:o;4?:0yK34g<ug;:o:4?:0yK34g<ug;:o54?:0yK34g<ug;:o44?:0yK34g<ug;:ol4?:0yK34g<ug;:oo4?:0yK34g<ug;:on4?:0yK34g<ug;:oi4?:0yK34g<ug;:oh4?:0yK34g<ug;:ok4?:0yK34g<ug;:h=4?:0yK34g<ug;:h<4?:0yK34g<ug;:h?4?:0yK34g<ug;:h>4?:0yK34g<ug;:h94?:0yK34g<ug;:h84?:0yK34g<ug;:h;4?:0yK34g<ug;:h:4?:0yK34g<ug;:h54?:0yK34g<ug;:h44?:0yK34g<ug;:hl4?:0yK34g<ug;:ho4?:0yK34g<ug;:hn4?:0yK34g<ug;:hi4?:0yK34g<ug;:hh4?:0yK34g<ug;:hk4?:0yK34g<ug;:i=4?:0yK34g<ug;:i<4?:0yK34g<ug;:i?4?:0yK34g<ug;:i>4?:0yK34g<ug;:i94?:0yK34g<ug;:i84?:0yK34g<ug;:i;4?:0yK34g<ug;:i:4?:0yK34g<ug;:i54?:0yK34g<ug;:i44?:0yK34g<ug;:il4?:0yK34g<ug;:io4?:0yK34g<ug;:in4?:0yK34g<ug;:ii4?:0yK34g<ug;:ih4?:0yK34g<ug;:ik4?:0yK34g<ug;:j=4?:0yK34g<ug;:j<4?:0yK34g<ug;:j?4?:0yK34g<ug;:j>4?:0yK34g<ug;:j94?:0yK34g<ug;:j84?:0yK34g<ug;:j;4?:0yK34g<ug;:j:4?:0yK34g<ug;:j54?:0yK34g<ug;:j44?:0yK34g<ug;:jl4?:0yK34g<ug;:jo4?:0yK34g<ug;:jn4?:0yK34g<ug;:ji4?:0yK34g<ug;:jh4?:0yK34g<ug;:jk4?:0yK34g<ug;9<=4?:0yK34g<ug;9<<4?:0yK34g<ug;9<?4?:0yK34g<ug;9<>4?:0yK34g<ug;9<94?:0yK34g<ug;9<84?:0yK34g<ug;9<;4?:0yK34g<ug;9<:4?:0yK34g<ug;9<54?:0yK34g<ug;9<44?:0yK34g<ug;9<l4?:0yK34g<ug;9<o4?:0yK34g<ug;9<n4?:0yK34g<ug;9<i4?:0yK34g<ug;9<h4?:0yK34g<ug;9<k4?:0yK34g<ug;9==4?:0yK34g<ug;9=<4?:0yK34g<ug;9=?4?:0yK34g<ug;9=>4?:0yK34g<ug;9=94?:0yK34g<ug;9=84?:0yK34g<ug;9=;4?:0yK34g<ug;9=:4?:0yK34g<ug;9=54?:0yK34g<ug;9=44?:0yK34g<ug;9=l4?:0yK34g<ug;9=o4?:0yK34g<ug;9=n4?:0yK34g<ug;9=i4?:0yK34g<ug;9=h4?:0yK34g<ug;9=k4?:0yK34g<ug;9>=4?:0yK34g<ug;9><4?:0yK34g<ug;9>?4?:0yK34g<ug;9>>4?:0yK34g<ug;9>94?:0yK34g<ug;9>84?:0yK34g<ug;9>;4?:0yK34g<ug;9>:4?:0yK34g<ug;9>54?:0yK34g<ug;9>44?:0yK34g<ug;9>l4?:0yK34g<ug;9>o4?:0yK34g<ug;9>n4?:0yK34g<ug;9>i4?:0yK34g<ug;9>h4?:0yK34g<ug;9>k4?:0yK34g<ug;9?=4?:0yK34g<ug;9?<4?:0yK34g<utwvLMMt1403>4b2>:=2=pNOBz2~DEV|uIJ \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf
new file mode 100644
index 000000000..228071c37
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.ucf
@@ -0,0 +1,15 @@
+#
+# Clock constraints
+#
+NET "CLK" TNM_NET = D_CLK ;
+INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
+TIMESPEC TS_D2_TO_T2_chipscope_ila_32 = FROM D2_CLK TO "FFS" TIG;
+TIMESPEC TS_J2_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J3_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+TIMESPEC TS_J4_TO_D2_chipscope_ila_32 = FROM "FFS" TO D2_CLK TIG;
+
+#
+# Input keep/save net constraints
+#
+NET "TRIG0<*" S;
+NET "TRIG0<*" KEEP;
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v
new file mode 100644
index 000000000..5c409080b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.v
@@ -0,0 +1,31 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_32.v
+// /___/ /\ Timestamp : Fri Mar 08 11:59:29 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: Verilog Synthesis Wrapper
+///////////////////////////////////////////////////////////////////////////////
+// This wrapper is used to integrate with Project Navigator and PlanAhead
+
+`timescale 1ns/1ps
+
+module chipscope_ila_32(
+ CONTROL,
+ CLK,
+ TRIG0) /* synthesis syn_black_box syn_noprune=1 */;
+
+
+inout [35 : 0] CONTROL;
+input CLK;
+input [31 : 0] TRIG0;
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo
new file mode 100644
index 000000000..c949fbe7f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.veo
@@ -0,0 +1,30 @@
+///////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 2013 Xilinx, Inc.
+// All Rights Reserved
+///////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor : Xilinx
+// \ \ \/ Version : 14.4
+// \ \ Application: Xilinx CORE Generator
+// / / Filename : chipscope_ila_32.veo
+// /___/ /\ Timestamp : Fri Mar 08 11:59:29 PST 2013
+// \ \ / \
+// \___\/\___\
+//
+// Design Name: ISE Instantiation template
+///////////////////////////////////////////////////////////////////////////////
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+chipscope_ila_32 YourInstanceName (
+ .CONTROL(CONTROL), // INOUT BUS [35:0]
+ .CLK(CLK), // IN
+ .TRIG0(TRIG0) // IN BUS [31:0]
+);
+
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco
new file mode 100644
index 000000000..15047431b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xco
@@ -0,0 +1,141 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Mar 8 19:57:57 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a
+# END Select
+# BEGIN Parameters
+CSET check_bramcount=false
+CSET component_name=chipscope_ila_32
+CSET constraint_type=external
+CSET counter_width_1=Disabled
+CSET counter_width_10=Disabled
+CSET counter_width_11=Disabled
+CSET counter_width_12=Disabled
+CSET counter_width_13=Disabled
+CSET counter_width_14=Disabled
+CSET counter_width_15=Disabled
+CSET counter_width_16=Disabled
+CSET counter_width_2=Disabled
+CSET counter_width_3=Disabled
+CSET counter_width_4=Disabled
+CSET counter_width_5=Disabled
+CSET counter_width_6=Disabled
+CSET counter_width_7=Disabled
+CSET counter_width_8=Disabled
+CSET counter_width_9=Disabled
+CSET data_port_width=0
+CSET data_same_as_trigger=true
+CSET disable_save_keep=false
+CSET enable_storage_qualification=true
+CSET enable_trigger_output_port=false
+CSET example_design=false
+CSET exclude_from_data_storage_1=false
+CSET exclude_from_data_storage_10=false
+CSET exclude_from_data_storage_11=false
+CSET exclude_from_data_storage_12=false
+CSET exclude_from_data_storage_13=false
+CSET exclude_from_data_storage_14=false
+CSET exclude_from_data_storage_15=false
+CSET exclude_from_data_storage_16=false
+CSET exclude_from_data_storage_2=false
+CSET exclude_from_data_storage_3=false
+CSET exclude_from_data_storage_4=false
+CSET exclude_from_data_storage_5=false
+CSET exclude_from_data_storage_6=false
+CSET exclude_from_data_storage_7=false
+CSET exclude_from_data_storage_8=false
+CSET exclude_from_data_storage_9=false
+CSET match_type_1=basic_with_edges
+CSET match_type_10=basic_with_edges
+CSET match_type_11=basic_with_edges
+CSET match_type_12=basic_with_edges
+CSET match_type_13=basic_with_edges
+CSET match_type_14=basic_with_edges
+CSET match_type_15=basic_with_edges
+CSET match_type_16=basic_with_edges
+CSET match_type_2=basic_with_edges
+CSET match_type_3=basic_with_edges
+CSET match_type_4=basic_with_edges
+CSET match_type_5=basic_with_edges
+CSET match_type_6=basic_with_edges
+CSET match_type_7=basic_with_edges
+CSET match_type_8=basic_with_edges
+CSET match_type_9=basic_with_edges
+CSET match_units_1=1
+CSET match_units_10=1
+CSET match_units_11=1
+CSET match_units_12=1
+CSET match_units_13=1
+CSET match_units_14=1
+CSET match_units_15=1
+CSET match_units_16=1
+CSET match_units_2=1
+CSET match_units_3=1
+CSET match_units_4=1
+CSET match_units_5=1
+CSET match_units_6=1
+CSET match_units_7=1
+CSET match_units_8=1
+CSET match_units_9=1
+CSET max_sequence_levels=1
+CSET number_of_trigger_ports=1
+CSET sample_data_depth=1024
+CSET sample_on=Rising
+CSET trigger_port_width_1=32
+CSET trigger_port_width_10=8
+CSET trigger_port_width_11=8
+CSET trigger_port_width_12=8
+CSET trigger_port_width_13=8
+CSET trigger_port_width_14=8
+CSET trigger_port_width_15=8
+CSET trigger_port_width_16=8
+CSET trigger_port_width_2=8
+CSET trigger_port_width_3=8
+CSET trigger_port_width_4=8
+CSET trigger_port_width_5=8
+CSET trigger_port_width_6=8
+CSET trigger_port_width_7=8
+CSET trigger_port_width_8=8
+CSET trigger_port_width_9=8
+CSET use_rpms=false
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-12-18T02:47:40Z
+# END Extra information
+GENERATE
+# CRC: aad58d16
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc
new file mode 100644
index 000000000..49e2b9e7b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xdc
@@ -0,0 +1,6 @@
+#
+# Clock constraints
+#
+set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]]
+set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
+set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC]
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise
new file mode 100644
index 000000000..9a9fb9459
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="chipscope_ila_32.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="chipscope_ila_32.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|chipscope_ila_32" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_ila_32.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_ila_32" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_ila_32" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-03-08T11:59:31" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="99488C9B3579739424DA923B35D96093" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt
new file mode 100644
index 000000000..0a88237f8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_flist.txt
@@ -0,0 +1,18 @@
+# Output products list for <chipscope_ila_32>
+_xmsgs/pn_parser.xmsgs
+chipscope_ila_32.asy
+chipscope_ila_32.cdc
+chipscope_ila_32.constraints/chipscope_ila_32.ucf
+chipscope_ila_32.constraints/chipscope_ila_32.xdc
+chipscope_ila_32.gise
+chipscope_ila_32.ncf
+chipscope_ila_32.ngc
+chipscope_ila_32.ucf
+chipscope_ila_32.v
+chipscope_ila_32.veo
+chipscope_ila_32.xco
+chipscope_ila_32.xdc
+chipscope_ila_32.xise
+chipscope_ila_32_flist.txt
+chipscope_ila_32_readme.txt
+chipscope_ila_32_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt
new file mode 100644
index 000000000..231b5d1ad
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_readme.txt
@@ -0,0 +1,48 @@
+The following files were generated for 'chipscope_ila_32' in directory
+/home/ianb/fpgapriv_usrp3/fpgapriv/usrp3/top/b200/coregen/
+
+XCO file generator:
+ Generate an XCO file for compatibility with legacy flows.
+
+ * chipscope_ila_32.xco
+
+Creates an implementation netlist:
+ Creates an implementation netlist for the IP.
+
+ * chipscope_ila_32.cdc
+ * chipscope_ila_32.constraints/chipscope_ila_32.ucf
+ * chipscope_ila_32.constraints/chipscope_ila_32.xdc
+ * chipscope_ila_32.ncf
+ * chipscope_ila_32.ngc
+ * chipscope_ila_32.ucf
+ * chipscope_ila_32.v
+ * chipscope_ila_32.veo
+ * chipscope_ila_32.xdc
+ * chipscope_ila_32_xmdf.tcl
+
+IP Symbol Generator:
+ Generate an IP symbol based on the current project options'.
+
+ * chipscope_ila_32.asy
+
+Generate ISE subproject:
+ Create an ISE subproject for use when including this core in ISE designs
+
+ * _xmsgs/pn_parser.xmsgs
+ * chipscope_ila_32.gise
+ * chipscope_ila_32.xise
+
+Deliver Readme:
+ Readme file for the IP.
+
+ * chipscope_ila_32_readme.txt
+
+Generate FLIST file:
+ Text file listing all of the output files produced when a customized core was
+ generated in the CORE Generator.
+
+ * chipscope_ila_32_flist.txt
+
+Please see the Xilinx CORE Generator online help for further details on
+generated files and how to use them.
+
diff --git a/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl
new file mode 100755
index 000000000..f3617c66c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/chipscope_ila_32_xmdf.tcl
@@ -0,0 +1,87 @@
+# The package naming convention is <core_name>_xmdf
+package provide chipscope_ila_32_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::chipscope_ila_32_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::chipscope_ila_32_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila_32
+}
+# ::chipscope_ila_32_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::chipscope_ila_32_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.cdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.constraints/chipscope_ila_32.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf
+incr fcount
+
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.ncf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.constraints/chipscope_ila_32.xdc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_32_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila_32
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
+
diff --git a/fpga/usrp3/top/b200/coregen/coregen.cgp b/fpga/usrp3/top/b200/coregen/coregen.cgp
new file mode 100644
index 000000000..de5a5aaeb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/coregen.cgp
@@ -0,0 +1,10 @@
+SET busformat = BusFormatAngleBracketNotRipped
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Foundation_ISE
+SET package = fgg484
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy
new file mode 100644
index 000000000..fd6eef6f7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.asy
@@ -0,0 +1,49 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 fifo_4k_2clk
+RECTANGLE Normal 32 32 800 4064
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName rst
+PINATTR Polarity IN
+LINE Normal 0 208 32 208
+PIN 0 208 LEFT 36
+PINATTR PinName wr_clk
+PINATTR Polarity IN
+LINE Wide 0 240 32 240
+PIN 0 240 LEFT 36
+PINATTR PinName din[71:0]
+PINATTR Polarity IN
+LINE Normal 0 272 32 272
+PIN 0 272 LEFT 36
+PINATTR PinName wr_en
+PINATTR Polarity IN
+LINE Normal 0 464 32 464
+PIN 0 464 LEFT 36
+PINATTR PinName full
+PINATTR Polarity OUT
+LINE Wide 0 624 32 624
+PIN 0 624 LEFT 36
+PINATTR PinName wr_data_count[9:0]
+PINATTR Polarity OUT
+LINE Normal 832 240 800 240
+PIN 832 240 RIGHT 36
+PINATTR PinName rd_clk
+PINATTR Polarity IN
+LINE Wide 832 272 800 272
+PIN 832 272 RIGHT 36
+PINATTR PinName dout[71:0]
+PINATTR Polarity OUT
+LINE Normal 832 304 800 304
+PIN 832 304 RIGHT 36
+PINATTR PinName rd_en
+PINATTR Polarity IN
+LINE Normal 832 496 800 496
+PIN 832 496 RIGHT 36
+PINATTR PinName empty
+PINATTR Polarity OUT
+LINE Wide 832 656 800 656
+PIN 832 656 RIGHT 36
+PINATTR PinName rd_data_count[9:0]
+PINATTR Polarity OUT
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise
new file mode 100644
index 000000000..c631a4815
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_4k_2clk.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="fifo_4k_2clk.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_4k_2clk.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ncf
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc
new file mode 100644
index 000000000..b379066c6
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$67`44<,[o}e~g`n;"2*73>(-80!<m4/+])[WGIOL*!=6>6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ<UMNINM1>50?30?4<NFY__6_KHCD@?4?69981:6D@_UU8QWQG;83:5=<5>:HLSQQ<U[]H7<7>11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ<CAK68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ<CAYK7?7>11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L646@CBEDGF991=MLONA@CBEDGFIHKn7;}cx67`wb2?<$8:?69=:HLSQQ<CAGK7;<4?>01837<NFY__6IGAB=52>586:2=96D@_UU8gmk:093:5=8582;MVPUSS2ME^XL281;2=50=0:3E^X][[:EMVPG:093:5=9582;MVPUSS2me~x19>:1<1?2@33>L3<<574::345><09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52<?11?<2=?56:HLSQQ<FLMXJ054?>008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?<IMNYM1?<>99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;><IMNYN191a:CG@WD;03:546OKDS@?<;><IMNYN1712:CF7>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K859@HND23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;1<L@K7=808;EKB8409?2NBM1?8>69GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1<L@K7>>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?<L@K7?<4?>69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0<L@H7>394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;><L@DJ0<?18:FJJD:6:720HD@N<01=<>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;><L@DJ0<717:FJJD:6611OECO321<;?AOII58:255KIOC?678?3MCEM1<<>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;><L@DJ0>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;><L@DJ0>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF4<?546JFN@>62;><L@DJ08918:FJJD:20720HD@N<4;=3>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;><L@DI0<:18:FJJG:6=720HD@M<04=<>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;><L@DI0>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;<?437IGAB=64:==CAGH78507;EKMF92>6>1OECL34?:8@LHE4<:546JFNC>65;><L@DI08<18:FJJG:2;720HD@M<46=<>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;1<L@DI0807;EKMF907611OECL360<;?AOIJ5<9255KIO@?268?3MCEN18;>99GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g<L@DI0:?50?:8@LHE4>;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;1<L@ZI0=08;EKSF979?2NB\O2=>89GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;1<LFK7=<08;EMB8449?2NDM1?<>69GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;0<LFK78384DNC?1;0<LFK7:384DNC?3;0<LFK74384DNC?=;1<LFKT^HI9;EMA85803MEI0<>17:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;1<LFH7=:08;EMA84>9?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1<LFH7>808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?<LF__M1<8>89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?<LF__M1:8>89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?<LF__M188>89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?<LF__N1<9>89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?<LF__N1:9>89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?<LF__N189>89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?<?230164=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@Hj4IOHFGQBEA]OY^i5FNKG@PVDN\LXY?6GAV39OM3=KGHNNH;5COBIF@2=KGNCHMA:4LTV10>JR\:>0@XZ;4:NVP02<D\^=96C\GNL6?HS_KPi0Ald`rWgqwliik2Gbbb|Yesqjkk4<F980B<=4N020?K75;2D:8>5A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^8;Q,7=aYK<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3YATDA[[NL18TWC13YYOCCK>;P38Vd=UIDH::OYJRb9Q@DBCZLIH0=0l;SFB@ATBKJ6:2n5]D@FGV@ED4;4h7_JNDEPFGF:46j1YHLJKRDA@818d3[NJHI\JCB>6:f=ULHNO^HML<7<`?WBFLMXNON28>d9Q@DBCZLIH054?>b9Q@DBCZLIH0509;SGDG@Ge3[OLOHO30;2==>TBOJOJ0=09;SGDG@De3[OLOHL30;2==>TBOJOI0=0;;SQWE<=U[]K7<7>17:PPPD:76=1Y_YL6;SQWF96=87=0^^ZM<1<4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]8;R@JP@TU981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOH84SNWQG@><[YKYXL@97:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@L4:VQQ@2<\PZN:k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][1_\VAYWF]XP=PQWOS]66ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY2YZTCWYD_^V<R_YMQ[07XgVrd0=0>6g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeyS_JPPOVQ_7[XZMU[BY\T3\][KWY28VeTtb2?>04e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<22c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$Aua}_SF\TKRUS=WT^IQ_NUPX1XY_G[U?4RaPxn>3:40a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]Q@ZVI\[Q>QR\K_QLWV^0ZWQEYS99Po^zl8586>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW;SPRE]SJQT\?TUSC_Q;6^m\|j:768<m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U8]^PG[UHSZR2VSUA]_57\kZ~h494::h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ3^[RTXXG^YW?SPXNP\3ZiXpf6;2<8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{U\^R^ATSY1YZQUWYD_^V=R_YMQ[3YhWqe7<3?9e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX7XYPZVZEX_U;]^ZLVZ3XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_1[X_[U[BY\T5\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^3ZW^XT\CZ][7_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]1UV]YS]@[RZ5^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\?TU\^R^ATSY;YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ35Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT9<Q`629V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ZMU[BY\T2\]Q@ZVI\[Q8QRV@R^73[j043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX<0Ud:>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,VAYWF]XP8PQ]D^RMPW]2UVRD^R:7_n40?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&XOS]@[RZ7^[WBXXG^YW;SPXNP\02Yh>:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv RE]SJQT\>TUYHR^ATSY4YZ^HZV>=Sb8<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*TCWYD_^V9R_SF\TKRUS1WTTB\P44]l27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$[_Q_NUPX4XYPZVZEX_U>]^ZLVZ>Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS8WT[_Q_NUPX6XY_G[U<Sb8=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*QUWYD_^V<R_VP\TKRUS:WTTB\P6^m56>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY0YZQUWYD_^V:R_YMQ[0Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\<TU\^R^ATSY6YZ^HZV>Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW8SPWS]SJQT\>TUSC_Q<_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ4^[RTXXG^YW:SPXNP\6Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]0UV]YS]@[RZ:^[]IUW8Ud:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<1<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*B;97<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#I2=>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@959>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O09094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?1;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M6=2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=5=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C414=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!re]ppbYnfz~$]R|jg^153>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT8;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ31?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP6758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV==;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\<32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O08094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?2;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6<2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=:=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*rtX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[1003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ:669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W?<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#\Q}ef]422=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)VW{olS58;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/E>3:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L5;5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<3<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;;7<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#I2;>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@939>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0;094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?3;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M632;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol08098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;>7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#\Q}ef>4:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnk161669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#\Q}ef]222=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{olS?88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qabY4>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(ulVykRgasu-R[wc`W1<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#I2?>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:?6?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef>0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4<4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?2;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij28>7:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtbo525::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[5003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyijQ>669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W;<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef]022=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{olS988;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*rtX{}mTec}{/P]qabY2>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_744?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+TYumnU<::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,tvZusoVcey!^_sgd[=343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5:59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`31?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj949=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=6=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;=7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb181529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl?3;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnf5259?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTnd`P0408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV8>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ<539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\004<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW<?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR8:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]417=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX0<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP5438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\207<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX?<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT4;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW8<Tc;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW8<Tc<8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;<Sb8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;<Sb?83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW5SPrdfvg`Yg{U:4<Qwo=2=53b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beXizxnkRbnsuY;YZtbl|inSua}_0:\k25<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beXizxnkRbnsuY;YZtbl|inSua}_0;2[}i;87;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY61Ve<?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY588Usc1>117f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS?>Po608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS??>_ym?4;0c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX<XYummhiRv`r^02[j153\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX<XYummhiRv`r^015Z~h494<:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY5:8Usc1>1_RU32a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P23]l37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P223\|j:76?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[75Xg<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce0=0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio>2:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[goi4;4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2<>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8182;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6>28=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<7<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:06<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkce050:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQmio]317=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX9<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS?;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^166>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY3=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT98<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_771?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ12:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU39<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ?509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]214=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY5=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU89<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ;509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]614=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfY1=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojU<9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQ7729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT==?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX<XYummhiRv`r^33[j153\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX<XYummhiRv`r^325Z~h494=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY69Ve<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY6:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[44Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[456Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8Sb8l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV<Tc;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW?Ud=;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmParpfcZjf{}Q3QR|jdtaf[}iuW>Ud:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:;?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX08Usc1>117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5Q`739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT5<Qwo=2=53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_8]l3a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EhnoSigif^uq[wb\1TULBIQ<06]l[dbcW:UDYYQly=3=3`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EhnoSigif^uq[wb\1TULBIQ<06]l[dbcW:UDYYQcuu>1:2c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'DkohRjffg]tvZtcS0WTKCJP315\kZgclV9TCXZPltv?7;1b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR3VSJ@K_224[jYflmU8SB[[_mww8180m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ2QRIAD^133ZiXimnT?RAZT^nvp939?l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$Aljk_ekebZquW{nP5PQHNE]042YhWhnoS>Q@UU]oqq:16>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;?7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss414<56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU6]^EM@Z57?VeTaxvP5^MVP47012_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT334=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)Je|rThdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X>?89:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\=;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\g|:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_b{?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rmv<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy2?>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0<09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss4:4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]oqq:36?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8081j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>5:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sa{{<6<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUgyy27>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey0=09b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6:2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4;4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:46?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_ymq8181j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qwos>6:3d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Sua}<7<5f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc28>7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWqey05085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8580=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0<085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8780=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0>085:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8180?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__090>16`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+aoanV}ySjT9\]DJAY48>UdS`{w_4]LQQ:368;T_Z>86:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8185?h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X1:1_cfg423<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'mcmjRy}_sfX=XY@FMU8<:Q`_lw{[0YH]]6>2:84U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>6:4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^7:399;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?2;70=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__0:08b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW82869VY\<:l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>4:47X[^;<n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_224[jYj}qU>SB[[<6<25ZUP:><0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#igif^uq[wb\1TULBIQ<06]l[hsW<UDYY28>356?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;07==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ38?341>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::<SbQbuy]6[JSS404<:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_224[jYj}qU>SB[[<8<227=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|Pre]wiu:76<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#jPdb]j8582j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYn4?4>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[l:06<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#jPdb]j8=82j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h>::0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_17b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR?:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U99l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZoX;<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#jPdb]j[13f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&xoSimPi^76e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSdQ95`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,vaYckVcT;8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYnW1?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ?1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN];:9o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$^LCPRDELQQYBF8<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId04;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg5(Oi9??0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk27;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:$Ce=8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#hctx]escrXnk?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&of|ywPfvdw[l103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*ahagmTi`~{y^dtbqYkmh|bbjQjmqvz[cqa|VEYS5Q`799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz gnkmcZcjx}sTjzh{_mgbrlh`Wlg{xtQiwgv\KWY?Wf;>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%eiuzPfvdw[vrf|l6;2894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#x}jr^tbhlb182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu laspzj`r;87<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#jczx/abvwim}6:2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> glw{*fguzpdnx1<1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)khxyuck{<2<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV>R.fo2*h7192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&mfyu hmZ3^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"ibuy,di^4Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(`eR9V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*aj}q$laV:R.fop*hu2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0=0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28586=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1?1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u59799<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr`q-vvrt'j:$z<2=>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b2,r4:568?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i;#{?33?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+s7;;7;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h<"x><5<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`4*p64=4::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o<!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l1.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a2+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o<!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c0-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o<!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k8%}=1:11408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt53a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[3Yh>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{<Rm`mq3\KWY1Wf;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~>5c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} r`o\ahvsqVliSd;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vaYwf}xT|a{|1=2=32=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| M`fg[be7&je~byU7]^EM@Z4>=VeTmijP0^MVPZ~hz5;5=<98;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS1WTKCJP287\kZgclV:TCXZPxnp?6;76?>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1=11054?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cnde]dg5(dg|dW5SPGOF\6<3XgVkohR>POTV\|jt;<7;:;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EhnoSjm?.bmvjq]?UVMEHR<65^m\eabX8VE^XRv`r=7=54103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7:3?>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT<RAZT^zlv90998;<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<2521<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY51<UdSljk_1]LQQYg{632<?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8482=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0?0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8682=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d090:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8082=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0;0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8282=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0509c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^7=38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU958Q`_`fg[5YH]]692;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ:^[BHCW;3>SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPR;=7<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT8\]DJAY51<UdSljk_1]LQQ:16?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU7]^EM@Z4>=VeTmijP0^MVP919>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW8=82<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dS<;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\602<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U8995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^660>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW<??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP6468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY0==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR6:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;87?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>2:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1<1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64:4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?0;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<2:>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95<5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2828202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?38?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q=569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W:?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]712=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S8;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y1=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_674?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U3:i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU8=<Q`_LW[[467Wf;::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o<!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l1.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a2+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o<!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c0-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o<!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k8%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< glw{*bk\<T$la~ bs478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,r4:76<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$z<2?>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`6*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h>"x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g7)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f4(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n< v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*ehey;=:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>_FLG[676WfUFYUQ>01]l17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,gjkw:<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'{kfSk{cl^da[l303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*tfeVl~`aQf7b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vir0<08c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWjs7439j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX;89TcRokd^6\KPRXkp632<?8d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0?08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0>08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0908d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0808d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0;08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe0:08d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWe05075:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWqey0<0>1^KMRZ60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq87869>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:468;<j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"Cnde]gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW[}iu4=4:=5>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6?2<?>859V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:1103\WR60n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR3VSJ@K_230[jYflmU?SB[[_ymq8186:>l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU]{kw:268;<j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"Cnde]gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW[}iu4?4:=:h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT9\]DJAY49:UdSljk_5]LQQYg{6<2<?8f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.Ob`aYcaolT{Q}dZ;^[BHCW:;8SbQnde]7[JSSWqey050>17;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6;2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:66?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>1:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2<>7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXkp6?2;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\g|:26?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pcx>5:3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot28>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7<38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss484=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^nvp949>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qcuu>0:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz34?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0809a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZjr|5<5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]oqq:06?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pltv?<;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\|jt;;7<j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXpfx7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[}iu414<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"jffg]tvZtcS0WTKCJP301\kZgclV>TCXZ31?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT9\]DJAY49:UdSljk_5]LQQ:56>>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$hdhi_vp\va]>UVMEHR=>3^m\eabX<VE^X1=1759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW8180<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^7939;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU>5:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5=5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<9<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k_uos8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2>>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?6;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4:4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1:1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>6:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;>7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0:092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=:=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:>6?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT<;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\637<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX;?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT8;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP5738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k\237<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZoX??;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|VcT4;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRgP95d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)uidU|~Rka_h34g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7&ni;"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~1?1105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0?0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx7?3?>7b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Aljk_fk`4+ad8'idyczT8\]DJAY480UdSljk_1]LQQYg{6?2<?8c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FmijPgha3*be7&je~byU7]^EM@Z571VeTmijP0^MVPZ~hz5?5=<9l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GjhiQhib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW[}iu4?4:=:j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;>7;:=:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRifc1,dg5(dg|dW5SPGOF\75?XgVkohR>POTV\|jt;?7;:;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EhnoSjgl0/e`4+eh}g~P4PQHNE]04<YhWhnoS=Q@UU]{kw:?68;>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp979=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznu>1:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idycz33?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|d090:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|5?59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq:16<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov?3;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{<9<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW848092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4;4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0>081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<5<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW808092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSS4?4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__0:081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[<9<6=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V8>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ5212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^66=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexR;:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|V<>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZ1212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^:6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><1<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><0<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><3<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><2<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><5<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><4<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><7<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><6<6`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj><9<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_17`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P14a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th<Q=5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Uo=R=:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn:S9;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T98m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U=9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V=>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W1?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;:7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;;7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;=7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;>7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;?7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4;07?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X:<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`7Y4=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznu]g6Z22k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f1[03d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_e0\20e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczPd3]41f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk2^:63>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw4:76Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}><1<\mkp6W@D]S=;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,swYwf}xT|a{|2=3=[lhq=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z87=3Qfnw3\MKPX8=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>3:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>0?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=<0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48858l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9746=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>20;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg314<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<814`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;<29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:607>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5<8312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<0<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?>14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58:29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5:7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6683i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<36=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<:>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69:3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:>4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87>9<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=0::1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2=>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68<3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;84?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8649<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=10:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2<4?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7?80;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4:<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9506=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>0<;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg338<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=:58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9266=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>76;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg342<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>>29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3>7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0283i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5:=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:6>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:287>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<40=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;<>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>83:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8009<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=74:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2:8?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7940;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8369<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=42:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd292?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7:>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4?>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9026=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>52;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg366<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:16=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>44;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg370<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0:0;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn414?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8<8302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_16;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY68=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]251?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>25;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:?974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY6<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]211?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U:;974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY60=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]2=1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ46<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^010<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV8?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ42<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^050<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR<8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV83845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ4><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^17=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[64312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_217=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[60312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_257=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS>6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW:3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP416:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT8<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\062>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP456:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT88:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\022>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP496:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT84:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]641?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]601?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY2?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]6<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ:95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ07<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^420<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ03<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^460<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR89489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV<<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ0?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^4:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW>:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[27302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_96;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT55?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2?>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>24;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9843>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0<<1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=30:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:6<7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=8072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<04=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9706180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:436=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg318<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j848?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4;:54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1<>>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>16;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;::43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?:1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=06:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>:072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<3:=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94>61;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2<0?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?748?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:854?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1=<>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>00;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;<43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>81839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=14:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:407297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?4071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j81690;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>:25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;2?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?068?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=>54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1::>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>72;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;<>43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0961839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6::=7<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:36180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6><36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg350<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80490;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?825<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:4?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?108?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4<<54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1;8>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>6<;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb08072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<72=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9066180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6=>36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg362<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83290;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5<>25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd296?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?228?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4?254?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe186>938Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:087297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;<071:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<6<;5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j8=8?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4043=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy|;d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.vntZtfeVxoSh`>329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_sf\ak503\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qehYumne~xRka329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_vp\ak5a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-q`Zvi|{6;2Rgav0]JJSY7=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_sf\vvrXizxnkRIAD^31[jYJ]QU9Sb?>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW~xT~~zParpfcZAILV;9SbQBUY]2[j76;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$^^ZPFTNO[BCI<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e37<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th?:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.eqwqb6&nxxxRhzlm,qwqYc;=i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc969<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8483k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_06a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}UyijQ=4b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0=0;c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlm7=3:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU;8o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`W89o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnULBIQ>2^m\IP^X:Ve?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh_FLG[44XgVG^TR?Po528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f205=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQnssgd[a44k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig5c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_bmnf4e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WDC?0;0<_LK79384WDC?2;><_LK7;7>16:UFE919>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?48S@D;<7<0[HL35?48S@D;>720[HL37;2=2>QBJ5=5n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr43Pox4l5P_^ZOJHYXW9UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R2VSJ@K_22:[jYflmU;SB[[_ymq818691<0SRQWLOO\[Z77WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY;YZAILV829RaPaef\4ZIR\Vrd~1<110:;?ZYXPEDFSRQ>1^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^:=:j4_^][HKKXWV;9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTknQnssgd[igt|R2VSkkubg\|jtX9?Ud=:m4_^][HKKXWV;8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTknQnssgd[igt|R2VSkkubg\|jtX?Ve:9h5P_^ZOJHYXW8>TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/scn[rtXmgUb=:j4_^][HKKXWV;>SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][1_\SWYWF]XP=PQWOS];[jYg5:5=:k4_^][HKKXWV;=SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][0_\VAYWF]XP>PQWOS]65ZiXpf6;2<9j;^]\\IHJWVU:;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzVXOS]@[RZ1^[WBXXG^YW9SPXNP\0<YhWqe7<3?8e:]\[]JIEVUT=5QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY6YZTCWYD_^V8R_YMQ[11XgVrd0=0>7d9\[Z^KFDUTS<7P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX3XYULVZEX_U7]^ZLVZ22WfUsc1>11958[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-Nip~Xl`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\:;<h6QP_YNMIZYX:9UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U8]^UQ[UHSZR2VSUA]_0]l[}i;87;<h6QP_YNMIZYX:8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYPZVZEX_U;]^UQ[UHSZR?VSUA]_5]l[}i;87;=86QP_YNMIZYX:;UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SS<Q`1047?ZYXPEDFSRQ=3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+HkrpVxoS}{_`qqabY@FMU:>RaPMTZ\6Zi691?0SRQWLOO\[Z4XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1938[ZY_DGGTSR=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW5SPrdfvg`Yg{U:4<Qwo=2=5=6<WVUS@CCP_^6\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ71^zl8586?91TSRVCNL]\[0YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*Kj}qUYM@Q]D^GM[CQA\8;3m6QP_YNMIZYX>VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+HgclVmbo= hc1,`kphsS1WTKCJP31;\kZgclV:TCXZPxnp?3;760<1TSRVCNL]\[2YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[9_\CKBX:0?TcRokd^2\KPRXpfx7;3?>689\[Z^KFDUTS5QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"\NM^PFCJSSWLD:;:5P_^ZOJHYXW0UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId3/Jj47?3kf`S`kb_fgm[bcim{chSn}{r0:8fimXelgTkh`Ppskn[roc|aU:=55mlj]nahY`mgU{~dcPwhfwlZ46l2hggRcjm^efjZvuadU|~l~es]p2Z76l2hggRcjm^efjZvuadU|~l~es]p2Z4a3kf`S`kb_fgm[qiw9=1i`fQbel]dakYq?V;Tt~z>3:`ooZkbeVce|xzPbmm`o42<jeaTahcPotvsqqYedfi`n6mck^z\tkru{>1hc`~nrdd8gptuWo}mxR}{aug0?abj>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;1<l`d7=;08;ekm8419?2nbb1?7>69gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1<l`d7>908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;1<l`d7??08;ekm8659?2nbb1=;>69gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;1<l`d78=08;ekm8179?2nbb1:=>69gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:2=cag6=?394dhl?21803mce0;;17:fjj9016>1oec297?58`lh;>14<7iga<7;=2>bnf5<5;6jfn=53:<=cag6<=7>17:fjj9166?1oec28>79gmk:?6?1oec26>69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;><lf0<818:flqq:6?720hb{{<0:=<>bh}}6:5394dnww848?3me~x1<?>99gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;><lf0>;18:flqq:4>720hb{{<25=<>bh}}684364dnww86?9?2ndyy2<>99gkpr;<9437iazt=62:==cg|~78?07;emvp924611ocxz345<;?air|5>>255kotv?038?3me~x1:8>99gkpr;<1437iazt=6::2=cg|~78364dnww806902ndyy2:1?:8`jss4<8546j`uu>67;><lf08:18:flqq:2=720hb{{<44=<>bh}}6>;364dnww80>902ndyy2:9?58`jss4<437iazt=43:==cg|~7:<07;emvp905611ocxz362<;?air|5<?255kotv?208?3me~x189>99gkpr;>>437iazt=4;:==cg|~7:408;emvp90902ndyy280?c8`jss4>;1<364dnww8279?2ndyy28>69gkpr;07=0hb{{<8<6?`kw|pi0jdh`_5i\6fjl99h?7kgio^6h[7ekc%lbjbQheogqeqiuW3T?!ul_`fg[kadWjeey|niov>4)eXiyzgeno{inl\p|vbWhrb0>#c^cstiodi}cdbRzvpd]qefn:8%iTm}~cibcwmjhX|pznSjlh<2/gZgwxechmyg`n^vzt`Ypijb6<!mPaqromfgsafdTxt~j_vf`l86+kVk{|aglaukljZr~xlU|~nf20-a\e}oXimnySzgkti?06)eXiqcTm{|es]tmaro58&hSlvf_`uvw`tX`nd0?#c^c{mZdr{lxT{dj{h<3/gZgaVnjxlQxievk932+kVkseRgk_vkgpm;3$jUjtdQ}urgq[roc|a7: nQnxh]w}uc:8%iTmugPwtqfvZqnl}b6=!mPaykp[qbf|hU|eizg=76/gZgazUhh}{_vkgpm;3$jUjtd}Pthf\slbs`42'oRowir]wo`cwW~coxe3;,b]b|luX|z~ynRyfduj>0)eXiqcxSy{|es]tmaro5=&hSlvfs^vzt`;7$jUhc`c`n^aokfm:8%iTob{at^vzt`;7$jUomyoPcnwmpZqnl}b65!mPdddbqirX~hf~i0LcaoiPpwoio%iThd`Pwhfwl815$jUoecQxievk[d~n{4;'oRjfn^uj`qnXzhic1><#c^fjjZqnl}bT~img=76/gZbnfV}bhyfPw`ak964+kVnbbRyfduj\saeo5?>'oRjfn^uj`qnX{ic1?"l_emvpZtt|V|j`0>#c^flqqYpam~c1:<#c^gmegjbW{fdo~3?,b]fjddkmVxxxR}vnb?2(fYbz{eySd`eebvjkkYsqyo6<!mPesplvZoiblieb`Ptxrf[d~n{4:'oRk}rnp\mklbk}cdbRzvpd]qefn:8%iTi|`r^kmn`esafdTxt~j_sf`l86+kVoy~b|PiohfgqohfV~r|hQxabj>4)eXm{xd~RgajdawmjhX|pznSzjlh<2/gZcuzfxTecdjcukljZr~xlU|~nf20-a\bdkndp7x|l|{ao4/gZ`rdeUm`li|_sqw[sgk58&hSeo|_`nnkvrXmdzu0>#c^jbwZgkefySk{cl<2/gZnf{VkseRo}urgq95*dWakxSlvf_`uvw`t:8%iTdl}Payk\fpubz4:'oRfns^c{mZtcWjbjbckc=1.`[mgtWhrbS{|es?3(fYoizUjtdQxr^akekhbd4:'oRfns^c{mZqr{lx6<!mPh`q\e}otW}njxl3?,b]kevYfp`yTxik|t<2/gZnf{Vkse~Q{ie?3(fYoizUjtd}Ptjgft86+kVbjRowir]whdus59&hSeo|_`zjwZrumhnr1<"l_icp[d~n{V~xxl20-a\lduXiqcxSy{|es?3(fYoizUimnezp<2/gZnf{VnjxlQlotlw95*dWakxSio{a^alqkrtWhrb0>#c^jbwZbf|hUhcx`{s^pbgm;7$jUcm~Qkauc\gjsi|zUyhnf20-a\lduXlh~jSnaznuq\sdeo59&hSeo|_ecweZeh}g~xSzjlh<2/gZnf{VnjxlQlotlwwZquka7; nQgar]jjqYddb7; nQgar]nevrbzVin1="l_icp[hcjagcSkgce<2/gZnf{Ve}ihcov?3(fYoizU{~biPfmcdwZgaz7; nQgar]svjaXneklR|nci?3(fYoizU{~biPfmcdwZtcka7; nQgar]svjaXneklRynci?3(fYoizU{~biPfmcdwZqcka7; nQgar]svjaXneklRy}ci?3(fYoizUyhRjnt`]`kphs58&hSeo|_sf\vvr:8%iTdl}Prrv>5)eX`hyTaoye^af95*dWakxS~||t<2/gZnf{Vehh|ilnu>4)eX`hyTzlbfd<2/gZnf{V}ySlmd=1.`[mgtW~xThlzn_bmvjq;6$jUcm~Qxr^ppp86+kVcf|akbeovbpliiW}s{i0<#c^knticjmg~jxdaa_u{saZgaz7: nQfmqnfi`hsi}cdbRzvpd]qefn:9%iTe`~celgmpdrnggUu}kPreak94*dW`g{`hcjnucwmjhX|pznSzolh<3/gZojxeoficznthmm[qwmV}ooe3>,b]jiujbeldmyg`n^vzt`Ypzjb6=!mPiokw[rtXxg~yS{oc=1.`[lhsm{ljohQ{yqg>4)eXelgd~tQ{yqg>5)eXe`lTjdbj_ocna8DkigaXxgag-a\ivahfV|j`0?#c^msplknrh~bccQboeg>4)eXgoyjaax_mmt95*dWyxn`bok_mcwake~59&hS}|jlncg[wc`{4;'oR~}il]emciX|pzn18?=x60/gZvugnUna}zv_ujqavnXizyn~yQyam?7(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\e}ot58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSolh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZtcka7:<?<#c^rqkbYbey~rSyf}erj\evubz}U}maQxabj>5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vp`l877:;&hS}|`g^gntqX|axneQaefcwaZpfd4?'oR~}of]fiur~W}s{i0>#c^rqkbYbey~rSywe^c{mv;7$jU{~biPelrw}Zr~xlUymnf20-a\twi`Wlg{xtQ{yqg\vaeo59&hS}|`g^gntqX|pznSzolh<2/gZvugnUna}zv_u{saZqcka7; nQrne\ahvsqV~r|hQxrbj>4)eXx{elSk{cl^vkv`uoWhyxizPv`n>147+kVzycjQiumn\pmtb{aUj~k}t^tbhZgaz7:<?=#c^rqkbYa}efTxe|jsi]bwvcu|V|j`R|nci?2475+kVzycjQiumn\pmtb{aUj~k}t^tbhZtcka7:<?=#c^rqkbYa}efTxe|jsi]bwvcu|V|j`Rynci?2475+kVzycjQiumn\pmtb{aUj~k}t^tbhZqcka7:<?=#c^rqkbYa}efTxe|jsi]bwvcu|V|j`Ry}ci?2475+kVzycjQiumn\pmtb{aUeijo{e^tbh8368%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?3(fYwzfmTjxbc_u{saZtfka7; nQrne\bpjkW}s{iR|kci?3(fYwzfmTjxbc_u{saZqfka7; nQrne\bpjkW}s{iRykci?3(fYwzfmTjxbc_u{saZquka7; nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=02/gZtcWmo{xe3:13.`[wbXn{oz1<"l_sf\tkruW~coxe36,b]q`fnX|pzn1="l_sgd[vjnklUfcikPaykp95*dW{olS~bfcd]nkacXzhic1="l_sgd[vjnklUfcikPreak95*dW{olS~bfcd]nkacXhic1="l_sgd[vjnklUfcikPweak95*dW{olS~bfcd]nkacX{ic1="l_r{mgmthf`pn~R}{afg>6)eX}gnn~kb`w^nls86+kVxiRm`mlmm[jpbzofd{0>#c^wpaZehedeeSx`kesdokr;7$jU~hQkegcvhqYtm}~bbj}20-a\qvcXlfS}{=0.`[pubWlih1="l_tqf[`edWhrb0>#c^wpaZcdkVxjoe3?,b]vw`YbkjUyhnf20-a\qvcXmjiT{lmg=1.`[pubWlihSzjlh<2/gZstmVohoRy}ci?3(fYr{lUnaokkddf\v`a:8%iTy~kPfhdl53Yadhmx1="l_tqf[cqa|VnjxlQlotlw94*dWkgeiQcov?3(fYpijbTxt~j=1.`[rbd`V~r|h3?,b]tvZgdcVfd{0>#c^uq[agsiVidyczPwhfwl877$jU|~Rjjpuj>144+kV}ySikti]b|lu:998? nQxr^fftqnXzhic1<8#c^uq[acw|aUyhnf21107(fYpzVnn|yfPw`ak940+kV}ySikti]t`fn:998? nQxr^fftqnX{ic1<8#c^uq[ctby4;'oRy}_qlwvZqnl}b65!mPws]sjqtX`ndRowir?24)eX{U{by|PwhfwlZtfka7? nQxr^rmpwYpam~cSjlh<33(fYpzVzexQxievk[rgd`4>'oRy}_qlwvZqnl}bT{img=02/gZquWyd~Ryfduj\sweo5=&hSz|PrdqskkubWekicmv=0.`[rtd`V~r|h3?}318bl`hW=aT>nbd,gkekZabflxjxb|Pv8]0(~{6>2lbjbQheogqeqiuW{kfjdh`139emciXoldn~lz`r^vlt45<n`ldSjkaescwkwYq1V99j6hffn]dakcui}eyS{7P3-"[mioip)ID^H.Heogqeqiu(8>%8<94fhdl[bcim{kcQy9^1\|vr33og`45fnqww[gjl>2gnab|v8:ldggsndm80bbo4otvsqqYedb9?7oblnms_5[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nm268vdkkgfzP=P }al,q+v0Xffceey }al-P@U(TADZGIR^]IL3;*wgjj2xoSoga_blwv3=ulVigg<>4re]geqgXkfex1>1119q`Zbf|hUhcx`{<0<24>tcWmkmRm`uov?6;773{nThlzn_bmvjq:468:0~iQkauc\gjsi|5>5==5}d^fbpdYdg|d080>0:pg[agsiVidycz36?33?wbXlh~jSnaznu>4:46<zmUomyoPcnwmp9>99;1yhRjnt`]`kphs400;2<>4re]geqgXkfex171109q`ZbdWo}mxRkwt^cp1>tcWld<7jPfvdw<>tcWeelen?=;sf\wqgs}zUm`li|_`q25>tb{l~TnaePr`oemci43{yj6}vnbjqkko}m{Umjo5xr^`jjZei|{<0{Qllj33?rtXlh~jSnaznu>3:46<{UomyoPcnwmp979991|~Rjnt`]`kphs4;4:<6y}_ecweZeh}g~7?3??;vp\`drfWje~by2;>028swYci}kTob{at=7=55=pzVnjxlQlotlw838682}ySio{a^alqkr;?7;;7z|Pd`vb[firf}632<<4ws]geqgXkfex1750?33?rtXlh~jSnaznu>::47<{UooRhxfu]f|qYf{<1|~Rka8:uq[ii`aj;97z|PsucwqvYadhmxSl}r@Ar251b<HIr<>;4I:582V>f2?<h6?mi:0106<2c2;lo?hua67c95>h1>k0=7)898;456>{T010=:n4=cg82764><m09ji:l;R0a1?1493:1=>==95f96cb2=2Y3479<1;2956551=n1>kj:6:f463<7280:w^6n:74`>7ea2898>4:k:3dg0g=q\;l36=4>:08173}T0h0=:n4=cg82764><m09ji:m;%47e?4e?2\=:44={t0ab?7<};i;6=5r$3f3>7d<j>8=6=4nb;3b5?44=rB=855+2gd9370<R?l18v<j:239`?c=u-<=;79=c:&46?1502.3579=7:&1b0<63-8m8789d:k5`=<72-8o>78k7:l1`4<732c=h;4?:%0g6?0c?2d9h<4>;:k5`1<72-8o>78k7:l1`4<532c=h>4?:%0g6?0c?2d9h<4<;:k5`7<72-8o>78k7:l1`4<332c=h<4?:%0g6?0c?2d9h<4:;:k5`5<72-8o>78k7:l1`4<132c=ok4?:%0g6?0c?2d9h<48;:k5g`<72-8o>78k7:l1`4<?32c=oi4?:%0g6?0c?2d9h<46;:k5gf<72-8o>78k7:l1`4<f32c=oo4?:%0g6?0c?2d9h<4m;:k5g<<72-8o>78k7:l1`4<d32c=o54?:%0g6?0c?2d9h<4k;:k5g2<72-8o>78k7:l1`4<b32c=o;4?:%0g6?0c?2d9h<4i;:k5g0<72-8o>78k7:l1`4<6821b:n:50;&1`7<1l>1e>i?51098m3e4290/>i<56e58j7b628807d8l2;29 7b52?n<7c<k1;30?>o1k80;6)<k2;4g3>h5l80:865f6b294?"5l;0=h:5a2e3950=<a?hn6=4+2e092a1<f;n:6<84;h4a`?6=,;n96;j8;o0g5?7032c=nn4?:%0g6?0c?2d9h<4>8:9j2gd=83.9h?49d69m6a7=9010e;ln:18'6a4=>m=0b?j>:0c8?l0e13:1(?j=:7f4?k4c93;i76g9b983>!4c:3<o;6`=d082g>=n>k=1<7*=d385`2=i:m;1=i54i7`5>5<#:m81:i94n3f2>4c<3`<i97>5$3f1>3b03g8o=7?i;:k5f6<72-8o>78k7:l1`4<5821b:o<50;&1`7<1l>1e>i?52098m3d6290/>i<56e58j7b62;807d8m0;29 7b52?n<7c<k1;00?>o1io0;6)<k2;4g3>h5l809865f6`g94?"5l;0=h:5a2e3960=<a?ko6=4+2e092a1<f;n:6?84;h4bg?6=,;n96;j8;o0g5?4032c=mo4?:%0g6?0c?2d9h<4=8:9j2dg=83.9h?49d69m6a7=:010e;o7:18'6a4=>m=0b?j>:3c8?l0f?3:1(?j=:7f4?k4c938i76g9a783>!4c:3<o;6`=d081g>=n>h?1<7*=d385`2=i:m;1>i54i7c7>5<#:m81:i94n3f2>7c<3`<j?7>5$3f1>3b03g8o=7<i;:k5e7<72-8o>78k7:l1`4<4821b:l?50;&1`7<1l>1e>i?53098m3g7290/>i<56e58j7b62:807d86f;29 7b52?n<7c<k1;10?>o11m0;6)<k2;4g3>h5l808865f68a94?"5l;0=h:5a2e3970=<a?3i6=4+2e092a1<f;n:6>84;h4:e?6=,;n96;j8;o0g5?5032c=544?:%0g6?0c?2d9h<4<8:9j2<>=83.9h?49d69m6a7=;010e;78:18'6a4=>m=0b?j>:2c8?l0>>3:1(?j=:7f4?k4c939i76g99483>!4c:3<o;6`=d080g>=n>0>1<7*=d385`2=i:m;1?i54i7fa>5<#:m81:i94n3f2>6c<3`<om7>5$3f1>3b03g8o=7=i;:k5`<<72-8o>78k7:l1`4<3821b:i;50;&1`7<1l>1e>i?54098m3ef290/>i<56e58j7b62=807d8mf;29 7b52?n<7c<k1;60?>o1j=0;6)<k2;4g3>h5l80?865f6`;94?"5l;0=h:5a2e3900=<a?3n6=4+2e092a1<f;n:6984;h4:7?6=,;n96;j8;o0g5?2032c<844?::k40f<722c<?:4?::k41<<722c<894?::m464<72-8o>79=0:l1`4<732e<=k4?:%0g6?1582d9h<4>;:m45a<72-8o>79=0:l1`4<532e<=n4?:%0g6?1582d9h<4<;:m45g<72-8o>79=0:l1`4<332e<=l4?:%0g6?1582d9h<4:;:m45<<72-8o>79=0:l1`4<132e<=54?:%0g6?1582d9h<48;:m452<72-8o>79=0:l1`4<?32e<=;4?:%0g6?1582d9h<46;:m450<72-8o>79=0:l1`4<f32e<=94?:%0g6?1582d9h<4m;:m457<72-8o>79=0:l1`4<d32e<=<4?:%0g6?1582d9h<4k;:m455<72-8o>79=0:l1`4<b32e<<k4?:%0g6?1582d9h<4i;:m44`<72-8o>79=0:l1`4<6821d;=j50;&1`7<0:91e>i?51098k26d290/>i<57328j7b628807b9?b;29 7b52>8;7c<k1;30?>i08h0;6)<k2;514>h5l80:865`71;94?"5l;0<>=5a2e3950=<g>:<6=4+2e09376<f;n:6<84;n532?6=,;n96:<?;o0g5?7032e<<84?:%0g6?1582d9h<4>8:9l352=83.9h?48219m6a7=9010c:><:18'6a4=?;:0b?j>:0c8?j17:3:1(?j=:603?k4c93;i76a80083>!4c:3=9<6`=d082g>=h?9:1<7*=d38465=i:m;1=i54o7de>5<#:m81;?>4n3f2>4c<3f<mi7>5$3f1>2473g8o=7?i;:m5bf<72-8o>79=0:l1`4<5821d:kl50;&1`7<0:91e>i?52098k3`f290/>i<57328j7b62;807b8i9;29 7b52>8;7c<k1;00?>i1n10;6)<k2;514>h5l809865`6g594?"5l;0<>=5a2e3960=<g?l=6=4+2e09376<f;n:6?84;n4e1?6=,;n96:<?;o0g5?4032e=j94?:%0g6?1582d9h<4=8:9l2c5=83.9h?48219m6a7=:010c;h>:18'6a4=?;:0b?j>:3c8?j0a83:1(?j=:603?k4c938i76a9eg83>!4c:3=9<6`=d081g>=h>lo1<7*=d38465=i:m;1>i54o7gg>5<#:m81;?>4n3f2>7c<3f<no7>5$3f1>2473g8o=7<i;:m5ag<72-8o>79=0:l1`4<4821d:ho50;&1`7<0:91e>i?53098k3c>290/>i<57328j7b62:807b8j8;29 7b52>8;7c<k1;10?>i1m?0;6)<k2;514>h5l808865`6d794?"5l;0<>=5a2e3970=<g?o?6=4+2e09376<f;n:6>84;n4f7?6=,;n96:<?;o0g5?5032e=i?4?:%0g6?1582d9h<4<8:9l2`7=83.9h?48219m6a7=;010c;k?:18'6a4=?;:0b?j>:2c8?j0cn3:1(?j=:603?k4c939i76a9dd83>!4c:3=9<6`=d080g>=h>mn1<7*=d38465=i:m;1?i54o607>5<#:m81;?>4n3f2>6c<3f=9?7>5$3f1>2473g8o=7=i;:m467<72-8o>79=0:l1`4<3821d;<k50;&1`7<0:91e>i?54098k274290/>i<57328j7b62=807b9?8;29 7b52>8;7c<k1;60?>i1nm0;6)<k2;514>h5l80?865`6g094?"5l;0<>=5a2e3900=<g?o<6=4+2e09376<f;n:6984;n4gg?6=,;n96:<?;o0g5?2032e<8?4?:%0g6?1392d9h<4?;:m405<72-8o>79;1:l1`4<632e<?k4?:%0g6?1392d9h<4=;:m47`<72-8o>79;1:l1`4<432e<?i4?:%0g6?1392d9h<4;;:m47f<72-8o>79;1:l1`4<232e<?o4?:%0g6?1392d9h<49;:m47d<72-8o>79;1:l1`4<032e<?44?:%0g6?1392d9h<47;:m47=<72-8o>79;1:l1`4<>32e<9:4?:%0g6?12>2d9h<4?;:m410<72-8o>79:6:l1`4<632e<994?:%0g6?12>2d9h<4=;:m416<72-8o>79:6:l1`4<432e<9?4?:%0g6?12>2d9h<4;;:m414<72-8o>79:6:l1`4<232e<9=4?:%0g6?12>2d9h<49;:m40c<72-8o>79:6:l1`4<032e<8h4?:%0g6?12>2d9h<47;:m40a<72-8o>79:6:l1`4<>32e<>h4?::m460<722h=:;4?:083>5}#:ol1:974H747?M0302e9oh4?::a233=83;1<7>t$3de>73c3A<=86F9499l60e=831vn9;n:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`7fd<72=0;6=u+2gd9601<@?<?7E8;8:&12a<d3`=;6=44i9`94?=n:m>1<75`2e494?=zj=h26=4;:183!4an38>;6F9659K21><,;<o6n5f7183>>o?j3:17d<k4;29?j4c>3:17pl92d83>1<729q/>kh52478L3033A<?46*=6e80?l172900e5950;9j<g<722e9h;4?::a26e=83>1<7>t$3de>7303A<=86F9499K2f=#:kn1>kk4i6294?=n0k0;66g=d583>>i5l?0;66sm65094?2=83:p(?hi:374?M01<2B=855G6b9'6gb=:oo0e:>50;9j<g<722c9h94?::m1`3<722wi:>?50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;<o6n5f7183>>o?j3:17d<k4;29?j4c>3:17pl93g83>6<729q/>kh52468L3033A<?46F9c:&1fa<5nl1/>;j53:k44?6=3`2i6=44o3f5>5<<uk<?97>53;294~"5no09995G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=d=831d>i850;9~f357290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;%05`?e<a>:1<75f8c83>>o5l=0;66a=d783>>{e>:=1<7:50;2x 7`a2;?<7E894:J50==#:?n1o6g80;29?l>e2900e?j;:188k7b12900qo8<6;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a263=83>1<7>t$3de>7303A<=86F9499'63b=k2c<<7>5;h:a>5<<a;n?6=44o3f5>5<<uk<8i7>53;294~"5no09995G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=d=831d>i850;9~f35e290?6=4?{%0eb?42?2B=:95G65:8 70c2j1b;=4?::k;f?6=3`8o87>5;n0g2?6=3th=?l4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<<a1h1<75f2e694?=h:m<1<75rb767>5<4290;w)<if;060>N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4o4?::m1`3<722wi:?h50;694?6|,;lm6?;8;I450>N1<11C:n5+2cf96cc<,;<o6n5f7183>>o?j3:17d<k4;29?j4c>3:17pl:d`83>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9i750;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<n<6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3c>3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6`0<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5e694?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8j<:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?o>7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2l80;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a6=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4ae>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;le;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>on4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=jh1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0ef290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7`=?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:c983>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9n950;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<i=6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3d=3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g1<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5b194?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8m>:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?h<7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2jo0;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1gc=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4`g>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;mc;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>no4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=kk1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d>290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7a<?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:b783>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9o;50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<h?6=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e;3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6f7<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5c394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8l?:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?jj7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd2il0;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1db=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4ca>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;na;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m44?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=h21<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g0290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7b2?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:a483>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9l:50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<k86=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3f:3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6e5<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm58d94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn87j:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?2h7>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd21j0;684?:1y'6c`=:<30D;8;;I47<>"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1<d=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<<a1h1<75f2e594?=h:m<1<75rb4;b>5<2290;w)<if;06=>N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;69;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>554?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<<a>;1<75f8c83>>o5l>0;66a=d783>>{e=0=1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0bd290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<<g;n=6=44}c7gf?6==3:1<v*=fg811<=O>?>0D;:7;%05`?5<a>:1<75f7083>>o?j3:17d<k7;29?j4c>3:17pl:d983>0<729q/>kh524;8L3033A<?46*=6e80?l172900e:?50;9j<g<722c9h:4?::m1`3<722wi9nj50;794?6|,;lm6?;6;I450>N1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<i96=4::183!4an38>56F9659K21><,;<o6>5f7183>>o093:17d6m:188m7b02900c?j9:188yg3e?3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ef<72<0;6=u+2gd960?<@?<?7E8;8:&12a<43`=;6=44i6394?=n0k0;66g=d683>>i5l?0;66sm5`394?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn879:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<<a;n<6=44o3f5>5<<uk?297>55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b<k6;29?xd1:<0;6<;8:28be~N1<11/>kh56948^3`=lr;86<?538875?4d28>1=?4<a;61>7b=:o08<7:<:|&5a?4<a;oi6=4+2e096`g<f;n:6=54i3g6>5<#:m81>h:4n3f2>5=<a???6=4+2e09205<f;n:6=54i771>5<#:m81:8=4n3f2>4=<a??:6=4+2e09205<f;n:6?54i773>5<#:m81:8=4n3f2>6=<a?>m6=4+2e09205<f;n:6954i76g>5<#:m81:8=4n3f2>0=<a?>h6=4+2e09205<f;n:6;54i76a>5<#:m81:8=4n3f2>2=<a<21<7*=d3863>h5l80;76g:6;29 7b52<=0b?j>:098m03=83.9h?4:7:l1`4<532c>87>5$3f1>01<f;n:6>54i4194?"5l;0>;6`=d087?>o2:3:1(?j=:458j7b62<10e8?50;&1`7<2?2d9h<49;:k64?6=,;n96894n3f2>2=<a=k1<7*=d3863>h5l80376g>1983>!4c:3;:;6`=d083?>o69?0;6)<k2;323>h5l80:76g>1583>!4c:3;:;6`=d081?>o69:0;6)<k2;323>h5l80876g>1383>!4c:3;:;6`=d087?>o6980;6)<k2;323>h5l80>76g>1183>!4c:3;:;6`=d085?>o68o0;6)<k2;323>h5l80<76g>0d83>!4c:3;:;6`=d08;?>o68m0;6)<k2;323>h5l80276g>0b83>!4c:3;:;6`=d08b?>o68k0;6)<k2;323>h5l80i76g>0883>!4c:3;:;6`=d08`?>o6810;6)<k2;323>h5l80o76g>0683>!4c:3;:;6`=d08f?>o68?0;6)<k2;323>h5l80m76g>0483>!4c:3;:;6`=d0824>=n99>1<7*=d38252=i:m;1=<54i020>5<#:m81=<94n3f2>44<3`;;>7>5$3f1>4703g8o=7?<;:k244<72-8o>7?>7:l1`4<6<21b==>50;&1`7<69>1e>i?51498mcc=83.9h?4>169m6a7=9?10ekj50;&1`7<69>1e>i?51698mce=83.9h?4>169m6a7=9110ekl50;&1`7<69>1e>i?51898mcg=83.9h?4>169m6a7=9h10ek750;&1`7<69>1e>i?51c98mc>=83.9h?4>169m6a7=9j10ek950;&1`7<69>1e>i?51e98mc0=83.9h?4>169m6a7=9l10ek;50;&1`7<69>1e>i?51g98mc5=83.9h?4>169m6a7=:910ek<50;&1`7<69>1e>i?52098mc7=83.9h?4>169m6a7=:;10ek>50;&1`7<69>1e>i?52298m``=83.9h?4>169m6a7=:=10ehk50;&1`7<69>1e>i?52498m`b=83.9h?4>169m6a7=:?10ehm50;&1`7<69>1e>i?52698m`d=83.9h?4>169m6a7=:110eho50;&1`7<69>1e>i?52898m`>=83.9h?4>169m6a7=:h10eh950;&1`7<69>1e>i?52c98m`0=83.9h?4>169m6a7=:j10eh;50;&1`7<69>1e>i?52e98m`2=83.9h?4>169m6a7=:l10eh=50;&1`7<69>1e>i?52g98m`4=83.9h?4>169m6a7=;910eh?50;&1`7<69>1e>i?53098m`6=83.9h?4>169m6a7=;;10eih50;&1`7<69>1e>i?53298mab=83.9h?4>169m6a7=;=10eim50;&1`7<69>1e>i?53498mad=83.9h?4>169m6a7=;?10eio50;&1`7<69>1e>i?53698ma?=83.9h?4>169m6a7=;110ei650;&1`7<69>1e>i?53898ma1=83.9h?4>169m6a7=;h10ei850;&1`7<69>1e>i?53c98ma3=83.9h?4>169m6a7=;j10ei:50;&1`7<69>1e>i?53e98m47e290/>i<51058j7b62:o07d?>a;29 7b528;<7c<k1;1e?>o6900;6)<k2;323>h5l80?<65f10794?"5l;0:=:5a2e3904=<a8:j6=4+2e09541<f;n:69<4;hde>5<#:m81=<94n3f2>15<3`l?6=4+2e09541<f;n:69:4;hg:>5<#:m81=<94n3f2>13<3`nn6=4+2e09541<f;n:6984;hf0>5<#:m81=<94n3f2>11<3`8ni7>5$3f1>7cc3g8o=7>4;h0f<?6=,;n96?k8;o0g5?6<3`<>i7>5$3f1>33c3g8o=7>4;h46g?6=,;n96;;k;o0g5?7<3`<>n7>5$3f1>33c3g8o=7<4;h46e?6=,;n96;;k;o0g5?5<3`<>57>5$3f1>33c3g8o=7:4;h463?6=,;n96;;k;o0g5?3<3`<>:7>5$3f1>33c3g8o=784;h461?6=,;n96;;k;o0g5?1<3`<36=4+2e0922=i:m;1<65f6783>!4c:3<<7c<k1;38?l02290/>i<5669m6a7=:21b:94?:%0g6?003g8o=7=4;h40>5<#:m81::5a2e390>=n>;0;6)<k2;44?k4c93?07d8>:18'6a4=>>1e>i?56:9j25<72-8o>788;o0g5?1<3`?j6=4+2e0922=i:m;1465f17394?"5l;0::=5a2e394>=n9<l1<7*=d38225=i:m;1=65f14f94?"5l;0::=5a2e396>=n9<i1<7*=d38225=i:m;1?65f14`94?"5l;0::=5a2e390>=n9<k1<7*=d38225=i:m;1965f14;94?"5l;0::=5a2e392>=n9<21<7*=d38225=i:m;1;65f14594?"5l;0::=5a2e39<>=n9<<1<7*=d38225=i:m;1565f14794?"5l;0::=5a2e39e>=n9<>1<7*=d38225=i:m;1n65f14094?"5l;0::=5a2e39g>=n9<;1<7*=d38225=i:m;1h65f14294?"5l;0::=5a2e39a>=n9=l1<7*=d38225=i:m;1j65f15g94?"5l;0::=5a2e3955=<a8>o6=4+2e09536<f;n:6<?4;h37g?6=,;n96<8?;o0g5?7532c:8o4?:%0g6?7182d9h<4>3:9j51g=83.9h?4>619m6a7=9=10e<:6:18'6a4=9?:0b?j>:078?l73?3:1(?j=:043?k4c93;=76g>4783>!4c:3;=<6`=d0823>=n9=?1<7*=d38225=i:m;1=554i067>5<#:m81=;>4n3f2>4?<3`;??7>5$3f1>4073g8o=7?n;:k207<72-8o>7?90:l1`4<6j21b=9?50;&1`7<6>91e>i?51b98m427290/>i<51728j7b628n07d?<f;29 7b528<;7c<k1;3f?>o6;l0;6)<k2;354>h5l80:j65f12a94?"5l;0::=5a2e3965=<a89i6=4+2e09536<f;n:6??4;h30e?6=,;n96<8?;o0g5?4532c:?44?:%0g6?7182d9h<4=3:9j56>=83.9h?4>619m6a7=:=10e<=8:18'6a4=9?:0b?j>:378?l74>3:1(?j=:043?k4c938=76g>3483>!4c:3;=<6`=d0813>=n9:>1<7*=d38225=i:m;1>554i010>5<#:m81=;>4n3f2>7?<3`;8=7>5$3f1>4073g8o=7<n;:k275<72-8o>7?90:l1`4<5j21b=?h50;&1`7<6>91e>i?52b98m44b290/>i<51728j7b62;n07d?=d;29 7b528<;7c<k1;0f?>o6:j0;6)<k2;354>h5l809j65f13`94?"5l;0::=5a2e3975=<a88j6=4+2e09536<f;n:6>?4;h31=?6=,;n96<8?;o0g5?5532c:>54?:%0g6?7182d9h<4<3:9j570=83.9h?4>619m6a7=;=10e<<::18'6a4=9?:0b?j>:278?l75<3:1(?j=:043?k4c939=76g>2283>!4c:3;=<6`=d0803>=n9;81<7*=d38225=i:m;1?554i002>5<#:m81=;>4n3f2>6?<3`;9<7>5$3f1>4073g8o=7=n;:k25c<72-8o>7?90:l1`4<4j21b=<k50;&1`7<6>91e>i?53b98m47c290/>i<51728j7b62:n07d?94;29 7b528<;7c<k1;1f?>o6>:0;6)<k2;354>h5l808j65f17094?"5l;0::=5a2e3905=<a8?n6=4+2e09536<f;n:69?4;h367?6=,;n96<8?;o0g5?2532c:854?:%0g6?7182d9h<4;3:9j56b=83.9h?4>619m6a7=<=10e<==:18'6a4=9?:0b?j>:578?l75?3:1(?j=:043?k4c93>=76g>1b83>!4c:3;=<6`=d0873>=n?h0;66g=4g83>>o0n3:17d<:0;29?l4e:3:17d<m1;29?j47:3:1(?j=:322?k4c93:07b<?0;29 7b52;::7c<k1;38?j7am3:1(?j=:322?k4c93807b?id;29 7b52;::7c<k1;18?j7ak3:1(?j=:322?k4c93>07b?ib;29 7b52;::7c<k1;78?j7ai3:1(?j=:322?k4c93<07b?i9;29 7b52;::7c<k1;58?j7a03:1(?j=:322?k4c93207b?i7;29 7b52;::7c<k1;;8?j7a>3:1(?j=:322?k4c93k07b?i5;29 7b52;::7c<k1;`8?j7a;3:1(?j=:322?k4c93i07b?i2;29 7b52;::7c<k1;f8?j7a93:1(?j=:322?k4c93o07b?i0;29 7b52;::7c<k1;d8?j7bn3:1(?j=:322?k4c93;;76a>ed83>!4c:38;=6`=d0825>=h9ln1<7*=d38144=i:m;1=?54o0g`>5<#:m81>=?4n3f2>45<3f;nn7>5$3f1>7663g8o=7?;;:m2ad<72-8o>7<?1:l1`4<6=21d=h650;&1`7<5881e>i?51798k4c0290/>i<52138j7b628=07b?j6;29 7b52;::7c<k1;3;?>i6m<0;6)<k2;035>h5l80:565`1d694?"5l;09<<5a2e395d=<g8o86=4+2e09657<f;n:6<l4;n3f6?6=,;n96?>>;o0g5?7d32e:i<4?:%0g6?4792d9h<4>d:9l5`6=83.9h?4=009m6a7=9l10c<ji:18'6a4=:9;0b?j>:0d8?j7cl3:1(?j=:322?k4c938;76a>db83>!4c:38;=6`=d0815>=h9mh1<7*=d38144=i:m;1>?54o0fb>5<#:m81>=?4n3f2>75<3f;o57>5$3f1>7663g8o=7<;;:m2`=<72-8o>7<?1:l1`4<5=21d=i950;&1`7<5881e>i?52798k4b1290/>i<52138j7b62;=07b?k5;29 7b52;::7c<k1;0;?>i6l=0;6)<k2;035>h5l809565`1e094?"5l;09<<5a2e396d=<g8n:6=4+2e09657<f;n:6?l4;n3g4?6=,;n96?>>;o0g5?4d32e:ok4?:%0g6?4792d9h<4=d:9l5fc=83.9h?4=009m6a7=:l10c<mk:18'6a4=:9;0b?j>:3d8?j7dk3:1(?j=:322?k4c939;76a>cc83>!4c:38;=6`=d0805>=h9jk1<7*=d38144=i:m;1??54o0a:>5<#:m81>=?4n3f2>65<3f;h;7>5$3f1>7663g8o=7=;;:m2g3<72-8o>7<?1:l1`4<4=21d=n;50;&1`7<5881e>i?53798k4e3290/>i<52138j7b62:=07b?l3;29 7b52;::7c<k1;1;?>i6k;0;6)<k2;035>h5l808565`1b394?"5l;09<<5a2e397d=<g8i;6=4+2e09657<f;n:6>l4;n3ab?6=,;n96?>>;o0g5?5d32e:nh4?:%0g6?4792d9h<4<d:9l653=83.9h?4=009m6a7=;l10c?>;:18'6a4=:9;0b?j>:2d8?j47;3:1(?j=:322?k4c93>;76a>fg83>!4c:38;=6`=d0875>=h9o>1<7*=d38144=i:m;18?54o0g:>5<#:m81>=?4n3f2>15<3f;oi7>5$3f1>7663g8o=7:;;:m2`6<72-8o>7<?1:l1`4<3=21d=n650;&1`7<5881e>i?54798k4dc290/>i<52138j7b62==07b<;b;29 7b52;>j7c<k1;28?j4313:1(?j=:36b?k4c93;07b<;7;29 7b52;>j7c<k1;08?j43>3:1(?j=:36b?k4c93907b<;5;29 7b52;>j7c<k1;68?j43<3:1(?j=:36b?k4c93?07b<;3;29 7b52;>j7c<k1;48?j43:3:1(?j=:36b?k4c93=07b<;1;29 7b52;>j7c<k1;:8?j4383:1(?j=:36b?k4c93307b<<f;29 7b52;>j7c<k1;c8?j44m3:1(?j=:36b?k4c93h07b<<c;29 7b52;>j7c<k1;a8?j44j3:1(?j=:36b?k4c93n07b<<a;29 7b52;>j7c<k1;g8?j4413:1(?j=:36b?k4c93l07b<<8;29 7b52;>j7c<k1;33?>i5;>0;6)<k2;07e>h5l80:=65`22494?"5l;098l5a2e3957=<g;9>6=4+2e0961g<f;n:6<=4;n000?6=,;n96?:n;o0g5?7332e9?>4?:%0g6?43i2d9h<4>5:9l667=83.9h?4=4`9m6a7=9?10c?=?:18'6a4=:=k0b?j>:058?j45n3:1(?j=:36b?k4c93;376a=2d83>!4c:38?m6`=d082=>=h:;n1<7*=d3810d=i:m;1=l54o30`>5<#:m81>9o4n3f2>4d<3f89n7>5$3f1>72f3g8o=7?l;:m16d<72-8o>7<;a:l1`4<6l21d>?750;&1`7<5<h1e>i?51d98k74?290/>i<525c8j7b628l07b<=6;29 7b52;>j7c<k1;03?>i5:<0;6)<k2;07e>h5l809=65`23694?"5l;098l5a2e3967=<g;886=4+2e0961g<f;n:6?=4;n016?6=,;n96?:n;o0g5?4332e9><4?:%0g6?43i2d9h<4=5:9l676=83.9h?4=4`9m6a7=:?10c??i:18'6a4=:=k0b?j>:358?j46m3:1(?j=:36b?k4c938376a=1e83>!4c:38?m6`=d081=>=h:8h1<7*=d3810d=i:m;1>l54o33b>5<#:m81>9o4n3f2>7d<3f8:57>5$3f1>72f3g8o=7<l;:m15=<72-8o>7<;a:l1`4<5l21d><950;&1`7<5<h1e>i?52d98k771290/>i<525c8j7b62;l07b<>5;29 7b52;>j7c<k1;13?>i59=0;6)<k2;07e>h5l808=65`20194?"5l;098l5a2e3977=<g;;96=4+2e0961g<f;n:6>=4;n024?6=,;n96?:n;o0g5?5332e9<k4?:%0g6?43i2d9h<4<5:9l65c=83.9h?4=4`9m6a7=;?10c?>k:18'6a4=:=k0b?j>:258?j47k3:1(?j=:36b?k4c939376a=0c83>!4c:38?m6`=d080=>=h:9k1<7*=d3810d=i:m;1?l54o32:>5<#:m81>9o4n3f2>6d<3f8;47>5$3f1>72f3g8o=7=l;:m142<72-8o>7<;a:l1`4<4l21d>9k50;&1`7<5<h1e>i?53d98k72c290/>i<525c8j7b62:l07b<;c;29 7b52;>j7c<k1;63?>i5<10;6)<k2;07e>h5l80?=65`22f94?"5l;098l5a2e3907=<g;996=4+2e0961g<f;n:69=4;n013?6=,;n96?:n;o0g5?2332e9=n4?:%0g6?43i2d9h<4;5:9l647=83.9h?4=4`9m6a7=<?10c?>9:18'6a4=:=k0b?j>:558?j4b:3:1(?j=:3g2?k4c93:07b<j0;29 7b52;o:7c<k1;38?j4cn3:1(?j=:3g2?k4c93807b<ke;29 7b52;o:7c<k1;18?j4cl3:1(?j=:3g2?k4c93>07b<kc;29 7b52;o:7c<k1;78?j4cj3:1(?j=:3g2?k4c93<07b<ka;29 7b52;o:7c<k1;58?j4c13:1(?j=:3g2?k4c93207b<i3;29?j>b2900n;8::182>5<7s-8mj7<:d:J521=O>=20c?;l:188yg14<3:1nn4?:1y'6c`=:m20D;8;;I47<>\1n3ipj7??:0`95c<6k38;6<j51882<?7f28o1=:4r$3ag>2553g2h6?:4n9f961=i90:1<6`>b383?!42938>?6*=5381b`=#:??196*=6786?!41?3?0(?87:49'63?==2.9:l4:;%05f?3<,;<h685+27g91>"5>o0>7)<80;78 7162<1/>:<55:&136<23-8<87;4$356>0=#:><196*=7686?!4003?0(?96:49'62g==2.9;o4:;%04g?3<,;=o685+26g91>"5?o0>7)<70;78 7>62<1/>5<55:&1<6<23-8387;4$3:6>0=#:1<196*=8686?!4?03?0(?66:49'6=g==2.94o4:;%0;g?3<,;2o685+29g91>"50o0>7)<60;78 7?62<1/>4<55:&1=6<23-8287;4$3;6>0=#:0<196*=9686?!4>03?0(?76:49'6<g==2.95o4:;%0:g?3<,;3o685+28g91>"51o0>7)<n0;78 7g62<1/>l<55:&1e6<23-8j87;4$3c6>0=#:h<196*=a686?!4f03?0(?o6:49'6dg==2.9mo4:;%0bg?3<,;ko685+2`g90>"5io0?7)<m0;0`f>"5mo09ji5+2g2934=#:o;1;<5+2g492g=#:o=1>k74$3db>1=#:oh186*961851c=#>?;1:8h4i3g0>5<<a>k1<75f24294?=n:li1<75f7g83>>o5m?0;66g=e883>>o5<o0;66g>6883>!4c:3;=46`=d083?>o6>>0;6)<k2;35<>h5l80:76g>6783>!4c:3;=46`=d081?>o6><0;6)<k2;35<>h5l80876g94g83>!4c:3<?i6`=d083?>o1<m0;6)<k2;47a>h5l80:76g94b83>!4c:3<?i6`=d081?>o1<k0;6)<k2;47a>h5l80876a>8983>!4c:3;3;6`=d083?>i60?0;6)<k2;3;3>h5l80:76a>8583>!4c:3;3;6`=d081?>i60:0;6)<k2;3;3>h5l80876a>8383>!4c:3;3;6`=d087?>i6080;6)<k2;3;3>h5l80>76a>8183>!4c:3;3;6`=d085?>i6?o0;6)<k2;3;3>h5l80<76a>7d83>!4c:3;3;6`=d08;?>i6?m0;6)<k2;3;3>h5l80276a>7b83>!4c:3;3;6`=d08b?>i6?k0;6)<k2;3;3>h5l80i76a>7883>!4c:3;3;6`=d08`?>i6?10;6)<k2;3;3>h5l80o76a>7683>!4c:3;3;6`=d08f?>i6??0;6)<k2;3;3>h5l80m76a>7483>!4c:3;3;6`=d0824>=h9>>1<7*=d382<2=i:m;1=<54o050>5<#:m81=594n3f2>44<3f;<>7>5$3f1>4>03g8o=7?<;:m234<72-8o>7?77:l1`4<6<21d=:>50;&1`7<60>1e>i?51498k4>a290/>i<51958j7b628<07b?7e;29 7b5282<7c<k1;34?>i60m0;6)<k2;3;3>h5l80:465`19a94?"5l;0:4:5a2e395<=<g82i6=4+2e095=1<f;n:6<o4;n3;e?6=,;n96<68;o0g5?7e32e:444?:%0g6?7??2d9h<4>c:9l5=3=83.9h?4>869m6a7=9m10c<9n:18'6a4=91=0b?j>:0g8?j71n3:1(?j=:0:4?k4c93;m76g;f;29 7b52=o0b?j>:198m1b=83.9h?4;e:l1`4<632c?o7>5$3f1>1c<f;n:6?54i5`94?"5l;0?i6`=d080?>o213:1(?j=:5g8j7b62=10e8650;&1`7<3m2d9h<4:;:k62?6=,;n969k4n3f2>3=<a<?1<7*=d387a>h5l80<76g:4;29 7b52=o0b?j>:998m05=83.9h?4;e:l1`4<>32c>>7>5$3f1>1c<f;n:6l54i4394?"5l;0?i6`=d08a?>o283:1(?j=:5g8j7b62j10e9o50;&1`7<3m2d9h<4k;:k6b?6=,;n968k4n3f2>5=<a<n1<7*=d386a>h5l80:76g:c;29 7b52<o0b?j>:398m0d=83.9h?4:e:l1`4<432c=57>5$3f1>0c<f;n:6954i7:94?"5l;0>i6`=d086?>o1>3:1(?j=:4g8j7b62?10e;;50;&1`7<2m2d9h<48;:k50?6=,;n968k4n3f2>==<a?91<7*=d386a>h5l80276g92;29 7b52<o0b?j>:`98m37=83.9h?4:e:l1`4<e32c=<7>5$3f1>0c<f;n:6n54i4c94?"5l;0>i6`=d08g?>odj3:1(?j=:bc8j7b62910en750;&1`7<di2d9h<4>;:k`3?6=,;n96no4n3f2>7=<aj<1<7*=d38`e>h5l80876gl5;29 7b52jk0b?j>:598mf2=83.9h?4la:l1`4<232ch?7>5$3f1>fg<f;n:6;54ib094?"5l;0hm6`=d084?>od93:1(?j=:bc8j7b62110en>50;&1`7<di2d9h<46;:kab?6=,;n96no4n3f2>d=<ako1<7*=d38`e>h5l80i76gmc;29 7b52jk0b?j>:b98mgd=83.9h?4la:l1`4<c32cim7>5$3f1>fg<f;n:6h54ic;94?"5l;0hm6`=d08e?>oe03:1(?j=:bc8j7b628:07dl8:18'6a4=kh1e>i?51098mg0=83.9h?4la:l1`4<6:21bn84?:%0g6?ef3g8o=7?<;:ka0?6=,;n96no4n3f2>42<3`h86=4+2e09gd=i:m;1=854ie094?"5l;0hm6`=d0822>=nl80;6)<k2;ab?k4c93;<76gk0;29 7b52jk0b?j>:0:8?lea290/>i<5c`9m6a7=9010enk50;&1`7<di2d9h<4>a:9jga<72-8o>7mn;o0g5?7e32cho7>5$3f1>fg<f;n:6<m4;ha;>5<#:m81ol5a2e395a=<akn1<7*=d38`e>h5l80:i65fb383>!4c:3ij7c<k1;3e?>i6j>0;6)<k2;3a2>h5l80;76a>b483>!4c:3;i:6`=d082?>i6j=0;6)<k2;3a2>h5l80976a>b283>!4c:3;i:6`=d080?>o6>l0;6)<k2;35`>h5l80;76g>6b83>!4c:3;=h6`=d082?>o6>k0;6)<k2;35`>h5l80976g>6`83>!4c:3;=h6`=d080?>i6jj0;6)<k2;3af>h5l80;76a>b`83>!4c:3;in6`=d082?>i6j00;6)<k2;3af>h5l80976a>b983>!4c:3;in6`=d080?>i6ih0;6)<k2;3b=>h5l80;76a>a983>!4c:3;j56`=d082?>i6i?0;6)<k2;3b=>h5l80976a>a483>!4c:3;j56`=d080?>i6i=0;6)<k2;3b=>h5l80?76a>a283>!4c:3;j56`=d086?>i6i;0;6)<k2;3b=>h5l80=76a>a083>!4c:3;j56`=d084?>i6i90;6)<k2;3b=>h5l80376a>9g83>!4c:3;j56`=d08:?>i61l0;6)<k2;3b=>h5l80j76a>9e83>!4c:3;j56`=d08a?>i61k0;6)<k2;3b=>h5l80h76a>9`83>!4c:3;j56`=d08g?>i6100;6)<k2;3b=>h5l80n76a>9983>!4c:3;j56`=d08e?>i61>0;6)<k2;3b=>h5l80:<65`18494?"5l;0:m45a2e3954=<g83>6=4+2e095d?<f;n:6<<4;n3:0?6=,;n96<o6;o0g5?7432e:5>4?:%0g6?7f12d9h<4>4:9l5<4=83.9h?4>a89m6a7=9<10c<l>:18'6a4=9h30b?j>:048?j7e83:1(?j=:0c:?k4c93;<76a>ag83>!4c:3;j56`=d082<>=h9ho1<7*=d382e<=i:m;1=454o0cg>5<#:m81=l74n3f2>4g<3f;jo7>5$3f1>4g>3g8o=7?m;:m2eg<72-8o>7?n9:l1`4<6k21d=l950;&1`7<6i01e>i?51e98k4?d290/>i<51`;8j7b628o07b?61;29 7b528k27c<k1;3e?>o1=00;6)<k2;46<>h5l80;76g95683>!4c:3<>46`=d082?>o1=?0;6)<k2;46<>h5l80976g95483>!4c:3<>46`=d080?>ofi3:1(?j=:`;8j7b62910el650;&1`7<f12d9h<4>;:kb2?6=,;n96l74n3f2>7=<ah?1<7*=d38b=>h5l80876gn4;29 7b52h30b?j>:598md5=83.9h?4n9:l1`4<232cj>7>5$3f1>d?<f;n:6;54i`394?"5l;0j56`=d084?>of83:1(?j=:`;8j7b62110e4h50;&1`7<f12d9h<46;:k:a?6=,;n96l74n3f2>d=<a0n1<7*=d38b=>h5l80i76g6b;29 7b52h30b?j>:b98m<g=83.9h?4n9:l1`4<c32c257>5$3f1>d?<f;n:6h54i8:94?"5l;0j56`=d08e?>o>?3:1(?j=:`;8j7b628:07d79:18'6a4=i01e>i?51098m<3=83.9h?4n9:l1`4<6:21b594?:%0g6?g>3g8o=7?<;:k:7?6=,;n96l74n3f2>42<3`396=4+2e09e<=i:m;1=854ic394?"5l;0j56`=d0822>=nj90;6)<k2;c:?k4c93;<76gnf;29 7b52h30b?j>:0:8?lgb290/>i<5a89m6a7=9010elj50;&1`7<f12d9h<4>a:9jef<72-8o>7o6;o0g5?7e32cjn7>5$3f1>d?<f;n:6<m4;hc4>5<#:m81m45a2e395a=<a0i1<7*=d38b=>h5l80:i65f9083>!4c:3k27c<k1;3e?>{e?:?1<7ll:183!4an38o46F9659K21><R?l1ovh51182f?7a28i1>=4>d;3:>4>=9h0:i7?8:|&1ga<0;;1e4n4=4:l;`?433g;2<7>4n0`1>5=#:<;1>8=4$371>7`b3-8=97;4$345>0=#:?=196*=6986?!4113?0(?8n:49'63d==2.9:n4:;%05a?3<,;<m685+26291>"5?80>7)<82;78 7142<1/>::55:&130<23-8<:7;4$354>0=#:>2196*=7886?!40i3?0(?9m:49'62e==2.9;i4:;%04a?3<,;=m685+29291>"5080>7)<72;78 7>42<1/>5:55:&1<0<23-83:7;4$3:4>0=#:12196*=8886?!4?i3?0(?6m:49'6=e==2.94i4:;%0;a?3<,;2m685+28291>"5180>7)<62;78 7?42<1/>4:55:&1=0<23-82:7;4$3;4>0=#:02196*=9886?!4>i3?0(?7m:49'6<e==2.95i4:;%0:a?3<,;3m685+2`291>"5i80>7)<n2;78 7g42<1/>l:55:&1e0<23-8j:7;4$3c4>0=#:h2196*=a886?!4fi3?0(?om:49'6de==2.9mi4:;%0ba?2<,;km695+2c296fd<,;om6?hk;%0e4?163-8m=79>;%0e2?0e3-8m;7<i9:&1bd<33-8mn7:4$743>33a3-<==78:f:k1a6<722c<m7>5;h064?6=3`8no7>5;h5e>5<<a;o=6=44i3g:>5<<a;>m6=44i04:>5<#:m81=;64n3f2>5=<a8<<6=4+2e0953><f;n:6<54i045>5<#:m81=;64n3f2>7=<a8<>6=4+2e0953><f;n:6>54i76e>5<#:m81:9k4n3f2>5=<a?>o6=4+2e0921c<f;n:6<54i76`>5<#:m81:9k4n3f2>7=<a?>i6=4+2e0921c<f;n:6>54o0:;>5<#:m81=594n3f2>5=<g82=6=4+2e095=1<f;n:6<54o0:7>5<#:m81=594n3f2>7=<g8286=4+2e095=1<f;n:6>54o0:1>5<#:m81=594n3f2>1=<g82:6=4+2e095=1<f;n:6854o0:3>5<#:m81=594n3f2>3=<g8=m6=4+2e095=1<f;n:6:54o05f>5<#:m81=594n3f2>==<g8=o6=4+2e095=1<f;n:6454o05`>5<#:m81=594n3f2>d=<g8=i6=4+2e095=1<f;n:6o54o05:>5<#:m81=594n3f2>f=<g8=36=4+2e095=1<f;n:6i54o054>5<#:m81=594n3f2>`=<g8==6=4+2e095=1<f;n:6k54o056>5<#:m81=594n3f2>46<3f;<87>5$3f1>4>03g8o=7?>;:m236<72-8o>7?77:l1`4<6:21d=:<50;&1`7<60>1e>i?51298k416290/>i<51958j7b628>07b?80;29 7b5282<7c<k1;36?>i60o0;6)<k2;3;3>h5l80::65`19g94?"5l;0:4:5a2e3952=<g82o6=4+2e095=1<f;n:6<64;n3;g?6=,;n96<68;o0g5?7>32e:4o4?:%0g6?7??2d9h<4>a:9l5=g=83.9h?4>869m6a7=9k10c<66:18'6a4=91=0b?j>:0a8?j7?=3:1(?j=:0:4?k4c93;o76a>7`83>!4c:3;3;6`=d082a>=h9?l1<7*=d382<2=i:m;1=k54i5d94?"5l;0?i6`=d083?>o3l3:1(?j=:5g8j7b62810e9m50;&1`7<3m2d9h<4=;:k7f?6=,;n969k4n3f2>6=<a<31<7*=d387a>h5l80?76g:8;29 7b52=o0b?j>:498m00=83.9h?4;e:l1`4<132c>97>5$3f1>1c<f;n:6:54i4694?"5l;0?i6`=d08;?>o2;3:1(?j=:5g8j7b62010e8<50;&1`7<3m2d9h<4n;:k65?6=,;n969k4n3f2>g=<a<:1<7*=d387a>h5l80h76g;a;29 7b52=o0b?j>:e98m0`=83.9h?4:e:l1`4<732c>h7>5$3f1>0c<f;n:6<54i4a94?"5l;0>i6`=d081?>o2j3:1(?j=:4g8j7b62:10e;750;&1`7<2m2d9h<4;;:k5<?6=,;n968k4n3f2>0=<a?<1<7*=d386a>h5l80=76g95;29 7b52<o0b?j>:698m32=83.9h?4:e:l1`4<?32c=?7>5$3f1>0c<f;n:6454i7094?"5l;0>i6`=d08b?>o193:1(?j=:4g8j7b62k10e;>50;&1`7<2m2d9h<4l;:k6e?6=,;n968k4n3f2>a=<ajh1<7*=d38`e>h5l80;76gl9;29 7b52jk0b?j>:098mf1=83.9h?4la:l1`4<532ch:7>5$3f1>fg<f;n:6>54ib794?"5l;0hm6`=d087?>od<3:1(?j=:bc8j7b62<10en=50;&1`7<di2d9h<49;:k`6?6=,;n96no4n3f2>2=<aj;1<7*=d38`e>h5l80376gl0;29 7b52jk0b?j>:898mg`=83.9h?4la:l1`4<f32cii7>5$3f1>fg<f;n:6o54ica94?"5l;0hm6`=d08`?>oej3:1(?j=:bc8j7b62m10eoo50;&1`7<di2d9h<4j;:ka=?6=,;n96no4n3f2>c=<ak21<7*=d38`e>h5l80:<65fb683>!4c:3ij7c<k1;32?>oe>3:1(?j=:bc8j7b628807dl::18'6a4=kh1e>i?51298mg2=83.9h?4la:l1`4<6<21bn>4?:%0g6?ef3g8o=7?:;:kg6?6=,;n96no4n3f2>40<3`n:6=4+2e09gd=i:m;1=:54ie294?"5l;0hm6`=d082<>=nko0;6)<k2;ab?k4c93;276gle;29 7b52jk0b?j>:0c8?lec290/>i<5c`9m6a7=9k10enm50;&1`7<di2d9h<4>c:9jg=<72-8o>7mn;o0g5?7c32cih7>5$3f1>fg<f;n:6<k4;h`1>5<#:m81ol5a2e395c=<g8h<6=4+2e095g0<f;n:6=54o0`6>5<#:m81=o84n3f2>4=<g8h?6=4+2e095g0<f;n:6?54o0`0>5<#:m81=o84n3f2>6=<a8<n6=4+2e0953b<f;n:6=54i04`>5<#:m81=;j4n3f2>4=<a8<i6=4+2e0953b<f;n:6?54i04b>5<#:m81=;j4n3f2>6=<g8hh6=4+2e095gd<f;n:6=54o0`b>5<#:m81=ol4n3f2>4=<g8h26=4+2e095gd<f;n:6?54o0`;>5<#:m81=ol4n3f2>6=<g8kj6=4+2e095d?<f;n:6=54o0c;>5<#:m81=l74n3f2>4=<g8k=6=4+2e095d?<f;n:6?54o0c6>5<#:m81=l74n3f2>6=<g8k?6=4+2e095d?<f;n:6954o0c0>5<#:m81=l74n3f2>0=<g8k96=4+2e095d?<f;n:6;54o0c2>5<#:m81=l74n3f2>2=<g8k;6=4+2e095d?<f;n:6554o0;e>5<#:m81=l74n3f2><=<g83n6=4+2e095d?<f;n:6l54o0;g>5<#:m81=l74n3f2>g=<g83i6=4+2e095d?<f;n:6n54o0;b>5<#:m81=l74n3f2>a=<g8326=4+2e095d?<f;n:6h54o0;;>5<#:m81=l74n3f2>c=<g83<6=4+2e095d?<f;n:6<>4;n3:2?6=,;n96<o6;o0g5?7632e:584?:%0g6?7f12d9h<4>2:9l5<2=83.9h?4>a89m6a7=9:10c<7<:18'6a4=9h30b?j>:068?j7>:3:1(?j=:0c:?k4c93;>76a>b083>!4c:3;j56`=d0822>=h9k:1<7*=d382e<=i:m;1=:54o0ce>5<#:m81=l74n3f2>4><3f;ji7>5$3f1>4g>3g8o=7?6;:m2ea<72-8o>7?n9:l1`4<6i21d=lm50;&1`7<6i01e>i?51c98k4ge290/>i<51`;8j7b628i07b?n7;29 7b528k27c<k1;3g?>i61j0;6)<k2;3b=>h5l80:i65`18394?"5l;0:m45a2e395c=<a??26=4+2e0920><f;n:6=54i774>5<#:m81:864n3f2>4=<a??=6=4+2e0920><f;n:6?54i776>5<#:m81:864n3f2>6=<ahk1<7*=d38b=>h5l80;76gn8;29 7b52h30b?j>:098md0=83.9h?4n9:l1`4<532cj97>5$3f1>d?<f;n:6>54i`694?"5l;0j56`=d087?>of;3:1(?j=:`;8j7b62<10el<50;&1`7<f12d9h<49;:kb5?6=,;n96l74n3f2>2=<ah:1<7*=d38b=>h5l80376g6f;29 7b52h30b?j>:898m<c=83.9h?4n9:l1`4<f32c2h7>5$3f1>d?<f;n:6o54i8`94?"5l;0j56`=d08`?>o>i3:1(?j=:`;8j7b62m10e4750;&1`7<f12d9h<4j;:k:<?6=,;n96l74n3f2>c=<a0=1<7*=d38b=>h5l80:<65f9783>!4c:3k27c<k1;32?>o>=3:1(?j=:`;8j7b628807d7;:18'6a4=i01e>i?51298m<5=83.9h?4n9:l1`4<6<21b5?4?:%0g6?g>3g8o=7?:;:ka5?6=,;n96l74n3f2>40<3`h;6=4+2e09e<=i:m;1=:54i`d94?"5l;0j56`=d082<>=nil0;6)<k2;c:?k4c93;276gnd;29 7b52h30b?j>:0c8?lgd290/>i<5a89m6a7=9k10ell50;&1`7<f12d9h<4>c:9je2<72-8o>7o6;o0g5?7c32c2o7>5$3f1>d?<f;n:6<k4;h;2>5<#:m81m45a2e395c=<uz8nn7>53z\1ag=:?:>1>h74=616>7c>3ty=8o4?:8y]21d<5>9?6;:i;<500?03l27<?9494b9>362=>=h01:=::76e?814=3<?h63834850f=:?:?1:9l4}r7;>5<4sW?3709<4;6e?814=3>m7p}:6;297~X2>27<?94;d:?470<3l2wx984?:2y]10=:?:>18n5272790f=z{<>1<7=t^46892532=h01:=::5`8yv342908wS;<;<500?3>34=897;6;|q66?6=;rT>>6383586<>;0;<0>46s|5083>6}Y=816;>:5579>363==?1v8>50;1xZ06<5>9?68;4=616>03<uz>j6=4<{_6b?814<3??709<5;77?xubn3:1>vPjf:?471<6>01vhk50;0xZ`c<5>9?6lo4}rgg>5<5sWoo709<4;c;?xubk3:1>vPjc:?471<f>2wxio4?:3y]ag=:?:>1m85rsdc94?4|Vlk01:=;:`68yvc?2909wSk7;<500?g43tyn;7>52z\f3>;0;=0j>6s|e783>7}Ym?16;>:5a09~w`3=838pRh;4=617>4003tyn87>52z\f0>;0;=0j<6s|e283>7}Ym:16;>:59g9~w`4=838pRh<4=617><c<uzo:6=4={_g2?814<33o7p}j0;296~Xb827<?946b:p`c<72;qUhk527269=d=z{mn1<7<t^ef892532030q~jl:181[bd34=88777;|qgf?6=:rTon638358223=z{mk1<7<t^ec8925320=0q~j6:181[b>34=88779;|qg<?6=:rTo4638358:1>{tl>0;6?uQd69>362=1=1vi850;0xZa0<5>9?64=4}rf6>5<5sWn>709<4;;1?xuc<3:1>vPk4:?471<e92wx=<l50;0xZ47e34=887l?;|q25d<72;qU=<o4=617>4023ty:=44?:3y]54?<5>9?6lh4}r321?6=:rT:=8527269e`=z{8:j6=4={_33e>;0;=0jh6s|fg83>7}Yno16;>:5ab9~wc2=838pRk:4=617>dd<uzo26=4={_g:?814<3k<7p}ke;296~Xcm27<?946c:p`6<72;qUh>527269=4=z{8;36=4={_32<>;0;<0::45rs035>5<5sW;::638348be>{t98>1<7<t^037?814=3k37p}>1283>7}Y98901:=::`48yv76:3:1>vP>139>363=i<1v<?>:181[76927<?84n4:p546=838pR<??;<501?g43ty:<k4?:3y]55`<5>9>6l<4}r33a?6=:rT:<h527279e4=z{8:o6=4={_33`>;0;<0:::5rs02`>5<5sW;;o638348b4>{t99h1<7<t^02a?814=33m7p}>0883>7}Y99301:=::8g8yv7703:1>vP>099>363=1m1v<>8:181[77?27<?846b:p550=838pR<>9;<501??f3ty:<84?:3y]553<5>9>6474}r330?6=:rT:<9527279===z{8:86=4={_337>;0;<0::;5rs021>5<5sW;;>638348:3>{t99;1<7<t^022?814=33=7p}>0183>7}Y99:01:=::878yv`b2909wShj;<501??33tymh7>52z\e`>;0;<02?6s|fb83>7}Ynj16;>;5939~wcd=838pRkl4=616>g7<uzlj6=4={_db?814=3h;7p}i9;296~Xa127<?84>649~wc>=838pRk64=616>d`<uzl<6=4={_d4?814=3kn7p}i6;296~Xa>27<?84nd:pb0<72;qUj8527279ef=z{o91<7<t^g1892522hh0q~h=:181[`534=897o8;|qe5?6=:rTm=638348:g>{tn90;6?uQf19>363=181v?kj:180[4bm27<?94=eb9>363=:li0q~87:180[0?34=887;i;<501?3a3ty=:7>53z\52>;0;=0>h6383486`>{t><0;6>uQ649>362==j16;>;55b9~w32=839pR;:4=617>0d<5>9>68l4}r40>5<4sW<8709<4;4:?814=3<27p}92;297~X1:27<?9498:?470<102wx:<4?:2y]24=:?:>1:;52727923=z{?:1<7=t^72892532??01:=::778yv3f2908wS;n;<500?0334=8978;;|q4e?6=;rT<m6383584e>;0;<0<m6s|25d94?5|V;>m709<4;07b>;0;<098k5rs6d94?5|V>l01:=;:6d892522>l0q~<:0;297~X5=916;>:5242892522;?;7p}=2383>7}Y:;801:=;:0``?xu5:80;6?uQ2338925328kj7p}=2183>7}Y:;:01:=;:0c;?xu59o0;6?uQ20d8925328k=7p}=1d83>7}Y:8o01:=;:0c6?xu59m0;6?uQ20f8925328k?7p}=1c83>7}Y:8h01:=;:0c0?xu59h0;6?uQ20c8925328k97p}=1883>7}Y:8301:=;:0c2?xu5910;6?uQ20:8925328hj7p}=1683>7}Y:8=01:=;:0c3?xu59?0;6?uQ20489253283m7p}=1483>7}Y:8?01:=;:0;f?xu59=0;6?uQ20689253283o7p}=1283>7}Y:8901:=;:0;a?xu59;0;6?uQ20089253283j7p}=1183>7}Y:8:01:=;:0;:?xu58o0;6?uQ21d8925328337p}=0d83>7}Y:9o01:=;:0`:?xu58m0;6?uQ21f89253283<7p}=0b83>7}Y:9i01:=;:0;5?xu58k0;6?uQ21`89253283>7p}=0`83>7}Y:9k01:=;:0;7?xu5800;6?uQ21;8925328387p}=0983>7}Y:9201:=;:0;1?xu58>0;6?uQ2158925328h:7p}=4d83>7}Y:=o01:=;:0`3?xu5<m0;6?uQ25f8925328h37p}=4b83>7}Y:=i01:=;:0ce?xu5<10;6?uQ25:8925328kn7p}=3e83>7}Y::n01:=;:0cg?xu5;;0;6?uQ2208925328kh7p}=2683>7}Y:;=01:=;:0ca?xu59j0;6?uQ20a8925328k<7p}=1083>7}Y:8;01:=;:0;`?xu58?0;6?uQ21489253283:7p}=4c83>7}Y:=h01:=::0``?xu5<00;6?uQ25;8925228kj7p}=4683>7}Y:==01:=::0c;?xu5<?0;6?uQ2548925228k=7p}=4483>7}Y:=?01:=::0c6?xu5<=0;6?uQ2568925228k?7p}=4283>7}Y:=901:=::0c0?xu5<;0;6?uQ2508925228k97p}=4083>7}Y:=;01:=::0c2?xu5<90;6?uQ2528925228hj7p}=3g83>7}Y::l01:=::0c3?xu5;l0;6?uQ22g89252283m7p}=3b83>7}Y::i01:=::0;f?xu5;k0;6?uQ22`89252283o7p}=3`83>7}Y::k01:=::0;a?xu5;00;6?uQ22;89252283j7p}=3983>7}Y::201:=::0;:?xu5;>0;6?uQ2258925228337p}=3783>7}Y::<01:=::0`:?xu5;<0;6?uQ22789252283<7p}=3583>7}Y::>01:=::0;5?xu5;:0;6?uQ22189252283>7p}=3083>7}Y::;01:=::0;7?xu5;90;6?uQ2228925228387p}=2g83>7}Y:;l01:=::0;1?xu5:l0;6?uQ23g8925228h:7p}=2e83>7}Y:;n01:=::0`3?xu5:j0;6?uQ23a8925228h37p}=2c83>7}Y:;h01:=::0ce?xu5:h0;6?uQ23c8925228kn7p}=2883>7}Y:;301:=::0cg?xu5:10;6?uQ23:8925228kh7p}=2783>7}Y:;<01:=::0ca?xu5:<0;6?uQ2378925228k<7p}=2583>7}Y:;>01:=::0;`?xu5::0;6?uQ23189252283:7p}7e;29ff}:>??1>8m4^9g8Z7`43W8n>6P=e19]6a`<V;nn7S<kd:\1`f=Y:mh0R?jn;_0g=>;0;=09i;5272696`5<V8ho709<4;70?814<3?9709<4;72?814<3?;709<4;6b?814<3<8709<4;41?814<3<:709<4;43?814<3?j709<4;aa?814<3i2709<4;a4?814<3i=709<4;a6?814<3i?709<4;a0?814<3i9709<4;a2?814<3i;709<4;`e?814<3hn709<4;``?814<3hi709<4;`b?814<3h2709<4;`;?814<3h<709<4;`5?814<3h>709<4;`7?814<3h8709<4;f1?814<3n:709<4;f3?814<3im709<4;af?814<3io709<4;a`?814<3i3709<4;`g?814<3h9709<4;35a>;0;=0::n52726953d<5>9?6<8n;<500?02127<?949569>362=><<01:=;:776?[7d02T:h>5Q1eg8Z4c>3W;m86P>fg9]655<V;:?7S<?5:\2f`=Y9kl0R<m?;_3`5>X6k;1U=n=4^0a7?[7d=2T:o;5Q1b58Z4e>3W;hm6P>cc9]5fe<V8io7S?le:\2gc=Y9m:0R<j>;_3g6>X6l=1U=i;4^0f5?[7c?2T:h55Q1e;892522;o=709<5;0f7>X6lh16;>;5529>363==;16;>;5509>363==916;>;54`9>363=>:16;>;5639>363=>816;>;5619>363==h16;>;5cc9>363=k016;>;5c69>363=k?16;>;5c49>363=k=16;>;5c29>363=k;16;>;5c09>363=k916;>;5bg9>363=jl16;>;5bb9>363=jk16;>;5b`9>363=j016;>;5b99>363=j>16;>;5b79>363=j<16;>;5b59>363=j:16;>;5d39>363=l816;>;5d19>363=ko16;>;5cd9>363=km16;>;5cb9>363=k116;>;5be9>363=j;16;>;517g8925228<h709<5;35f>;0;<0::l52727920?<5>9>6;;8;<501?02>27<?849549]5ad<V8nh7S?kd:\2`c=Y9l:0R<k>;_3f6>X6m:1U=h:4^0g6?[7b>2T:i:5Q1d:8Z4cf3W;nn6P>eb9]5`b<V8on7S?jf:\2b5=Y9o;0R<h=;_3e7>X6n<1U=k84^0d4?[7a02T:j45Q1gc8Z4`e3W;mo6P>fe9]5cc<V;:;7S<?2:~f1?0290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn979:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1?2290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn97;:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1??290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9on:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9o7:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f1g0290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9om:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f150290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=9:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f152290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9=;:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f15?290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:n:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f12>290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:7:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f120290?6=4?{%0eb?4em2B=:95G65:8 7ec2>997d9<:188m<6=831b>k<50;9l6fe=831vn9:m:187>5<7s-8mj7<me:J521=O>=20(?mk:611?l142900e4>50;9j6c4=831d>nm50;9~f6`029086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn>kj:180>5<7s-8mj7<m8:J521=O>=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i6;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b=3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6cc29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a=3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j4;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kl:180>5<7s-8mj7<m8:J521=O>=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i4;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b;3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6ce29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a;3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j2;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>kn:180>5<7s-8mj7<m8:J521=O>=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i2;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5b93:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5a93:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=j0;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k7:180>5<7s-8mj7<m8:J521=O>=20(?8k:2a8m73a2900e?8?:188k7ed2900qo=i0;297?6=8r.9jk49629K232<@?>37d9<:188m7d32900c?ml:188yg5cn3:187>50z&1bc<5jl1C:;:4H76;?l142900e4>50;9j6c4=831d>nm50;9~f6c029086=4?{%0eb?4e02B=:95G65:8 70c2:i0e?;i:188m7072900c?ml:188yg5bn3:1?7>50z&1bc<1>:1C:;:4H76;?l142900e?l;:188k7ed2900qo=ke;290?6=8r.9jk4=bd9K232<@?>37d9<:188m<6=831b>k<50;9l6fe=831vn>k9:180>5<7s-8mj7<m8:J521=O>=20(?8k:2a8m73a2900e?8?:188k7ed2900qo:=2;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`764<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e<;:1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn9?i:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c62a?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd39m0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8<m50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53a>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26i3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=44?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm49g94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85j50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<1i1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a0=d=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm49c94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi85750;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<121<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a0=1=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm49494?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:m50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>h1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a02g=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm46;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8:650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e<>=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a020=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm46794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi8?650;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb506>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25n3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>o4?:583>5}#:ol1>894H747?M0302.9:i4l;h53>5<<a1h1<75f2e694?=h:m<1<75rb50b>5<3290;w)<if;063>N1>=1C:964$34g>f=n?90;66g7b;29?l4c<3:17b<k6;29?xd3m00;6>4?:1y'6c`=>?90D;8;;I47<>o0;3:17d<m4;29?j4dk3:17pl;e183>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm4d:94?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e<m=1<7:50;2x 7`a2;hn7E894:J50==n?:0;66g60;29?l4a:3:17b<lc;29?xd3lo0;6>4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e<l=1<7=50;2x 7`a2?<87E894:J50==n?:0;66g=b583>>i5kj0;66sm4e494?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d<i2;29?j4dk3:17pl;dd83>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm4d494?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e<m?1<7:50;2x 7`a2;hn7E894:J50==n?:0;66g60;29?l4a:3:17b<lc;29?xd3lm0;6>4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e<l?1<7=50;2x 7`a2?<87E894:J50==n?:0;66g=b583>>i5kj0;66sm4e694?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d<i2;29?j4dk3:17pl;db83>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm4d694?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e<m91<7:50;2x 7`a2;hn7E894:J50==n?:0;66g60;29?l4a:3:17b<lc;29?xd3lk0;6>4?:1y'6c`=:k20D;8;;I47<>"5>m08o6g=5g83>>o5>90;66a=cb83>>{e<l91<7=50;2x 7`a2?<87E894:J50==n?:0;66g=b583>>i5kj0;66sm4e094?2=83:p(?hi:3`f?M01<2B=855f7283>>o>83:17d<i2;29?j4dk3:17pl;d`83>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm4d094?5=83:p(?hi:740?M01<2B=855f7283>>o5j=0;66a=cb83>>{e<m;1<7:50;2x 7`a2;hn7E894:J50==n?:0;66g60;29?l4a:3:17b<lc;29?xd3m80;6>4?:1y'6c`=>?90D;8;;I47<>o0;3:17d<m4;29?j4dk3:17pl;d183>1<729q/>kh52cg8L3033A<?46g83;29?l?72900e?h=:188k7ed2900qo;?6;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`640<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e=9>1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8><:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c736?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd2880;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=>50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb5de>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2am3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?ji4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm55:94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99950;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==<1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a113=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm55694?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi99=50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e==81<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a16g=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm52;94?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>650;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:=1<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a160=83?1<7>t$3de>7313A<=86F9499'63b=;2c<<7>5;h52>5<<a1=1<75f8c83>>i5l?0;66sm52794?3=83:p(?hi:375?M01<2B=855+27f97>o083:17d9>:188m=1=831b4o4?::m1`3<722wi9>:50;794?6|,;lm6?;9;I450>N1<11/>;j53:k44?6=3`=:6=44i9594?=n0k0;66a=d783>>{e=:91<7;50;2x 7`a2;?=7E894:J50==#:?n1?6g80;29?l162900e5950;9j<g<722e9h;4?::a164=83?1<7>t$3de>73?3A<=86F9499'63b=k2c<<7>5;h52>5<<a1h1<75f2e694?=h:m<1<75rb40;>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<8=6=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f042290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb407>5<2290;w)<if;062>N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8<<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<<a1h1<75`2e494?=zj<896=4::183!4an38>:6F9659K21><,;<o6>5f7183>>o093:17d68:188m=d=831d>i850;9~f046290>6=4?{%0eb?4202B=:95G65:8 70c2j1b;=4?::k45?6=3`2i6=44i3f7>5<<g;n=6=44}c1a3?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4j?0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o;50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`7>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm3c394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk9jj7>54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8c83>1<729q/>kh52478L3033A<?46*=6e80?l172900e5950;9j<g<722e9h;4?::a7=g=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:226=4;:183!4an38>96F9659K21><,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=78;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<2<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;1<1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>6::187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c1;0?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd40:0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?4:50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;0>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>:3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85<4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm38294?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6>a290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk93i7>54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8e83>1<729q/>kh52478L3033A<?46*=6e80?l172900e5950;9j<g<722e9h;4?::a7=e=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<<a1h1<75`2e494?=zj:i;6=4;:183!4an38>96F9659K21><,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=mf;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0f`<72=0;6=u+2gd9603<@?<?7E8;8:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;kn1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>ll:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c1af?6=<3:1<v*=fg8110=O>?>0D;:7;%05`?5<a>:1<75f8683>>o?j3:17b<k6;29?xd4jh0;694?:1y'6c`=:<?0D;8;;I47<>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o750;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`;>5<3290;w)<if;061>N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;<n1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk9>o7>54;294~"5no09985G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?8l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=:a;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm34;94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c16<?6=<3:1<v*=fg8110=O>?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j<g<722e9h;4?::a701=83>1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg52>3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;:;1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk98<7>54;294~"5no09985G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi??h50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;<o6>5f7183>>o??3:17d6m:188k7b12900qo==e;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm33f94?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c11g?6=<3:1<v*=fg8110=O>?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j<g<722e9h;4?::a77d=83>1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg55i3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;;31<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk9<57>54;294~"5no09985G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?:650;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=87;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm36494?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c141?6=<3:1<v*=fg8110=O>?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j<g<722e9h;4?::a722=83>1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg50;3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;>81<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk9<=7>54;294~"5no09985G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?9=50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;<o6>5f7183>>o??3:17d6m:188k7b12900qo=;2;290?6=8r.9jk4=549K232<@?>37E8l;%0a`?4am2.9:i4<;h53>5<<a1=1<75f8c83>>i5l?0;66sm35394?2=83:p(?hi:376?M01<2B=855G6b9'6gb=:oo0(?8k:29j35<722c3;7>5;h:a>5<<g;n=6=44}c174?6=<3:1<v*=fg8110=O>?>0D;:7;I4`?!4el38mi6*=6e80?l172900e5950;9j<g<722e9h;4?::a76`=83>1<7>t$3de>7323A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g77;29?l>e2900c?j9:188yg54m3:187>50z&1bc<5=<1C:;:4H76;?M0d3-8ih7<ie:&12a<43`=;6=44i9594?=n0k0;66a=d783>>{e;:n1<7:50;2x 7`a2;?>7E894:J50==O>j1/>oj52gg8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<<uk98o7>54;294~"5no09985G6768L32?3A<h7)<md;0ea>"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?>l50;694?6|,;lm6?;:;I450>N1<11C:n5+2cf96cc<,;<o6>5f7183>>o??3:17d6m:188k7b12900qo;?7;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<<?6g=5g83>>o5>90;66a=cb83>>{e<1l1<7850;2x 7`a2;hi7E894:J50==#:?n1>?5+2e19225<a;?m6=44i343>5<<a;<:6=44i341>5<<a;<86=44o3a`>5<<uk<9o7>53;294~"5no09n55G6768L32?3-8=h7?:;%0g7?00l2c99k4?::k125<722e9on4?::a27b=8391<7>t$3de>7d?3A<=86F9499'63b=9<1/>i=566a8m73a2900e?8?:188k7ed2900qo8;1;297?6=8r.9jk4=b99K232<@?>37)<9d;36?!4c;3<<h6g=5g83>>o5>90;66a=cb83>>{e>==1<7=50;2x 7`a2;h37E894:J50==#:?n1=85+2e1922e<a;?m6=44i343>5<<g;ih6=44}c6b4?6==3:1<v*=fg81fd=O>?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`7=`<72<0;6=u+2gd96gg<@?<?7E8;8:&12a<4l2c99k4?::k125<722c9:<4?::k127<722e9on4?::a0<e=83?1<7>t$3de>7df3A<=86F9499'63b=;m1b>8h50;9j636=831b>;?50;9j634=831d>nm50;9~f1?f290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2f:3:1?7>50z&1bc<5j11C:;:4H76;?!41l39h7d<:f;29?l4183:17b<lc;29?xd3j:0;684?:1y'6c`=:kk0D;8;;I47<>"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<k;1<7;50;2x 7`a2;hj7E894:J50==#:?n1?i5f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=km6=4::183!4an38im6F9659K21><,;<o6>j4i37e>5<<a;<;6=44i342>5<<a;<96=44o3a`>5<<uk>i97>53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th?8=4?:483>5}#:ol1>oo4H747?M0302.9:i4<d:k11c<722c9:=4?::k124<722c9:?4?::m1gf<722wi8>k50;794?6|,;lm6?ln;I450>N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9=l:186>5<7s-8mj7<ma:J521=O>=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:<a;291?6=8r.9jk4=b`9K232<@?>37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;4383>6<729q/>kh52c:8L3033A<?46*=6e80g>o5=o0;66g=6183>>i5kj0;66sm44194?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:<l1<75f27294?=n:?;1<75f27094?=h:ji1<75rb572>5<2290;w)<if;0ae>N1>=1C:964$34g>6b<a;?m6=44i343>5<<a;<:6=44i341>5<<g;ih6=44}c67b?6==3:1<v*=fg81fd=O>?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`710<72:0;6=u+2gd96g><@?<?7E8;8:&12a<4k2c99k4?::k125<722e9on4?::a7cb=83>1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9>;:184>5<7s-8mj7<mc:J521=O>=20(?8k:2:8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=ka;290?6=8r.9jk4=b89K232<@?>37)<9d;6;?!4c;3<<<6g=5g83>>o5>90;66g=6083>>i5kj0;66sm3ea94?3=83:p(?hi:3`b?M01<2B=855+27f9<>"5l:0=;45f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj:no6=49:183!4an38in6F9659K21><,;<o645+2e1922?<a;?m6=44i343>5<<a;<:6=44i341>5<<a;<86=44o3a`>5<<uk9on7>54;294~"5no09n45G6768L32?3-8=h794$3f0>3173`8>j7>5;h054?6=3`8==7>5;n0`g?6=3th?:<4?:283>5}#:ol1>o64H747?M0302.9:i4<c:k11c<722c9:=4?::m1gf<722wi8;=50;694?6|,;lm6?l6;I450>N1<11/>;j5459'6a5=>190e?;i:188m7072900e?8>:188k7ed2900qo:94;291?6=8r.9jk4=b`9K232<@?>37)<9d;66?!4c;3<3?6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;>1<7:50;2x 7`a2;h27E894:J50==#:?n1:i5+2e192=2<a;?m6=44i343>5<<a;<:6=44o3a`>5<<uk>9h7>55;294~"5no09nl5G6768L32?3-8=h7=m;%0g7?0?<2c99k4?::k125<722c9:<4?::k127<722e9on4?::a075=83?1<7>t$3de>7df3A<=86F9499'63b=:81/>i=56938m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:=7;291?6=8r.9jk4=b`9K232<@?>37)<9d;00?!4c;3<3=6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<;31<7:50;2x 7`a2;h27E894:J50==#:?n1:l5+2e192=3<a;?m6=44i343>5<<a;<:6=44o3a`>5<<uk>hj7>57;294~"5no09nn5G6768L32?3-8=h7:6;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?ni4?:683>5}#:ol1>om4H747?M0302.9:i49;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?o:4?:583>5}#:ol1>o74H747?M0302.9:i4=8:k11c<722c9:=4?::k124<722e9on4?::a0f?=83>1<7>t$3de>7d>3A<=86F9499'63b=;;1b>8h50;9j636=831b>;?50;9l6fe=831vn9m9:180>5<7s-8mj7<m8:J521=O>=20(?8k:368m73a2900e?8?:188k7ed2900qo;?d;297?6=8r.9jk4=b99K232<@?>37)<9d;1`?l42n3:17d<90;29?j4dk3:17pl:0g83>1<729q/>kh52c;8L3033A<?46*=6e870>"5l:0=4?5f24d94?=n:?:1<75f27394?=h:ji1<75rb433>5<2290;w)<if;0ae>N1>=1C:964$34g>13<,;n86;6=;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th85;4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1?81b>8h50;9j636=831d>nm50;9~f6??290?6=4?{%0eb?4e12B=:95G65:8 70c2:o0(?j<:752?l42n3:17d<90;29?l4193:17b<lc;29?xd4kk0;6>4?:1y'6c`=:k20D;8;;I47<>"5>m0986g=5g83>>o5>90;66a=cb83>>{e;jn1<7:50;2x 7`a2;h27E894:J50==#:?n1?h5+2e19224<a;?m6=44i343>5<<a;<:6=44o3a`>5<<uk9;j7>53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00i2c99k4?::k125<722e9on4?::a75c=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566c8m73a2900e?8?:188k7ed2900qo=?d;297?6=8r.9jk4=b99K232<@?>37)<9d;07?l42n3:17d<90;29?j4dk3:17pl<0`83>6<729q/>kh52c:8L3033A<?46*=6e810>o5=o0;66g=6183>>i5kj0;66sm31a94?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::l4i37e>5<<a;<;6=44o3a`>5<<uk9;n7>53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00j2c99k4?::k125<722e9on4?::a751=8391<7>t$3de>7d?3A<=86F9499'63b=:=1/>i=566:8m73a2900e?8?:188k7ed2900qo=?6;297?6=8r.9jk4=b99K232<@?>37)<9d;07?!4c;3<<46g=5g83>>o5>90;66a=cb83>>{e;9?1<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e19221<a;?m6=44i343>5<<g;ih6=44}c130?6=;3:1<v*=fg81f==O>?>0D;:7;%05`?433-8o?7887:k11c<722c9:=4?::m1gf<722wi?=?50;194?6|,;lm6?l7;I450>N1<11/>;j5259'6a5=>>?0e?;i:188m7072900c?ml:188yg57;3:1?7>50z&1bc<5j11C:;:4H76;?!41l38?7)<k3;442>o5=o0;66g=6183>>i5kj0;66sm31094?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::84i37e>5<<a;<;6=44o3a`>5<<uk9;<7>53;294~"5no09n55G6768L32?3-8=h7<;;%0g7?00=2c99k4?::k125<722e9on4?::a7<?=83?1<7>t$3de>7df3A<=86F9499'63b=:?1/>i=566d8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=6b;292?6=8r.9jk4=bc9K232<@?>37)<9d;1e?!4c;3<<j6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm38f94?1=83:p(?hi:3``?M01<2B=855+27f962=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb2af>5<2290;w)<if;0ae>N1>=1C:964$34g>70<,;n86;6?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th8h=4?:783>5}#:ol1>ol4H747?M0302.9:i4<f:&1`6<1091b>8h50;9j636=831b>;?50;9j634=831b>;=50;9l6fe=831vn>m>:184>5<7s-8mj7<mc:J521=O>=20(?8k:358m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo=n4;293?6=8r.9jk4=bb9K232<@?>37)<9d;04?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl<c983>2<729q/>kh52ca8L3033A<?46*=6e813>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm38d94?1=83:p(?hi:3``?M01<2B=855+27f962=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb2c1>5<3290;w)<if;0a=>N1>=1C:964$34g>73<a;?m6=44i343>5<<a;<:6=44o3a`>5<<uk9j=7>57;294~"5no09nn5G6768L32?3-8=h7:?;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th8o>4?:683>5}#:ol1>om4H747?M0302.9:i4=7:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi?n850;694?6|,;lm6?l6;I450>N1<11/>;j5249'6a5=>>80e?;i:188m7072900e?8>:188k7ed2900qo=l5;293?6=8r.9jk4=bb9K232<@?>37)<9d;63?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17d<94;29?j4dk3:17pl;ae83>0<729q/>kh52cc8L3033A<?46*=6e8a?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;4e83>0<729q/>kh52cc8L3033A<?46*=6e8a?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;d983>6<729q/>kh52c:8L3033A<?46*=6e810>o5=o0;66g=6183>>i5kj0;66sm4e;94?5=83:p(?hi:3`;?M01<2B=855+27f961=n:<l1<75f27294?=h:ji1<75rb5aa>5<2290;w)<if;0ae>N1>=1C:964$34g>65<a;?m6=44i343>5<<a;<:6=44i341>5<<g;ih6=44}c6`g?6=>3:1<v*=fg81fg=O>?>0D;:7;%05`?4>3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;n0`g?6=3th?oi4?:683>5}#:ol1>om4H747?M0302.9:i4=a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8no50;194?6|,;lm6?l7;I450>N1<11/>;j5359j60`=831b>;>50;9l6fe=831vn9mj:184>5<7s-8mj7<mc:J521=O>=20(?8k:3c8m73a2900e?8?:188m7062900e?8=:188m7042900e?8;:188k7ed2900qo::8;293?6=8r.9jk4=bb9K232<@?>37)<9d;06f>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gd94?1=83:p(?hi:3``?M01<2B=855+27f9e>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3gg94?5=83:p(?hi:3`;?M01<2B=855+27f971=n:<l1<75f27294?=h:ji1<75rb521>5<0290;w)<if;0ag>N1>=1C:964$34g>40<a;?m6=44i343>5<<a;<:6=44i341>5<<a;<86=44i347>5<<g;ih6=44}c632?6==3:1<v*=fg81fd=O>?>0D;:7;%05`?523`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`74<<72>0;6=u+2gd96ge<@?<?7E8;8:&12a<f3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`742<72?0;6=u+2gd96gd<@?<?7E8;8:&12a<4>2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8=l50;594?6|,;lm6?ll;I450>N1<11/>;j5a:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi8=650;494?6|,;lm6?lm;I450>N1<11/>;j5379j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f16c290<6=4?{%0eb?4ek2B=:95G65:8 70c2:=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg21:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l32m7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b<lc;29?xd28l0;6:4?:1y'6c`=:ki0D;8;;I47<>"5>m03j6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e;921<7=50;2x 7`a2;h37E894:J50==#:?n1>95+2e19222<a;?m6=44i343>5<<g;ih6=44}c413?6=>3:1<v*=fg81fg=O>?>0D;:7;%05`?42i2.9h>49849j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f13a290=6=4?{%0eb?4ej2B=:95G65:8 70c2=<0(?j<:75f?l42n3:17d<90;29?l4193:17d<92;29?l41;3:17b<lc;29?xd3>90;6:4?:1y'6c`=:ki0D;8;;I47<>"5>m0?;6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<<o1<7;50;2x 7`a2;hj7E894:J50==#:?n1885+2e1922c<a;?m6=44i343>5<<a;<:6=44i341>5<<g;ih6=44}c66`?6=<3:1<v*=fg81f<=O>?>0D;:7;%05`?233-8o?789f:k11c<722c9:=4?::k124<722e9on4?::a00e=8391<7>t$3de>7d?3A<=86F9499'63b=;j1/>i=567d8m73a2900e?8?:188k7ed2900qo;?b;292?6=8r.9jk4=bc9K232<@?>37)<9d;65?!4c;3<=i6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm51a94?1=83:p(?hi:3``?M01<2B=855+27f902=n:<l1<75f27294?=n:?;1<75f27094?=n:?91<75f27694?=h:ji1<75rb42b>5<2290;w)<if;0ae>N1>=1C:964$34g>13<,;n86;8j;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th><44?:583>5}#:ol1>o74H747?M0302.9:i4;4:k11c<722c9:=4?::k124<722e9on4?::a15>=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f66>29086=4?{%0eb?4e02B=:95G65:8 70c2:i0(?j<:757?l42n3:17d<90;29?j4dk3:17pl;c483>7<729q/>kh52c18L3033A<?46g=5d83>>i5kj0;66sm55c94?4=83:p(?hi:3`0?M01<2B=855f24g94?=h:ji1<75rb70a>5<5290;w)<if;0a7>N1>=1C:964i37f>5<<g;ih6=44}c77g?6=:3:1<v*=fg81f6=O>?>0D;:7;h06a?6=3f8ho7>5;|q40<<72>qU;974=70e>7b334<8<7<k4:?574<5l=16:9<52e68935d2;n?708=e;:4?xu5k80;6ou267496fc<5?8>6?k:;<411?4b027?59483:?7e2<0;27??9483:?702<0;278hh483:?0ac<0;27?h=483:?7a4<0;2wx>n<50;4f801=38>o6392g8;f>;1;h03n6393c8;f>;1;<03n639378;f>;1;>03n6392481ag=:>;?1:8k4=706>33d34<9978:b:?560<1=h16:?;564;893422??<708=5;462>;1:<0=98526379537<5?8>6<;i;<411?72l27=>84>5b9>273=9<h01;<::07b?805=3;>563924821==:>;?1=894=706>43134<997?:5:?560<6==16:?;51408934228?:708=5;364>;1:<0:8k52637951c<5?8>6<:k;<411?73k27=>84>4c9>273=9=k01;<::06:?805=3;?;639248203=:>;?1=9;4=706>42334<997?;3:?560<6<;16:?;51538934228>;708=5;30b>;1:<0:?h52637956e<5?8>6<=m;<411?74i27=>84>389>273=9:201;<::014?805=3;8:639248270=:>;?1=>:4=706>45434<997?<1:?560<6;916:?;513d89342288n708=5;31`>;1:<0:>n52637957d<5?8>6<<n;<411?75127=>84>299>273=9;<01;<::006?805=3;98639248266=:>;?1=?<4=706>44634<997?=0:?560<69o16:?;510g8934228;o708=5;350>;1:<0::>526379534<5?8>6<;j;<411?72;27=>84>499>273=9:n01;<::011?805=3;9;63924825f=:>;?1>o<4=706>7d634>2477?;<6:0??734>2977?;<6:2??734>2;77?;<6bf??734>j;77?;<6b<??734>j577?;<6be??734>8477?;<600??734>8977?;<602??734>8;77?;<67f??734>?;77?;<67<??734>?577?;<67e??73ty8;l4?:5y>7=5=:m<0197n:342?82fl38==63;d9811c=z{:=i6=4;{<1;0?4c>27?5l4=5g9>0db=:<l019j6:37e?xu4?j0;69u239796a0<5=3h6?8>;<6bb?41927?hl4=5g9~w61c290?w0=76;0g2>;31j099k524`d960`<5=ni6?;i;|q03`<72=q68im5272896>02;n=70:6e;055>;3j809:<5rs25e>5<3s4>oh7<90:?0<=<5l?1684k524d891d62;?m7p}<8183>1}:<mo1>;>4=2::>7b134>j<7<91:?7f6<5>81v>6>:18782cn38=<63<8`81`3=:<h:1>8h4=5`0>73a3ty84?4?:5y>0`6=:?:01>6m:3f5?82f:38>j63;b4811c=z{:k=6=4:{<1f2?42n278hh460:?0ec<5l?168>o52708912c2;<97p}<a683>0}:;l=1>8h4=2fe><6<5:h;6?j9;<60e?41827?8i4=619~w6g?290>w0=j8;06b>;4m902<63<b081`3=:<:i1>;<4=56e>7053ty8m44?:4y>7`?=:<l01>k>:82896d52;n=70:<c;054>;3<o09:=5rs2cb>5<2s49nm7<:f:?0a7<>8278n>4=d79>06c=:?8019;>:341?xu4ik0;68u23d`960`<5:o864>4=2`7>7b134>8i7<90:?714<5>91v>ol:18685bk38>j63<e58:4>;4j<09h;524529634<5=?86?8=;|q0ea<72<q6?hj524d896c220:01>l9:3f5?823838=<63;528125=z{:kn6=4;{<1fa?42n278n:4=d79>014=:?:019;::343?xu1:h0;6?<t=706>33334<9978:2:?560<1=816:?;5642893422?>m708=5;47`>;1:<0=8n52637921d<5?8>6?:i;<715?1634?9>79>;<717?1634?9879>;<711?1634?9:79>;<713?1634?9479>;<706?1634?8?79>;<700?1634?8979>;<702?1634?8;79>;<70<?1634?8579>;<70e?1634??>79>;<777?1634??879>;<771?1634??:79>;<773?1634??479>;<733?4dk2wx8oo50;0x91df2;n=7S9=e:p0c5=838pR::k;<6e`?4c>2wx8k:50;0xZ22b34>mi7<k6:p0c3=838pR::i;<6eb?4c>2wx8k850;0xZ23734?;<7<k6:p0c1=838pR:;>;<735?4c>2wx8k650;0xZ23534?;>7<k6:p0c?=838pR:;<;<737?4c>2wx8ko50;0xZ23334?;87<k6:p0cd=838pR:;:;<731?4c>2wx8km50;0xZ23034?;:7<k6:p16d=83<p1;<::43891b520:018:=:3f5?857i38>j63<088125=:<mk1>;>4}r70g?6=>r7=>84:2:?7`6<>827>8>4=d79>75g=:?:01>>m:37e?82cj38=<6s|52f94?0|5?8>68=4=5f`>73a34>o877?;<770?4c>278<n4=5g9>75d=:?:0q~;<e;292~;1:<0>863;de811c=:<m?15=5255796a0<5::o6?;i;<13g?4182wx9>h50;4x93422<?019jj:37e?82c>33;70;;6;0g2>;48l099k5231f9636<uz??<7>56z?560<2>27?hk4=5g9>0a1=191699952e48966a2;?m70=?e;054>{t==;1<7;t=706>0><5=o;6?;i;<77<?4c>278o=47b:?04c<5>91v;<7:1826~;3?<0<=63;77845>;3?>0<=63;79845>;3?00<=63;7`845>;3?k0<=63;7b845>;30?0<=63;86845>;3010<=63;88845>;30h0<=63;8c845>;30j0<=63;8e845>;30l0<=63;2281gf=z{?826=4;9z?6=0<0927>5;481:?6e4<0927>mn481:?6f2<0927>o?481:?6ga<0927>h5481:?6`g<0927>hn481:?6=2<0927>55481:?6=<<0927>5l481:?6=g<0927>5n481:?6=a<0927>5h481:?6=c<0927>m=481:?6e7<0927>m>481:?6e1<0927>m8481:?6e3<0927>m:481:?6e=<0927>m4481:?6ed<0927>mo481:?6ea<0927>mh481:?6ec<0927>n=481:?6f4<0927>n?481:?6f6<0927>n9481:?6f0<0927>n;481:?6f=<0927>n4481:?6fd<0927>no481:?6ff<0927>ni481:?6f`<0927>nk481:?6g5<0927>o<481:?6g6<0927>o9481:?6g0<0927>o;481:?6g2<0927>o5481:?6g<<0927>ol481:?6gg<0927>on481:?6g`<0927>ok481:?6`5<0927>h<481:?6`7<0927>h>481:?6`1<0927>h8481:?6`3<0927>h:481:?6`<<0927>hl481:?761<5kj1v9;n:186822i38o:63;22811c=:<;=1>8h4=57;>70734<9;7<:f:p07d=838pR:<:;<61f?4c>2wx8=h50;0xZ25?34>:57<k6:p046=838pR:=6;<62e?4c>2wx8<?50;0xZ25f34>:n7<k6:p044=838pR:=m;<62g?4c>2wx8<=50;0xZ25d34>:h7<k6:p042=838pR:=k;<62a?4c>2wx8<;50;0xZ25b34>:j7<k6:p040=838pR:=i;<614?4c>2wx8<950;0xZ22734>9=7<k6:p04>=838pR::=;<616?4c>2wx8:j50;fx93422<k01>k9:343?82?>38o:63;3`8124=:;9:1>8h4=56g>70634>=>7<90:?71c<5>9168;>52728913b2;<;70::d;054>;3=j09:=5263`960c<uz><i7>56z?560<18278i:4=619>0=1=:m<019=n:37e?857938>j63<018125=z{==m6=49{<411?06349n47<90:?7<=<5l?168>m5273896662;<;70=?2;06b>{t<1:1<78t=706>34<5:o26?8?;<6;=?4c>27??n4=5g9>755=:<l01>>=:343?xu3080;6;u2637926=:;lk1>;>4=5:b>7b134>8i7<91:?041<5=o16?==52728yv2?:3:1:v3924850>;4mk09:=5249`96a0<5=9n6?;i;<131?42n278<94=619~w1>4290=w08=5;46?85bk38=<63;8b81`3=:<=:1>;?4=225>73a349;97<90:p0=2=83<p1;<::74896cc2;<;70:7d;0g2>;3<9099k52315960`<5::=6?8?;|q7<0<72?q6:?;5699>7`c=:?:0196j:3f5?85><32i70:;2;06b>;48>09:=5rs46g>5<5sW<oo63:9481`3=z{<?36=4={_4f3>;21?09h;5rs440>5<5sW<m>63:a081`3=z{<<n6=4={_4e`>;2ij09h;5rs45:>5<5sW=;463:b681`3=z{<2?6=4={_527>;2k;09h;5rs4:e>5<5sW=:i63:ce81`3=z{<396=4={_516>;2l109h;5rs4;0>5<5sW=9?63:dc81`3=z{<3?6=4={_510>;2lj09h;5rs46f>5<5sW<oh63:9681`3=z{<>m6=4={_4ga>;21109h;5rs473>5<5sW<oj63:9881`3=z{<?:6=4={_4f4>;21h09h;5rs471>5<5sW<n=63:9c81`3=z{<?86=4={_4f6>;21j09h;5rs477>5<5sW<n?63:9e81`3=z{<?>6=4={_4f0>;21l09h;5rs475>5<5sW<n963:9g81`3=z{<?<6=4={_4f2>;2i909h;5rs47:>5<5sW<n463:a381`3=z{<?j6=4={_4f=>;2i:09h;5rs47a>5<5sW<nm63:a581`3=z{<?h6=4={_4ff>;2i<09h;5rs47g>5<5sW<no63:a781`3=z{<?n6=4={_4f`>;2i>09h;5rs47e>5<5sW<ni63:a981`3=z{<<;6=4={_4fb>;2i009h;5rs442>5<5sW<m<63:a`81`3=z{<<96=4={_4e5>;2ik09h;5rs447>5<5sW<m?63:ae81`3=z{<<>6=4={_4e0>;2il09h;5rs445>5<5sW<m963:ag81`3=z{<<<6=4={_4e2>;2j909h;5rs44;>5<5sW<m;63:b081`3=z{<<26=4={_4e<>;2j;09h;5rs44b>5<5sW<m563:b281`3=z{<<i6=4={_4ee>;2j=09h;5rs44`>5<5sW<mn63:b481`3=z{<<o6=4={_4eg>;2j?09h;5rs44e>5<5sW<mi63:b981`3=z{<=;6=4={_4eb>;2j009h;5rs452>5<5sW=;<63:b`81`3=z{<=96=4={_535>;2jk09h;5rs450>5<5sW=;>63:bb81`3=z{<=?6=4={_537>;2jm09h;5rs456>5<5sW=;863:bd81`3=z{<==6=4={_531>;2jo09h;5rs454>5<5sW=;:63:c181`3=z{<=36=4={_533>;2k809h;5rs45b>5<5sW=;563:c281`3=z{<=i6=4={_53e>;2k=09h;5rs45`>5<5sW=;n63:c481`3=z{<=o6=4={_53g>;2k?09h;5rs45f>5<5sW=;h63:c681`3=z{<=m6=4={_53a>;2k109h;5rs4:3>5<5sW=;j63:c881`3=z{<2:6=4={_524>;2kh09h;5rs4:1>5<5sW=:=63:cc81`3=z{<286=4={_526>;2kj09h;5rs4:6>5<5sW=:863:cd81`3=z{<2=6=4={_521>;2ko09h;5rs4:4>5<5sW=::63:d181`3=z{<236=4={_523>;2l809h;5rs4::>5<5sW=:463:d381`3=z{<2j6=4={_52=>;2l:09h;5rs4:a>5<5sW=:m63:d581`3=z{<2h6=4={_52f>;2l<09h;5rs4:g>5<5sW=:o63:d781`3=z{<2n6=4={_52`>;2l>09h;5rs4;3>5<5sW=:j63:d881`3=z{<3:6=4={_515>;2lh09h;5rs713>5<3s4>i57<k4:?7fd<5l=16:>?58c9>266=:m<0q~8=e;296~;1:l09h;5249d960`<uz<887>523y>00g=:m>01;=8:3f5?826132<70:>a;:4?826j32<70:>c;:4?826l32<70:>e;:4?826n32<70:=0;:4?825932<70:=2;:4?820=32<70:86;:4?820?32<70:88;:4?820132<70:8a;:4?820j32<70:8c;:4?82?>32<70:77;:4?82?032<70:79;:4?82?i32<70:7b;:4?82?k32<70:7d;:4?82?m32<70:=a;0g0>;3:k09h95243d9<2=:<;?14:5243:9<2=z{?986=4=5z?573<5l?16?5m5869>7=b=0>16?5k5869>7=`=0>16?4>5869>7<7=0>16?4<5869>7<5=0>16?4:5869>7d`=0>16?o>5869>7g7=0>16?o<5869>7g5=0>16?o:5869>7g3=0>16?o85869>7g1=0>16?885869>701=0>16?865869>70?=0>16?8o5869>70d=0>16?8m5869>70b=0>16?8k5869>77?=0>16??o5869>77d=0>16??m5869>77b=0>16??k5869>77`=0>16?>>5869>767=0>1v;==:187f~;1;<09h;5258796a1<5<3=6?j8;<7b5?4c?27>mn4=d69>1g1=:m=018m=:3f4?83dl38o;63:d981`2=:=mh1>i94=4f`>7b034?2;7<k7:?6==<5l>1694752e5890?f2;n<70;6b;0g3>;21j09h:5258f96a1<5<3n6?j8;<7:b?4c?27>m=4=d69>1d4=:m=018o<:3f4?83f<38o;63:a481`2=:=h<1>i94=4c4>7b034?j47<k7:?6e<<5l>169lo52e5890ge2;n<70;nd;0g3>;2il09h:525`d96a1<5<h;6?j8;<7a5?4c?27>n?4=d69>1g5=:m=018l;:3f4?83e=38o;63:b781`2=:=k21>i94=4`:>7b034?im7<k7:?6fg<5l>169om52e5890dc2;n<70;me;0g3>;2jo09h:525b296a1<5<i:6?j8;<7`7?4c?27>o94=d69>1f3=:m=018m9:3f4?83d?38o;63:c981`2=:=j31>i94=4ab>7b034?hn7<k7:?6gf<5l>169nk52e5890ea2;n<70;k0;0g3>;2l809h:525e096a1<5<n86?j8;<7g0?4c?27>h84=d69>1a0=:m=018j8:3f4?83c138o;63:d`81`2=:>;?1>hk4=704>7043ty=?44?:31x935e2;n=70:id;:4?82am32<70:if;:4?837832<70;?1;:4?837:32<70;?3;:4?837<32<70;?5;:4?837>32<70;=1;0g0>;2:;03;63:228;3>;2:=03;63:248;3>;2:?03;63:268;3>;2:103;63:3381`1=:=:914:525269<2=:=:?14:525249<2=:=:=14:5252:9<2=:=:314:5252c9<2=:==814:525519<2=:==>14:525579<2=:==<14:525559<2=:==214:5rs71;>5<5=r7=?l4=d79>7g>=0>16?o75869>7gg=0>16?ol5869>7ge=0>16?oj5869>7gc=0>16?oh5869>7f6=0>16?5=5869>7=2=0>16?5;5869>7=0=0>16?595869>7=>=0>16?575869>7=g=0>16?5l5869>727=0>16?:<5869>725=0>16?::5869>723=0>16?:85869>721=0>16?:65869>72?=0>16?>l5869>76e=0>16?>j5869>76c=0>16?>h5869>716=0>16?9?5869>714=0>16?9=5869~w23>2908wS9:9:?642<5=o1685h52708yv13k3:19>uQ75a891d>2>:019ln:628934a2>:01;:;:628935f2>:01;=m:62893572>:01;:::62893562>:01;:=:628934b2>:01;<::6c891`c2>:019hj:62891`a2>:018>?:62890662>:018>=:62890642>:018>;:62890622>:018>9:62890462>:018<=:62890442>:018<;:62890422>:018<9:62890402>:018<7:62890552>:018=<:62890532>:018=::62890512>:018=8:628905?2>:018=6:628905f2>:018:=:62890242>:018:;:62890222>:018:9:62890202>:018:7:62896d?2>:01>l6:62896df2>:01>lm:62896dd2>:01>lk:62896db2>:01>li:62896e72>:01>6<:62896>32>:01>6::62896>12>:01>68:62896>?2>:01>66:62896>f2>:01>6m:62896162>:01>9=:62896142>:01>9;:62896122>:01>99:62896102>:01>97:628961>2>:01>=m:628965d2>:01>=k:628965b2>:01>=i:62896272>:01>:>:62896252>:01>:<:628yv13<3:14vP8459>07b=:?8019<<:341?825?38=>63;288124=:<<21>;<4=704>70534>987<:f:p361=833>wS9<7:?71d<0827=?h480:?570<0827=?;480:?572<0827=?k480:?57f<0827>58480:?6=3<0827>m<480:?6ef<0827>n:480:?6g7<0827>oi480:?6`=<0827>ho480:?6`f<0827>5:480:?6==<0827>54480:?6=d<0827>5o480:?6=f<0827>5i480:?6=`<0827>5k480:?6e5<0827>m?480:?6e6<0827>m9480:?6e0<0827>m;480:?6e2<0827>m5480:?6e<<0827>ml480:?6eg<0827>mi480:?6e`<0827>mk480:?6f5<0827>n<480:?6f7<0827>n>480:?6f1<0827>n8480:?6f3<0827>n5480:?6f<<0827>nl480:?6fg<0827>nn480:?6fa<0827>nh480:?6fc<0827>o=480:?6g4<0827>o>480:?6g1<0827>o8480:?6g3<0827>o:480:?6g=<0827>o4480:?6gd<0827>oo480:?6gf<0827>oh480:?6gc<0827>h=480:?6`4<0827>h?480:?6`6<0827>h9480:?6`0<0827>h;480:?6`2<0827>h4480:?6`d<0827=>848f:?75<<0827?=l480:?75g<0827?=n480:?75a<0827?=h480:?75c<0827?>=480:?764<0827?>?480:?730<0827?;;480:?732<0827?;5480:?73<<0827?;l480:?73g<0827?;n480:?7<3<0827?4:480:?7<=<0827?44480:?7<d<0827?4o480:?7<f<0827?4i480:?7<`<0827?>l480:?76g<0827?>k480:?760<0827?>5480:?0<f<082784i480:?0<`<082784k480:?0=5<082785<480:?0=7<082785>480:?0=1<08278mk480:?0f5<08278n<480:?0f7<08278n>480:?0f1<08278n8480:?0f3<08278n:480:?013<082789:480:?01=<0827894480:?01d<082789o480:?01f<082789i480:?01`<08278>4480:?06d<08278>o480:?06f<08278>i480:?06`<08278>k480:?075<08278?<480:p2<5=838pR;7<;<411?b43ty=5h4?:3y]2<c<5?8>6ik4}r4b=?6=:rT=m4526379a<=z{?h?6=4={_4a0>;1:<0m86s|6cd94?4|V?hm708=5;de?xu1kh0;6?uQ6bc8934228:j7p}9d483>7}Y>m?01;<::036?xu1l00;6?uQ6e;8934228;27p}9d`83>7}Y>mk01;<::03b?xu1lk0;6?uQ6e`8934228;i7p}99583>7}Y>0>01;<::e68yv0>=3:1>vP9949>273=l<1v;79:181[0>>27=>84k6:p2<1=838pR;78;<411?b03ty=554?:3y]2<><5?8>6i64}r4:=?6=:rT=54526379`<=z{?3j6=4={_4:e>;1:<0om6s|68`94?4|V?3i708=5;fa?xu11j0;6?uQ68a893422mi0q~86d;296~X11m16:?;5de9~w3?a2909wS86f:?560<cn2wx:l>50;0xZ3g734<997k?;|q5e4<72;qU:l?4=706>`7<uz<j>7>52z\5e7=:>;?1i?5rs7c0>5<5sW<j?639248f7>{t>h>1<7<t^7c7?805=3o?7p}9a483>7}Y>h?01;<::d78yv0f>3:1>vP9a79>273=m?1v;o8:181[0f?27=>84j7:p2d>=838pR;o7;<411?c?3ty=ml4?:3y]2dg<5?8>6ho4}r4bf?6=:rT=mo526379ag=z{?kh6=4={_4bg>;1:<0no6s|6`f94?4|V?ko708=5;gg?xu1il0;6?uQ6`g893422lo0q~8nf;296~X1io16:?;5eg9~w3d72909wS8m0:?560<a82wx:o?50;0xZ3d634<997h>;|q5f7<72;qU:o<4=706>c4<uz<i?7>52z\5f6=:>;?1j>5rs7`6>5<5sW<i9639248e1>{t>k<1<7<t^7`5?805=3l=7p}9b683>7}Y>k=01;<::g58yv0e03:1>vP9b99>273=n11v;l6:181[0e127=>84i9:p2gg=838pR;ln;<411?`f3ty=no4?:3y]2gd<5?8>6kl4}r4ag?6=:rT=nn526379bf=z{?ho6=4={_4a`>;1:<0mh6s|6cg94?4|V?hn708=5;df?xu1k90;6?uQ6b28934228:;7p}9c083>7}Y>j;01;<::022?xu1k;0;6?uQ6b08934228:97p}9c283>7}Y>j901;<::020?xu1k=0;6?uQ6b68934228:?7p}9c483>7}Y>j?01;<::026?xu1k?0;6?uQ6b48934228:=7p}9c683>7}Y>j=01;<::024?xu1k10;6?uQ6b:8934228:37p}9c883>7}Y>j301;<::02:?xu1kk0;6?uQ6b`8934228:i7p}9cb83>7}Y>ji01;<::02`?xu1km0;6?uQ6bf8934228:o7p}9cd83>7}Y>jo01;<::02f?xu1ko0;6?uQ6bd8934228:m7p}9d183>7}Y>m:01;<::033?xu1l80;6?uQ6e38934228;:7p}9d383>7}Y>m801;<::031?xu1l:0;6?uQ6e18934228;87p}9d583>7}Y>m>01;<::037?xu1l?0;6?uQ6e48934228;=7p}9d983>7}Y>m201;<::03;?xu3:o0;6ou243d96a0<5=:?6?;i;<1ge?42n278hn4=619>7ab=:?:01>jm:37e?85an38>j63<fd8125=:<931>8h4=52a>73a34>;47<:f:p073=83;9w0:=5;0g2>;38=09:=523ec9636<5:nh6?;i;<1g`?42n278ho4=619>07b=:?;019<<:342?825?38=<63;28811c=:<<21>;=4=2de>707349mi7<:f:?74<<5>9168=l5272893402;<:70:=4;055>;38109:=5rs57a>5<5s4>3:76m;<41f?4dk2wx8;;50;;x91122;n=70:77;:a?823l38>j63;638127=:<<l1>;?4=543>70634>>i7<91:?71a<5>81688m524d8yv21>3:14v3;7781`3=:<1214o5245d9637<5=<96?8>;<66b?41:27?:=4=639>00c=:?8019;k:37e?xu3>>0;6:u246596a0<5=2265l4=56e>73a34>=>7<93:?71c<5>:168;>52718913b2;?m7p};6983>3}:<>21>i84=5:b>=d<5=?:6?8>;<656?41<27?9k4=5g9>036=:?>0q~:99;291~;3?009h;5249`9<g=:<<;1>8h4=541>73a34>=<7<:f:p03g=83<p199n:3f5?82?k32i70::3;055>;3>8099k524719637<5=<?6?8>;|q72g<72<q68:l52e4891>c21h019;<:37e?821;38>j63;658127=z{=<h6=4;{<64g?4c>27?4h47b:?710<5=o168;:524d8yv22?3:1>v3;5`8;f>;3=109on5rs57:>5<5s4>?n7<lc:?71=<5=o1v9;9:181824038ho63;598121=z{<;:6=4k{<715?4c>27>?>47b:?7ea<5>9169=k52708966?2;?m70;?b;055>;28j09:<5251c9637<5<:26?8>;<73<?42n278<44=5g9>11e=:<o019j6:343?xu29;0;65u253096a0<5<9?65l4=5ce>70534?;i7<91:?64g<5>;169=m52708906f2;<970;?9;06b>{t=891<79t=400>7b134?8976m;<6bb?41827><h4=629>15d=:?9018>l:340?837i38>j6s|50694?0|5<8?6?j9;<702?>e34>i=7<92:?64`<5>=169=l524d8906d2;<?7p}:1483>0}:=;?1>i84=414>=d<5=h:6?8?;<73a?42n27><n4=5g9~w071290=w0;=6;0g2>;2;103n63;b28127=:=9n1>8h4=42e>70634?:<7<91:p141=83?p18<8:3f5?834132i70:m3;054>;28o099k525029634<uz?:47>54z?66=<5l?169>o58c9>0g3=:?:018??:37e?xu2:00;6nu252096a0<5=3j6?8=;<6b`?41:27><h4=619>75>=:?:018>m:343?837k38=<63:0`8125=:=931>;>4=42;>70734??m7<:e:?7`=<5>91v8<n:181834;38o:63;9`8125=z{<8i6=4<{<700?4c>27>8?47b:?7=f<5>;1v8<l:180834=38o:63:428;f>;31j09:=5rs40g>5<4s4?8:7<k6:?601<?j27?5h4=639~w04b2908w0;<7;0g2>;2<<03n63;9d8125=z{<8m6=4<{<70<?4c>27>8;47b:?7e5<5>;1v8=?:180834138o:63:468;f>;3i909:=5rs412>5<4s4?8m7<k6:?60=<?j27?m?4=619~w1d>2908w0:m9;0g2>;28>09:=5249d9637<uz>i;7>53z?7f<<?j27?nl47b:?7<c<5kj1v9l7:18182fj38ho63;8g8125=z{=h=6=4={<6:<?4dk27?4k4=629~w35c2909w08<c;:a?805k38ho6s|65294?2|5?9>6?j;;<402?4c<27=?:4=d59>217=:ji0q~8;3;296~;1<;03n6392e81gf=z{?>=6=4<{<40e?4c<27=?o4=d59>211=:ji0q~8<f;296~;1;o09h;526539636<uz<8i7>53z?57c<?j27=?h4=d79>27e=:?:0q~8<c;290~;1;l03n6393b81`3=:>;i1>8h4=762>73a3ty=884?:3y>213=:m<01;:8:343?xu1<=0;6>u26579<g=:>=>1>i84=70g>7073ty=8?4?:5y>212=0k16:9<52e48934c2;?m708;7;06b>{t>:;1<7<t=70f>=d<5?9:6?j9;|q56c<72;q6:>>58c9>27`=:m<0q~8=6;296~;1:<099=5263596fe<uz?oh7>52z?6=0<?j27=>84=079~w0c?2909w0;66;:a?805=38:=6s|5g194?4|5<k:65l4=706>77d3ty>jh4?:3y>1de=0k16:?;52358yv0713:1>v3:b68;f>;1:<09??5rs737>5<5s4?h>76m;<411?44l2wx:<h50;0x90ec21h01;<::36;?xu1:;0;6?u25e:9<g=:>;?1>9m4}r417?6=:r7>ho47b:?560<5<m1v;<;:18183ck32i708=5;07a>{t=mo1<7<t=4;4>=d<5?8>6?>8;|q6`c<72;q694658c9>273=:920q~;j0;296~;21003n63924814<=z{<o:6=4={<7:e?>e34<997<?a:p1`4=838p187m:9`893422;:i7p}:e283>7}:=0i14o52637965e<uz?n87>52z?6=a<?j27=>84=0e9~w0c22909w0;6e;:a?805=38;i6s|5d494?4|5<3m65l4=706>76a3ty>i:4?:3y>1d6=0k16:?;52028yv3b13:1>v3:a38;f>;1:<09=?5rs4gb>5<5s4?j?76m;<411?46;2wx9hl50;0x90g321h01;<::337?xu2mj0;6?u25`79<g=:>;?1><;4}r7f`?6=:r7>m;47b:?560<59?1v8kj:18183f?32i708=5;023>{t=ll1<7<t=4c;>=d<5?8>6??7;|q6b5<72;q69l758c9>273=:830q~;i1;296~;2ih03n63924815d=z{<l96=4={<7bf?>e34<997<>b:p1c2=838p18ok:9`893422;;o7p}:f483>7}:=ho14o52637964c<uz?m:7>52z?6ec<?j27=>84=1g9~w0`02909w0;m0;:a?805=389<6s|5g:94?4|5<h:65l4=706>7463ty>j44?:3y>1g4=0k16:?;52308yv3ai3:1>v3:b28;f>;1:<09>>5rs4da>5<5s4?i876m;<411?45<2wx9km50;0x90d221h01;<::306?xu2nm0;6?u25c49<g=:>;?1>?84}r7eb?6=:r7>n547b:?560<5:11v;>?:18183e132i708=5;01=>{t>9;1<7<t=4`b>=d<5?8>6?<n;|q547<72;q69ol58c9>273=:;h0q~8?3;296~;2jj03n63924816f=z{?:?6=4={<7a`?>e34<997<=d:p253=838p18lj:9`893422;8n7p}90783>7}:=kl14o52637967`<uz<;;7>52z?6g5<?j27=>84=319~w36?2909w0;l1;:a?805=388=6s|61c94?4|5<i865l4=706>7543ty=<o4?:3y>1f2=0k16:?;52268yv07k3:1>v3:c48;f>;1:<09?85rs72g>5<5s4?h:76m;<411?44>2wx:=k50;0x90e021h01;<::314?xu18o0;6?u25b:9<g=:>;?1>>64}r424?6=:r7>o447b:?560<5;01v;?>:18183di32i708=5;00e>{t>881<7<t=4aa>=d<5?8>6?=m;|q556<72;q69nm58c9>273=::i0q~8>5;296~;2kl03n63924817`=z{?;=6=4={<7`b?>e34<997<<f:p241=838p18j?:9`893422;>;7p}91983>7}:=m;14o526379617<uz<:57>52z?6`7<?j27=>84=439~w37f2909w0;k3;:a?805=38??6s|60`94?4|5<n?65l4=706>7233ty==n4?:3y>1a3=0k16:?;52578yv06l3:1>v3:d78;f>;1:<098;5rs73f>5<5s4?o;76m;<411?43?2wx:?>50;0x90b>21h01;<::36:?xu1:80;6?u25ec9<g=:>;?1>9l4}r6:7?6=:r7?55483:?7=2<5kj1v97=:18182>>38ho63;96847>{t<0;1<7<t=5;6>7ed34>2:79<;|q7=5<72;q684:52ba891?22>90q~:6f;296~;31>09j?524`296fe<uz>2h7>52z?7=3<5n;1684k52ba8yv2>j3:1>v3;9481b7=:<0i1>nm4}r6:=?6=:r7?594=f39>0<g=:ji0q~:n1;296~;31109j?524`096fe<uz>j:7>52z?7eg<0;27?ml4=cb9~w1g22909w0:n9;0`g>;3ih0<?6s|4`694?4|5=k36?ml;<6b=?143ty?m>4?:3y>0d1=:ji019o7:618yv2e:3:1>v3;a`81b7=:<k91>nm4}r6a4?6=:r7?m44=f39>0g7=:ji0q~:ne;296~;3i109j?524`d96fe<uz>jo7>52z?7e2<5n;168lj52ba8yv2e<3:1>v3;ac81b7=:<k?1>nm4}r607?6=:r7??5483:?772<5kj1v9==:181824>38ho63;36847>{t<:;1<7<t=516>7ed34>8:79<;|q775<72;q68>:52ba891522>90q~:<f;296~;3;>09j?5245296fe<uz>8h7>52z?773<5n;168>k52ba8yv24j3:1>v3;3481b7=:<:i1>nm4}r60=?6=:r7??94=f39>06g=:ji0q~:;1;296~;3;109j?5245096fe<uz>?:7>52z?70g<0;27?8l4=cb9~w1222909w0:;9;0`g>;3<h0<?6s|45694?4|5=>36?ml;<67=?143ty?8>4?:3y>011=:ji019:7:618yv22:3:1>v3;4`81b7=:<<91>nm4}r664?6=:r7?844=f39>007=:ji0q~:;e;296~;3<109j?5245d96fe<uz>?o7>52z?702<5n;1689j52ba8yv22<3:1>v3;4c81b7=:<<?1>nm4}r634?6=:r78ji4=cb9>052=:?>0q~=k9;297~;4n>09on5241`9637<5=:o6?;i;|q0`=<72=q6?k852ba8916>2;<:70:?b;056>;38m09:=5rs2f4>5<2s49m97<lc:?741<5>8168=752708916e2;<870:?d;055>{t;m<1<78t=2d7>7ed34>;87<93:?747<5>9168=75271891602;?m70:?d;056>{t;m?1<79t=2d0>7ed34>;87<92:?0bc<5>8168=<5273891612;?m70:?7;054>;38m09:>5rs2f7>5<?s49m>7<lc:?0ba<5>816?ij5273896`a2;<?70:?2;056>;38?09:=524159637<5=:36?8>;|q0`6<720q6?k?52ba896`c2;<;70=kc;056>;4lm09:>523gd9635<5=:96?8<;<632?41927?<:4=639>05>=:?80q~=k2;29e~;4n909on523gf960`<5:nh6?8>;<1g`?41:278ho4=609>7c`=:?8019>=:347?827>38=>63;068126=:<921>;=4}r1fa?6=:r78ih4=cb9>7c1=:k>0q~=j5;296~;4m<09on523g5936=z{:oo6=4<{<1f`?4dk278i84=f39>7c0=:k>0q~=j4;297~;4m=09on523d7936=:;o<1;>5rs2g`>5<4s49no7<lc:?0a1<5n;16?k;52c68yv5b;3:1?v3<e281gf=:;l>1;>523g7936=z{:oi6=4<{<1ff?4dk278i>4=f39>7c2=:k>0q~=j2;297~;4m;09on523d1936=:;o>1;>5rs2gb>5<4s49nm7<lc:?0a7<5n;16?k=52c68yv5b93:1?v3<e081gf=:;l81;>523g1936=z{:o26=4<{<1f=?4dk278i<4=f39>7c4=:k>0q~=j0;297~;4m909on523d3936=:;o81;>5rs2g;>5<4s49n47<lc:?0a5<5n;16?k?52c68yv5cn3:1?v3<dg81gf=:;l:1;>523g3936=z{:o<6=4<{<1f3?4dk278hk4=f39>7c6=:k>0q~=ke;297~;4ll09on523ed936=:;o:1;>5rs2g5>5<4s49n:7<lc:?0``<5n;16?hh52c68yv5a03:1>v3;188;f>;4lh09on5rs2d:>5<5s4>:m76m;<1gf?4dk2wx?ko50;0x917e21h01>jl:3a`?xu4nk0;6?u240a9<g=:;mn1>nm4}r1eg?6=:r7?=i47b:?0bc<5kj1v9>>:181826m32i70:?2;0`g>{t<991<7<t=53e>=d<5=:?6?ml;|q740<72;q68?>58c9>05?=:ji0q~:?a;296~;3:803n63;0c81gf=z{=:h6=4={<616?>e34>;h7<lc:p05c=838p1>ki:3a`?85ci38==6s|47394?2|5=<:6?8?;<657?41827?:94=619>034=:ji0q~:9d;296~;3?<03n63;5b81gf=z{=<n6=4={<642?>e34>>h7<lc:p03`=838p1998:9`8913b2;ih7p};7183>7}:<>214o5244d96fe<uz><=7>52z?73<<?j27?:=4=cb9~w1152909w0:8a;:a?821938ho6s|46194?4|5==i65l4=540>7ed3ty?;94?:3y>02e=0k168;:52ba8yv25>3:1>v3;248;f>;3:>09on5rs50`>5<4s4>9m76m;<61f?>e34>9h7<lc:p07c=839p19<i:9`8914?21h019<6:3a`?xu3:10;65u243:96a0<5=8?6?8?;<61`?41827?>>4=619>071=:?;019<6:343?822038==639268125=z{=8j6=4={<61e?4c>27?>i4=5g9~w1e?2908w0:l9;0`g>;3ko09:9524cf9632<uz>io7>52z?643<?j27?ni4=cb9~w1e32908w0:j9;0`g>;3ko099k524cf960`<uz>h?7>54z?7a=<5kj168nk524d891ea2;<;70:md;054>{t<j81<7;t=5g4>7ed34>hh7<:f:?7g`<5>9168nh5273891dc2;<:7p};c083>3}:<l<1>nm4=5a`>73a34>hh7<93:?7g`<5>8168nh5270891dc2;<97p};c183>2}:<l?1>nm4=5`g>70434>ho7<93:?7ga<5>=168nk5270891ea2;<870:lb;056>{t<kl1<79t=5g7>7ed34>h;7<:f:?7g<<5=o168nm5272891ec2;<;70:le;057>;3kk099k5rs5`f>5<?s4>n?7<lc:?7g2<5>8168n75273891e12;?m70:lc;056>;3km09:?524bc960`<5=ii6?8?;|q7fg<720q68h<52ba891e02;<;70:l9;054>;3k?09:=524b`9637<5=ih6?8>;<6``?41927?ol4=619>0f3=:<o0q~:j0;296~;3m909on524d;96g2<uz>o;7>52z?7`2<5kj168h75729~w1ba2908w0:kf;0`g>;3l>09j?524d:96g2<uz>o:7>53z?7`3<5kj168i95729>0`>=?:1v9jj:18082cm38ho63;d781b7=:<l=1>o:4}r6g1?6=;r7?h84=cb9>0a0=?:168h95729~w1bc2908w0:kd;0`g>;3l<09j?524d496g2<uz>o87>53z?7`1<5kj168i;5729>0`0=?:1v9jl:18082ck38ho63;d581b7=:<l?1>o:4}r6g7?6=;r7?h>4=cb9>0a2=?:168h;5729~w1be2908w0:kb;0`g>;3l:09j?524d696g2<uz>o>7>53z?7`7<5kj168i=5729>0`2=?:1v9jn:18082ci38ho63;d381b7=:<l91>o:4}r6g5?6=;r7?h<4=cb9>0a4=?:168h=5729~w1b>2908w0:k1;0e6>;3m;09n9524e;96fe<uz>o<7>53z?7`5<5kj168i?5729>0`4=?:1v9j7:18082c838m>63;e081f1=:<m21>nm4}r6fe?6=:r7?jh47b:?7g0<5kj1v9km:18182an32i70:l6;0`g>{t<li1<7<t=423>=d<5=i<6?ml;|q7aa<72;q69=?58c9>0fd=:ji0q~:je;296~;28;03n63;cb81gf=z{=om6=4={<737?>e34>hh7<lc:p0c6=838p18>;:9`891eb2;ih7p};f083>7}:=9?14o524bd96fe<uz>m>7>52z?7a4<5kj168kj58c9~w06c290?w0;?d;054>;28o09:=525029636<5<:n6?ml;|q65<<72;q69??58c9>15>=:ji0q~;>a;296~;2:;03n63:0881gf=z{<;i6=4={<717?>e34?;m7<lc:p14e=838p18<;:9`8906e2;ih7p}:1e83>7}:=;?14o5251a96fe<uz?:i7>52z?663<?j27><i4=cb9~w07a2909w0;=7;:a?837n38ho6s|53294?4|5<8365l4=433>7ed3ty8o:4?:3y>7g6=0k16?n;52ba8yv5d<3:1>v3<b08;f>;4k:09on5rs2a1>5<5s49i>76m;<1`5?4dk2wx?i?50;0x96d421h01>j?:3a`?xu4ko0;6>u23c69<g=:;jo1>nm4=2a;>7033ty8on4?:3y>7g3=0k16?nj52ba8yv5di3:1?v3<b78;f>;4kk09on523b19632<uz9j?7>52z?0<1<?j278m<4=cb9~w6g72909w0=75;:a?85>n38ho6s|38g94?4|5:2=65l4=2;g>7ed3ty85n4?:3y>7=1=0k16?4l52ba8yv5>i3:1?v3<898;f>;41009on523`69632<uz92;7>52z?0<<<?j278554=cb9~w6?22908w0=7a;:a?85>>38ho63<9g8121=z{:;;6=4={<1;g?>e349;<7<lc:p747=838p1>6k:9`896662;ih7p}<1383>7}:;1o14o5231096fe<uz9:?7>52z?0<c<?j278<>4=cb9~w6732909w0=60;:a?857<38ho6s|30794?4|5:3:65l4=226>7ed3ty8=;4?:3y>7<4=0k16?=852ba8yv56?3:1>v3<928;f>;48>09on5rs23;>5<5s49i476m;<13<?4dk2wx?<750;0x96d>21h01>>6:3a`?xu49h0;6?u23cc9<g=:;9k1>nm4}r12f?6=:r78no47b:?04g<5kj1v>?l:18185ek32i70=?c;0`g>{t;8n1<7<t=2`g>=d<5::o6?ml;|q05`<72;q6?ok58c9>75c=:ji0q~=>f;296~;4jo03n63<0g81gf=z{:i26=4={<1bb?>e349h47<lc:p7d3=838p1>6<:9`896g32;ih7p}<3383>7}:;:h14o5239a96a0<uz98?7>52z?07f<?j2784i4=d79~w6532909w0=<d;:a?85?m38o:6s|32794?4|5:9n65l4=2:e>7b13ty8?;4?:3y>76`=0k16?4>52e48yv54?3:1>v3<418;f>;41809h;5rs21;>5<5s49?=76m;<1:6?4c>2wx?>750;0x962521h01>7<:3f5?xu4;h0;6?u23519<g=:;0>1>i84}r17`?6=:r789;4=d79>7f>=:?90q~=;e;297~;4=>09h;523b:9636<5:i>6?8?;|q00c<72=q6?8652e4896e?2;?m70=l3;06b>;4k<099k5rs273>5<2s49>57<k6:?0g4<5=o16?n65270896e42;<:70=l5;056>{t;<;1<78t=27b>7b1349o<7<:f:?0g4<5>916?n65273896e42;<;70=l5;055>{t;<81<78t=27a>7b1349hi7<:f:?0`5<5>916?n?5273896e42;<870=l6;06b>{t;<91<79t=27`>7b1349hh7<91:?0g`<5>916?i>5273896e62;<970=l3;056>;4k<09:>5rs277>5<0s49>h7<k6:?0gg<5=o16?nj524d896eb2;<:70=k0;056>;4k809:>523b49637<uz9>97>58z?0f2<?j2789h4=d79>7fd=:?:01>mk:343?85dm38=>63<d18126=:;j;1>;:4=2a5>7073ty8894?:3y>700=0k16??752e48yv53=3:1>v3<568;f>;4:h09h;5rs265>5<5s49>476m;<11f?4c>2wx?9950;0x963>21h01><l:3f5?xu4<10;6?u234c9<g=:;;n1>i84}r17=?6=:r789o47b:?06`<5l?1v>:n:181852k32i70==f;0g2>{t;=h1<7<t=27g>=d<5:9;6?j9;|q00f<72;q6?8k58c9>767=:m<0q~==0;296~;4:003n63<b981`3=z{:8:6=4={<11e?>e349i57<k6:p774=838p1><m:9`896df2;n=7p}<2283>7}:;;i14o523c`96a0<uz9987>52z?06a<?j278nn4=d79~w6422909w0==e;:a?85el38o:6s|33494?4|5:8m65l4=2`f>7b13ty8>:4?:3y>766=0k16?oh52e48yv5503:1>v3<308;f>;4k909h;5rs24;>5<5s49<=7<k6:?0e1<5>:1v>86:180850:38o:63<a58125=:;h;1>;>4}r15e?6=<r78;>4=d79>7d2=:<l01>7i:37e?85f938>j6s|37`94?3|5:=?6?j9;<1:`?42n278m94=639>7<`=:?;01>o>:341?xu4>j0;6;u236796a0<5:3i6?;i;<1:`?418278m94=609>7<`=:?:01>o>:342?xu4>m0;6;u236496a0<5:326?;i;<1:f?4182785i4=609>7<`=:?901>o=:37e?xu4>l0;6:u236596a0<5:336?8>;<1:=?4182785o4=609>7<b=:?801>7i:341?85f938=?6s|37d94?1|5:=36?j9;<1:2?42n278554=5g9>7<?=:?;01>7m:341?85>l38=?63<a38124=z{:=;6=47{<1;f?>e349<57<k6:?0=3<5>916?465272896?>2;<970=6b;057>;41m09:9523`09636<uz9>j7>52z?034<?j278?o4=d79~w6072909w0=82;:a?854k38o:6s|37394?4|5:=865l4=21g>7b13ty8:?4?:3y>722=0k16?>k52e48yv51;3:1>v3<748;f>;4;o09h;5rs247>5<5s49<:76m;<174?4c>2wx?;;50;0x961021h01>:>:3f5?xu4>?0;6?u236:9<g=:;=81>i84}r153?6=:r78;447b:?006<5l?1v8:6:187805=3>j70:k0;;3?834:32i70;;a;0`g>{t==h1<7=t=706>06<5=n:64>4=46`>7ed3ty9o44?:3y>7d4=:ji01>o>:347?xu5k:0;6?u23b496fe<5:i>6?8;;|q1g1<72;q68no52ba891eb2;<?7p}=c483>7}:;oo1>nm4=521>73a3ty9o;4?:3y>050=:ji019>6:347?xu5k>0;6?u241596fe<5=:i6?8;;|q1g=<72;q68=652ba8916c2;<?7psacd094?7|@?>37p`le283>4}O>=20qcmj4;296~N1<11vbnk::182M0302weoh850;3xL32?3tdhi:4?:0yK21><ugin47>51zJ50==zfjo26=4>{I47<>{iklk1<7?tH76;?xhdmk0;6<uG65:8ykebk3:1=vF9499~jfcc290:wE8;8:mg`c=83;pD;:7;|l`ac<728qC:964}oae4?6=9rB=855rnbd2>5<6sA<?46sacg094?7|@?>37p`lf283>4}O>=20qcmi4;295~N1<11vbnh::182M0302weok850;3xL32?3tdhj:4?:2yK21><ugim47>51zJ50==zfjl26=4>{I47<>{ikok1<7?tH76;?xhdnk0;6<uG65:8ykeak3:1=vF9499~jf`c290:wE8;8:mgcc=83;pD;:7;|l`bc<728qC:964}of34?6=9rB=855rne22>5<6sA<?46sad1094?7|@?>37p`k0283>7}O>=20qcj?4;296~N1<11vbi>::181M0302weh=850;0xL32?3tdo<:4?:3yK21><ugn;47>52zJ50==zfm:26=4={I47<>{il9k1<7?tH76;?xhc8k0;6<uG65:8ykb7k3:1=vF9499~ja6c290:wE8;8:m`5c=83;pD;:7;|lg4c<728qC:964}of24?6=9rB=855rne32>5<6sA<?46sad0094?7|@?>37p`k1283>4}O>=20qcj>4;295~N1<11vbi?::182M0302weh<850;3xL32?3tdo=:4?:0yK21><ugn:47>52zJ50==zfm;26=4={I47<>{il8k1<7<tH76;?xhc9k0;6?uG65:8ykb6k3:1>vF9499~ja7c2909wE8;8:m`4c=838pD;:7;|lg5c<72;qC:964}of14?6=:rB=855rne02>5<6sA<?46sad3094?7|@?>37p`k2283>4}O>=20qcj=4;295~N1<11vbi<::182M0302weh?850;3xL32?3tdo>:4?:0yK21><ugn947>51zJ50==zfm826=4>{I47<>{il;k1<7?tH76;?xhc:k0;6<uG65:8ykb5k3:1=vF9499~ja4c290:wE8;8:m`7c=83;pD;:7;|lg6c<728qC:964}of04?6=9rB=855rne12>5<6sA<?46sad2094?7|@?>37p`k3283>4}O>=20qcj<4;295~N1<11vbi=::182M0302weh>850;3xL32?3tdo?:4?:0yK21><ugn847>51zJ50==zfm926=4>{I47<>{il:k1<7?tH76;?xhc;k0;6<uG65:8ykb4k3:1=vF9499~ja5c290:wE8;8:m`6c=83;pD;:7;|lg7c<728qC:964}of74?6=9rB=855rne62>5<6sA<?46sad5094?7|@?>37p`k4283>4}O>=20qcj;4;295~N1<11vbi:::182M0302weh9850;3xL32?3tdo8:4?:0yK21><ugn?47>51zJ50==zfm>26=4>{I47<>{il=k1<7?tH76;?xhc<k0;6<uG65:8ykb3k3:1=vF9499~ja2c290:wE8;8:m`1c=83;pD;:7;|lg0c<728qC:964}of64?6=9rB=855rne72>5<6sA<?46sad4094?7|@?>37p`k5283>4}O>=20qcj:4;295~N1<11vbi;::182M0302weh8850;3xL32?3tdo9:4?:0yK21><ugn>47>51zJ50==zfm?26=4>{I47<>{il<k1<7?tH76;?xhc=k0;6<uG65:8ykb2k3:1=vF9499~ja3c290:wE8;8:m`0c=83;pD;:7;|lg1c<728qC:964}of54?6=9rB=855rne42>5<6sA<?46sad7094?7|@?>37p`k6283>4}O>=20qcj94;295~N1<11vbi8::182M0302weh;850;3xL32?3tdo::4?:0yK21><ugn=47>51zJ50==zfm<26=4>{I47<>{il?k1<7?tH76;?xhc>k0;6<uG65:8ykb1k3:1=vF9499~ja0c2909wE8;8:m`3c=83;pD;:7;|lg2c<728qC:964}of44?6=9rB=855rne52>5<5sA<?46sad6094?7|@?>37p`k7283>7}O>=20qcj84;296~N1<11vbi9::181M0302weh:850;0xL32?3tdo;:4?:3yK21><ugn<47>52zJ50==zfm=26=4={I47<>{il>k1<7<tH76;?xhc?k0;6?uG65:8ykb0k3:1>vF9499~ja1c2909wE8;8:m`2c=838pD;:7;|lg3c<72;qC:964}of;4?6=:rB=855rne:2>5<5sA<?46sad9094?4|@?>37p`k8283>7}O>=20qcj74;296~N1<11vbi6::181M0302weh5850;0xL32?3tdo4:4?:3yK21><ugn347>52zJ50==zfm226=4={I47<>{il1k1<7<tH76;?xhc0k0;6?uG65:8ykb?k3:1>vF9499~ja>c2909wE8;8:m`=c=838pD;:7;|lg<c<72;qC:964}of:4?6=:rB=855rne;2>5<5sA<?46sad8094?4|@?>37p`k9283>7}O>=20qcj64;296~N1<11vbi7::181M0302weh4850;0xL32?3tdo5:4?:3yK21><ugn247>52zJ50==zfm326=4={I47<>{il0k1<7<tH76;?xhc1k0;6?uG65:8ykb>k3:1>vF9499~ja?c2909wE8;8:m`<c=838pD;:7;|lg=c<72;qC:964}ofb4?6=:rB=855rnec2>5<5sA<?46sad`094?4|@?>37p`ka283>7}O>=20qcjn4;296~N1<11vbio::181M0302wehl850;0xL32?3tdom:4?:3yK21><ugnj47>52zJ50==zfmk26=4={I47<>{ilhk1<7<tH76;?xhcik0;6?uG65:8ykbfk3:1>vF9499~jagc2909wE8;8:m`dc=838pD;:7;|lgec<72;qC:964}ofa4?6=:rB=855rne`2>5<5sA<?46sadc094?4|@?>37p`kb283>7}O>=20qcjm4;296~N1<11vbil::181M0302weho850;0xL32?3tdon:4?:3yK21><ugni47>52zJ50==zfmh26=4={I47<>{ilkk1<7<tH76;?xhcjk0;6?uG65:8ykbek3:1=vF9499~jadc290:wE8;8:m`gc=83;pD;:7;|lgfc<728qC:964}of`4?6=9rB=855rnea2>5<6sA<?46sadb094?7|@?>37p`kc283>4}O>=20qcjl4;295~N1<11vbim::182M0302wehn850;3xL32?3tdoo:4?:0yK21><ugnh47>51zJ50==zfmi26=4>{I47<>{iljk1<7?tH76;?xhckk0;6<uG65:8ykbdk3:1=vF9499~jaec290:wE8;8:m`fc=83;pD;:7;|lggc<728qC:964}ofg4?6=9rB=855rnef2>5<6sA<?46sade094?7|@?>37p`kd283>4}O>=20qcjk4;295~N1<11vbij::182M0302wehi850;3xL32?3tdoh:4?:0yK21><ugno47>51zJ50==zfmn26=4>{I47<>{ilmk1<7?tH76;?xhclk0;6<uG65:8ykbck3:1=vF9499~jabc290:wE8;8:m`ac=83;pD;:7;|lg`c<728qC:964}off4?6=9rB=855rneg2>5<6sA<?46sadd094?7|@?>37p`ke283>4}O>=20qcjj4;295~N1<11vbik::182M0302wehh850;3xL32?3tdoi:4?:0yK21><ugnn47>51zJ50==zfmo26=4>{I47<>{illk1<7?tH76;?xhcmk0;6?uG65:8ykbbk3:1>vF9499~jacc2909wE8;8:m``c=838pD;:7;|lgac<72;qC:964}ofe4?6=:rB=855rned2>5<5sA<?46sadg094?4|@?>37p`kf283>7}O>=20qcji4;296~N1<11vbih::181M0302wehk850;0xL32?3tdoj:4?:3yK21><ugnm47>52zJ50==zfml26=4={I47<>{ilok1<7<tH76;?xhcnk0;6?uG65:8ykbak3:1>vF9499~ja`c2909wE8;8:m`cc=838pD;:7;|lgbc<72;qC:964}og34?6=:rB=855rnd22>5<5sA<?46sae1094?4|@?>37p`j0283>7}O>=20qck?4;296~N1<11vbh>::181M0302wei=850;0xL32?3tdn<:4?:3yK21><ugo;47>52zJ50==zfl:26=4={I47<>{im9k1<7<tH76;?xhb8k0;6?uG65:8ykc7k3:1>vF9499~j`6c2909wE8;8:ma5c=838pD;:7;|lf4c<72;qC:964}og24?6=:rB=855rnd32>5<5sA<?46sae0094?4|@?>37p`j1283>7}O>=20qck>4;296~N1<11vbh?::181M0302wei<850;0xL32?3tdn=:4?:3yK21><ugo:47>52zJ50==zfl;26=4={I47<>{im8k1<7<tH76;?xhb9k0;6?uG65:8ykc6k3:1>vF9499~j`7c2909wE8;8:ma4c=838pD;:7;|lf5c<72;qC:964}og14?6=:rB=855rnd02>5<5sA<?46sae3094?4|@?>37p`j2283>7}O>=20qck=4;296~N1<11vbh<::181M0302wei?850;0xL32?3tdn>:4?:3yK21><ugo947>52zJ50==zfl826=4={I47<>{im;k1<7<tH76;?xhb:k0;6?uG65:8ykc5k3:1>vF9499~j`4c2909wE8;8:ma7c=838pD;:7;|lf6c<72;qC:964}og04?6=:rB=855rnd12>5<5sA<?46sae2094?4|@?>37p`j3283>7}O>=20qck<4;295~N1<11vbh=::182M0302wei>850;3xL32?3tdn?:4?:0yK21><ugo847>51zJ50==zfl926=4>{I47<>{im:k1<7?tH76;?xhb;k0;6<uG65:8ykc4k3:1=vF9499~j`5c290:wE8;8:ma6c=83;pD;:7;|lf7c<728qC:964}og74?6=9rB=855rnd62>5<6sA<?46sae5094?7|@?>37p`j4283>4}O>=20qck;4;295~N1<11vbh:::182M0302wei9850;3xL32?3tdn8:4?:0yK21><ugo?47>51zJ50==zfl>26=4>{I47<>{im=k1<7?tH76;?xhb<k0;6<uG65:8ykc3k3:1=vF9499~j`2c290:wE8;8:ma1c=83;pD;:7;|lf0c<728qC:964}og64?6=9rB=855rnd72>5<6sA<?46sae4094?7|@?>37p`j5283>4}O>=20qck:4;295~N1<11vbh;::182M0302wei8850;3xL32?3tdn9:4?:0yK21><ugo>47>51zJ50==zfl?26=4>{I47<>{im<k1<7?tH76;?xhb=k0;6<uG65:8ykc2k3:1=vF9499~j`3c290:wE8;8:ma0c=83;pD;:7;|lf1c<728qC:964}og54?6=9rB=855rnd42>5<6sA<?46sae7094?7|@?>37p`j6283>4}O>=20qck94;295~N1<11vbh8::182M0302wei;850;3xL32?3tdn::4?:0yK21><ugo=47>51zJ50==zfl<26=4>{I47<>{im?k1<7?tH76;?xhb>k0;6<uG65:8ykc1k3:1=vF9499~j`0c290:wE8;8:ma3c=83;pD;:7;|lf2c<728qC:964}og44?6=9rB=855rnd52>5<6sA<?46sae6094?7|@?>37p`j7283>4}O>=20qck84;295~N1<11vbh9::182M0302wei:850;3xL32?3tdn;:4?:0yK21><ugo<47>51zJ50==zfl=26=4>{I47<>{im>k1<7?tH76;?xhb?k0;6<uG65:8ykc0k3:1=vF9499~j`1c290:wE8;8:ma2c=83;pD;:7;|lf3c<728qC:964}og;4?6=9rB=855rnd:2>5<6sA<?46sae9094?7|@?>37p`j8283>4}O>=20qck74;295~N1<11vbh6::182M0302wei5850;3xL32?3tdn4:4?:0yK21><ugo347>51zJ50==zfl226=4>{I47<>{im1k1<7?tH76;?xhb0k0;6<uG65:8ykc?k3:1=vF9499~j`>c290:wE8;8:ma=c=83;pD;:7;|lf<c<728qC:964}og:4?6=9rB=855rnd;2>5<6sA<?46sae8094?7|@?>37p`j9283>4}O>=20qck64;295~N1<11vbh7::182M0302wei4850;3xL32?3tdn5:4?:0yK21><ugo247>51zJ50==zfl326=4>{I47<>{im0k1<7?tH76;?xhb1k0;6<uG65:8ykc>k3:1=vF9499~j`?c290:wE8;8:ma<c=83;pD;:7;|lf=c<728qC:964}ogb4?6=9rB=855rndc2>5<6sA<?46sae`094?7|@?>37p`ja283>4}O>=20qckn4;295~N1<11vbho::182M0302weil850;3xL32?3tdnm:4?:0yK21><ugoj47>51zJ50==zflk26=4>{I47<>{imhk1<7?tH76;?xhbik0;6<uG65:8ykcfk3:1=vF9499~j`gc290:wE8;8:madc=83;pD;:7;|lfec<728qC:964}oga4?6=9rB=855rnd`2>5<6sA<?46saec094?7|@?>37p`jb283>4}O>=20qckm4;295~N1<11vbhl::182M0302weio850;3xL32?3tdnn:4?:0yK21><ugoi47>51zJ50==zflh26=4>{I47<>{imkk1<7?tH76;?xhbjk0;6<uG65:8ykcek3:1=vF9499~j`dc290:wE8;8:magc=83;pD;:7;|lffc<728qC:964}og`4?6=9rB=855rnda2>5<6sA<?46saeb094?7|@?>37p`jc283>4}O>=20qckl4;295~N1<11vbhm::182M0302wein850;3xL32?3tdno:4?:0yK21><ugoh47>51zJ50==zfli26=4>{I47<>{imjk1<7?tH76;?xhbkk0;6<uG65:8ykcdk3:1=vF9499~j`ec290:wE8;8:mafc=83;pD;:7;|lfgc<728qC:964}ogg4?6=9rB=855rndf2>5<6sA<?46saee094?7|@?>37p`jd283>4}O>=20qckk4;295~N1<11vbhj::182M0302weii850;3xL32?3tdnh:4?:0yK21><ugoo47>51zJ50==zfln26=4>{I47<>{immk1<7?tH76;?xhblk0;6<uG65:8ykcck3:1=vF9499~j`bc290:wE8;8:maac=83;pD;:7;|lf`c<728qC:964}ogf4?6=9rB=855rndg2>5<6sA<?46saed094?7|@?>37p`je283>4}O>=20qckj4;295~N1<11vbhk::182M0302weih850;3xL32?3tdni:4?:0yK21><ugon47>51zJ50==zflo26=4>{I47<>{imlk1<7?tH76;?xhbmk0;6<uG65:8ykcbk3:1=vF9499~j`cc290:wE8;8:ma`c=83;pD;:7;|lfac<728qC:964}oge4?6=9rB=855rndd2>5<6sA<?46saeg094?7|@?>37p`jf283>4}O>=20qcki4;295~N1<11vbhh::182M0302weik850;3xL32?3tdnj:4?:0yK21><ugom47>51zJ50==zfll26=4>{I47<>{imok1<7?tH76;?xhbnk0;6<uG65:8ykcak3:1=vF9499~j``c290:wE8;8:macc=83;pD;:7;|lfbc<728qC:964}od34?6=9rB=855rng22>5<6sA<?46saf1094?7|@?>37p`i0283>4}O>=20qch?4;295~N1<11vbk>::182M0302wej=850;3xL32?3tdm<:4?:0yK21><ugl;47>51zJ50==zfo:26=4>{I47<>{in9k1<7?tH76;?xha8k0;6<uG65:8yk`7k3:1=vF9499~jc6c290:wE8;8:mb5c=838pD;:7;|le4c<72;qC:964}od24?6=9rB=855rng32>5<6sA<?46saf0094?7|@?>37p`i1283>4}O>=20qch>4;295~N1<11vbk?::182M0302wej<850;3xL32?3td=?i4?:0yK21><utwvLMMt106g>7>3n8o;opNOBz2~DEV|uIJ \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v
new file mode 100644
index 000000000..5724dd553
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.v
@@ -0,0 +1,491 @@
+/*******************************************************************************
+* This file is owned and controlled by Xilinx and must be used solely *
+* for design, simulation, implementation and creation of design files *
+* limited to Xilinx devices or technologies. Use with non-Xilinx *
+* devices or technologies is expressly prohibited and immediately *
+* terminates your license. *
+* *
+* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
+* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
+* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
+* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
+* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
+* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
+* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
+* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
+* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
+* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
+* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
+* PARTICULAR PURPOSE. *
+* *
+* Xilinx products are not intended for use in life support appliances, *
+* devices, or systems. Use in such applications are expressly *
+* prohibited. *
+* *
+* (c) Copyright 1995-2013 Xilinx, Inc. *
+* All rights reserved. *
+*******************************************************************************/
+// You must compile the wrapper file fifo_4k_2clk.v when simulating
+// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
+// The synthesis directives "translate_off/translate_on" specified below are
+// supported by Xilinx, Mentor Graphics and Synplicity synthesis
+// tools. Ensure they are correct for your synthesis tool(s).
+
+`timescale 1ns/1ps
+
+module fifo_4k_2clk(
+ rst,
+ wr_clk,
+ rd_clk,
+ din,
+ wr_en,
+ rd_en,
+ dout,
+ full,
+ empty,
+ rd_data_count,
+ wr_data_count
+);
+
+input rst;
+input wr_clk;
+input rd_clk;
+input [71 : 0] din;
+input wr_en;
+input rd_en;
+output [71 : 0] dout;
+output full;
+output empty;
+output [9 : 0] rd_data_count;
+output [9 : 0] wr_data_count;
+
+// synthesis translate_off
+
+ FIFO_GENERATOR_V9_3 #(
+ .C_ADD_NGC_CONSTRAINT(0),
+ .C_APPLICATION_TYPE_AXIS(0),
+ .C_APPLICATION_TYPE_RACH(0),
+ .C_APPLICATION_TYPE_RDCH(0),
+ .C_APPLICATION_TYPE_WACH(0),
+ .C_APPLICATION_TYPE_WDCH(0),
+ .C_APPLICATION_TYPE_WRCH(0),
+ .C_AXI_ADDR_WIDTH(32),
+ .C_AXI_ARUSER_WIDTH(1),
+ .C_AXI_AWUSER_WIDTH(1),
+ .C_AXI_BUSER_WIDTH(1),
+ .C_AXI_DATA_WIDTH(64),
+ .C_AXI_ID_WIDTH(4),
+ .C_AXI_RUSER_WIDTH(1),
+ .C_AXI_TYPE(0),
+ .C_AXI_WUSER_WIDTH(1),
+ .C_AXIS_TDATA_WIDTH(64),
+ .C_AXIS_TDEST_WIDTH(4),
+ .C_AXIS_TID_WIDTH(8),
+ .C_AXIS_TKEEP_WIDTH(4),
+ .C_AXIS_TSTRB_WIDTH(4),
+ .C_AXIS_TUSER_WIDTH(4),
+ .C_AXIS_TYPE(0),
+ .C_COMMON_CLOCK(0),
+ .C_COUNT_TYPE(0),
+ .C_DATA_COUNT_WIDTH(9),
+ .C_DEFAULT_VALUE("BlankString"),
+ .C_DIN_WIDTH(72),
+ .C_DIN_WIDTH_AXIS(1),
+ .C_DIN_WIDTH_RACH(32),
+ .C_DIN_WIDTH_RDCH(64),
+ .C_DIN_WIDTH_WACH(32),
+ .C_DIN_WIDTH_WDCH(64),
+ .C_DIN_WIDTH_WRCH(2),
+ .C_DOUT_RST_VAL("0"),
+ .C_DOUT_WIDTH(72),
+ .C_ENABLE_RLOCS(0),
+ .C_ENABLE_RST_SYNC(1),
+ .C_ERROR_INJECTION_TYPE(0),
+ .C_ERROR_INJECTION_TYPE_AXIS(0),
+ .C_ERROR_INJECTION_TYPE_RACH(0),
+ .C_ERROR_INJECTION_TYPE_RDCH(0),
+ .C_ERROR_INJECTION_TYPE_WACH(0),
+ .C_ERROR_INJECTION_TYPE_WDCH(0),
+ .C_ERROR_INJECTION_TYPE_WRCH(0),
+ .C_FAMILY("spartan6"),
+ .C_FULL_FLAGS_RST_VAL(1),
+ .C_HAS_ALMOST_EMPTY(0),
+ .C_HAS_ALMOST_FULL(0),
+ .C_HAS_AXI_ARUSER(0),
+ .C_HAS_AXI_AWUSER(0),
+ .C_HAS_AXI_BUSER(0),
+ .C_HAS_AXI_RD_CHANNEL(0),
+ .C_HAS_AXI_RUSER(0),
+ .C_HAS_AXI_WR_CHANNEL(0),
+ .C_HAS_AXI_WUSER(0),
+ .C_HAS_AXIS_TDATA(0),
+ .C_HAS_AXIS_TDEST(0),
+ .C_HAS_AXIS_TID(0),
+ .C_HAS_AXIS_TKEEP(0),
+ .C_HAS_AXIS_TLAST(0),
+ .C_HAS_AXIS_TREADY(1),
+ .C_HAS_AXIS_TSTRB(0),
+ .C_HAS_AXIS_TUSER(0),
+ .C_HAS_BACKUP(0),
+ .C_HAS_DATA_COUNT(0),
+ .C_HAS_DATA_COUNTS_AXIS(0),
+ .C_HAS_DATA_COUNTS_RACH(0),
+ .C_HAS_DATA_COUNTS_RDCH(0),
+ .C_HAS_DATA_COUNTS_WACH(0),
+ .C_HAS_DATA_COUNTS_WDCH(0),
+ .C_HAS_DATA_COUNTS_WRCH(0),
+ .C_HAS_INT_CLK(0),
+ .C_HAS_MASTER_CE(0),
+ .C_HAS_MEMINIT_FILE(0),
+ .C_HAS_OVERFLOW(0),
+ .C_HAS_PROG_FLAGS_AXIS(0),
+ .C_HAS_PROG_FLAGS_RACH(0),
+ .C_HAS_PROG_FLAGS_RDCH(0),
+ .C_HAS_PROG_FLAGS_WACH(0),
+ .C_HAS_PROG_FLAGS_WDCH(0),
+ .C_HAS_PROG_FLAGS_WRCH(0),
+ .C_HAS_RD_DATA_COUNT(1),
+ .C_HAS_RD_RST(0),
+ .C_HAS_RST(1),
+ .C_HAS_SLAVE_CE(0),
+ .C_HAS_SRST(0),
+ .C_HAS_UNDERFLOW(0),
+ .C_HAS_VALID(0),
+ .C_HAS_WR_ACK(0),
+ .C_HAS_WR_DATA_COUNT(1),
+ .C_HAS_WR_RST(0),
+ .C_IMPLEMENTATION_TYPE(2),
+ .C_IMPLEMENTATION_TYPE_AXIS(1),
+ .C_IMPLEMENTATION_TYPE_RACH(1),
+ .C_IMPLEMENTATION_TYPE_RDCH(1),
+ .C_IMPLEMENTATION_TYPE_WACH(1),
+ .C_IMPLEMENTATION_TYPE_WDCH(1),
+ .C_IMPLEMENTATION_TYPE_WRCH(1),
+ .C_INIT_WR_PNTR_VAL(0),
+ .C_INTERFACE_TYPE(0),
+ .C_MEMORY_TYPE(1),
+ .C_MIF_FILE_NAME("BlankString"),
+ .C_MSGON_VAL(1),
+ .C_OPTIMIZATION_MODE(0),
+ .C_OVERFLOW_LOW(0),
+ .C_PRELOAD_LATENCY(0),
+ .C_PRELOAD_REGS(1),
+ .C_PRIM_FIFO_TYPE("512x72"),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL(4),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
+ .C_PROG_EMPTY_THRESH_NEGATE_VAL(5),
+ .C_PROG_EMPTY_TYPE(0),
+ .C_PROG_EMPTY_TYPE_AXIS(0),
+ .C_PROG_EMPTY_TYPE_RACH(0),
+ .C_PROG_EMPTY_TYPE_RDCH(0),
+ .C_PROG_EMPTY_TYPE_WACH(0),
+ .C_PROG_EMPTY_TYPE_WDCH(0),
+ .C_PROG_EMPTY_TYPE_WRCH(0),
+ .C_PROG_FULL_THRESH_ASSERT_VAL(511),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
+ .C_PROG_FULL_THRESH_NEGATE_VAL(510),
+ .C_PROG_FULL_TYPE(0),
+ .C_PROG_FULL_TYPE_AXIS(0),
+ .C_PROG_FULL_TYPE_RACH(0),
+ .C_PROG_FULL_TYPE_RDCH(0),
+ .C_PROG_FULL_TYPE_WACH(0),
+ .C_PROG_FULL_TYPE_WDCH(0),
+ .C_PROG_FULL_TYPE_WRCH(0),
+ .C_RACH_TYPE(0),
+ .C_RD_DATA_COUNT_WIDTH(10),
+ .C_RD_DEPTH(512),
+ .C_RD_FREQ(1),
+ .C_RD_PNTR_WIDTH(9),
+ .C_RDCH_TYPE(0),
+ .C_REG_SLICE_MODE_AXIS(0),
+ .C_REG_SLICE_MODE_RACH(0),
+ .C_REG_SLICE_MODE_RDCH(0),
+ .C_REG_SLICE_MODE_WACH(0),
+ .C_REG_SLICE_MODE_WDCH(0),
+ .C_REG_SLICE_MODE_WRCH(0),
+ .C_SYNCHRONIZER_STAGE(2),
+ .C_UNDERFLOW_LOW(0),
+ .C_USE_COMMON_OVERFLOW(0),
+ .C_USE_COMMON_UNDERFLOW(0),
+ .C_USE_DEFAULT_SETTINGS(0),
+ .C_USE_DOUT_RST(1),
+ .C_USE_ECC(0),
+ .C_USE_ECC_AXIS(0),
+ .C_USE_ECC_RACH(0),
+ .C_USE_ECC_RDCH(0),
+ .C_USE_ECC_WACH(0),
+ .C_USE_ECC_WDCH(0),
+ .C_USE_ECC_WRCH(0),
+ .C_USE_EMBEDDED_REG(0),
+ .C_USE_FIFO16_FLAGS(0),
+ .C_USE_FWFT_DATA_COUNT(1),
+ .C_VALID_LOW(0),
+ .C_WACH_TYPE(0),
+ .C_WDCH_TYPE(0),
+ .C_WR_ACK_LOW(0),
+ .C_WR_DATA_COUNT_WIDTH(10),
+ .C_WR_DEPTH(512),
+ .C_WR_DEPTH_AXIS(1024),
+ .C_WR_DEPTH_RACH(16),
+ .C_WR_DEPTH_RDCH(1024),
+ .C_WR_DEPTH_WACH(16),
+ .C_WR_DEPTH_WDCH(1024),
+ .C_WR_DEPTH_WRCH(16),
+ .C_WR_FREQ(1),
+ .C_WR_PNTR_WIDTH(9),
+ .C_WR_PNTR_WIDTH_AXIS(10),
+ .C_WR_PNTR_WIDTH_RACH(4),
+ .C_WR_PNTR_WIDTH_RDCH(10),
+ .C_WR_PNTR_WIDTH_WACH(4),
+ .C_WR_PNTR_WIDTH_WDCH(10),
+ .C_WR_PNTR_WIDTH_WRCH(4),
+ .C_WR_RESPONSE_LATENCY(1),
+ .C_WRCH_TYPE(0)
+ )
+ inst (
+ .RST(rst),
+ .WR_CLK(wr_clk),
+ .RD_CLK(rd_clk),
+ .DIN(din),
+ .WR_EN(wr_en),
+ .RD_EN(rd_en),
+ .DOUT(dout),
+ .FULL(full),
+ .EMPTY(empty),
+ .RD_DATA_COUNT(rd_data_count),
+ .WR_DATA_COUNT(wr_data_count),
+ .BACKUP(),
+ .BACKUP_MARKER(),
+ .CLK(),
+ .SRST(),
+ .WR_RST(),
+ .RD_RST(),
+ .PROG_EMPTY_THRESH(),
+ .PROG_EMPTY_THRESH_ASSERT(),
+ .PROG_EMPTY_THRESH_NEGATE(),
+ .PROG_FULL_THRESH(),
+ .PROG_FULL_THRESH_ASSERT(),
+ .PROG_FULL_THRESH_NEGATE(),
+ .INT_CLK(),
+ .INJECTDBITERR(),
+ .INJECTSBITERR(),
+ .ALMOST_FULL(),
+ .WR_ACK(),
+ .OVERFLOW(),
+ .ALMOST_EMPTY(),
+ .VALID(),
+ .UNDERFLOW(),
+ .DATA_COUNT(),
+ .PROG_FULL(),
+ .PROG_EMPTY(),
+ .SBITERR(),
+ .DBITERR(),
+ .M_ACLK(),
+ .S_ACLK(),
+ .S_ARESETN(),
+ .M_ACLK_EN(),
+ .S_ACLK_EN(),
+ .S_AXI_AWID(),
+ .S_AXI_AWADDR(),
+ .S_AXI_AWLEN(),
+ .S_AXI_AWSIZE(),
+ .S_AXI_AWBURST(),
+ .S_AXI_AWLOCK(),
+ .S_AXI_AWCACHE(),
+ .S_AXI_AWPROT(),
+ .S_AXI_AWQOS(),
+ .S_AXI_AWREGION(),
+ .S_AXI_AWUSER(),
+ .S_AXI_AWVALID(),
+ .S_AXI_AWREADY(),
+ .S_AXI_WID(),
+ .S_AXI_WDATA(),
+ .S_AXI_WSTRB(),
+ .S_AXI_WLAST(),
+ .S_AXI_WUSER(),
+ .S_AXI_WVALID(),
+ .S_AXI_WREADY(),
+ .S_AXI_BID(),
+ .S_AXI_BRESP(),
+ .S_AXI_BUSER(),
+ .S_AXI_BVALID(),
+ .S_AXI_BREADY(),
+ .M_AXI_AWID(),
+ .M_AXI_AWADDR(),
+ .M_AXI_AWLEN(),
+ .M_AXI_AWSIZE(),
+ .M_AXI_AWBURST(),
+ .M_AXI_AWLOCK(),
+ .M_AXI_AWCACHE(),
+ .M_AXI_AWPROT(),
+ .M_AXI_AWQOS(),
+ .M_AXI_AWREGION(),
+ .M_AXI_AWUSER(),
+ .M_AXI_AWVALID(),
+ .M_AXI_AWREADY(),
+ .M_AXI_WID(),
+ .M_AXI_WDATA(),
+ .M_AXI_WSTRB(),
+ .M_AXI_WLAST(),
+ .M_AXI_WUSER(),
+ .M_AXI_WVALID(),
+ .M_AXI_WREADY(),
+ .M_AXI_BID(),
+ .M_AXI_BRESP(),
+ .M_AXI_BUSER(),
+ .M_AXI_BVALID(),
+ .M_AXI_BREADY(),
+ .S_AXI_ARID(),
+ .S_AXI_ARADDR(),
+ .S_AXI_ARLEN(),
+ .S_AXI_ARSIZE(),
+ .S_AXI_ARBURST(),
+ .S_AXI_ARLOCK(),
+ .S_AXI_ARCACHE(),
+ .S_AXI_ARPROT(),
+ .S_AXI_ARQOS(),
+ .S_AXI_ARREGION(),
+ .S_AXI_ARUSER(),
+ .S_AXI_ARVALID(),
+ .S_AXI_ARREADY(),
+ .S_AXI_RID(),
+ .S_AXI_RDATA(),
+ .S_AXI_RRESP(),
+ .S_AXI_RLAST(),
+ .S_AXI_RUSER(),
+ .S_AXI_RVALID(),
+ .S_AXI_RREADY(),
+ .M_AXI_ARID(),
+ .M_AXI_ARADDR(),
+ .M_AXI_ARLEN(),
+ .M_AXI_ARSIZE(),
+ .M_AXI_ARBURST(),
+ .M_AXI_ARLOCK(),
+ .M_AXI_ARCACHE(),
+ .M_AXI_ARPROT(),
+ .M_AXI_ARQOS(),
+ .M_AXI_ARREGION(),
+ .M_AXI_ARUSER(),
+ .M_AXI_ARVALID(),
+ .M_AXI_ARREADY(),
+ .M_AXI_RID(),
+ .M_AXI_RDATA(),
+ .M_AXI_RRESP(),
+ .M_AXI_RLAST(),
+ .M_AXI_RUSER(),
+ .M_AXI_RVALID(),
+ .M_AXI_RREADY(),
+ .S_AXIS_TVALID(),
+ .S_AXIS_TREADY(),
+ .S_AXIS_TDATA(),
+ .S_AXIS_TSTRB(),
+ .S_AXIS_TKEEP(),
+ .S_AXIS_TLAST(),
+ .S_AXIS_TID(),
+ .S_AXIS_TDEST(),
+ .S_AXIS_TUSER(),
+ .M_AXIS_TVALID(),
+ .M_AXIS_TREADY(),
+ .M_AXIS_TDATA(),
+ .M_AXIS_TSTRB(),
+ .M_AXIS_TKEEP(),
+ .M_AXIS_TLAST(),
+ .M_AXIS_TID(),
+ .M_AXIS_TDEST(),
+ .M_AXIS_TUSER(),
+ .AXI_AW_INJECTSBITERR(),
+ .AXI_AW_INJECTDBITERR(),
+ .AXI_AW_PROG_FULL_THRESH(),
+ .AXI_AW_PROG_EMPTY_THRESH(),
+ .AXI_AW_DATA_COUNT(),
+ .AXI_AW_WR_DATA_COUNT(),
+ .AXI_AW_RD_DATA_COUNT(),
+ .AXI_AW_SBITERR(),
+ .AXI_AW_DBITERR(),
+ .AXI_AW_OVERFLOW(),
+ .AXI_AW_UNDERFLOW(),
+ .AXI_AW_PROG_FULL(),
+ .AXI_AW_PROG_EMPTY(),
+ .AXI_W_INJECTSBITERR(),
+ .AXI_W_INJECTDBITERR(),
+ .AXI_W_PROG_FULL_THRESH(),
+ .AXI_W_PROG_EMPTY_THRESH(),
+ .AXI_W_DATA_COUNT(),
+ .AXI_W_WR_DATA_COUNT(),
+ .AXI_W_RD_DATA_COUNT(),
+ .AXI_W_SBITERR(),
+ .AXI_W_DBITERR(),
+ .AXI_W_OVERFLOW(),
+ .AXI_W_UNDERFLOW(),
+ .AXI_B_INJECTSBITERR(),
+ .AXI_W_PROG_FULL(),
+ .AXI_W_PROG_EMPTY(),
+ .AXI_B_INJECTDBITERR(),
+ .AXI_B_PROG_FULL_THRESH(),
+ .AXI_B_PROG_EMPTY_THRESH(),
+ .AXI_B_DATA_COUNT(),
+ .AXI_B_WR_DATA_COUNT(),
+ .AXI_B_RD_DATA_COUNT(),
+ .AXI_B_SBITERR(),
+ .AXI_B_DBITERR(),
+ .AXI_B_OVERFLOW(),
+ .AXI_B_UNDERFLOW(),
+ .AXI_AR_INJECTSBITERR(),
+ .AXI_B_PROG_FULL(),
+ .AXI_B_PROG_EMPTY(),
+ .AXI_AR_INJECTDBITERR(),
+ .AXI_AR_PROG_FULL_THRESH(),
+ .AXI_AR_PROG_EMPTY_THRESH(),
+ .AXI_AR_DATA_COUNT(),
+ .AXI_AR_WR_DATA_COUNT(),
+ .AXI_AR_RD_DATA_COUNT(),
+ .AXI_AR_SBITERR(),
+ .AXI_AR_DBITERR(),
+ .AXI_AR_OVERFLOW(),
+ .AXI_AR_UNDERFLOW(),
+ .AXI_AR_PROG_FULL(),
+ .AXI_AR_PROG_EMPTY(),
+ .AXI_R_INJECTSBITERR(),
+ .AXI_R_INJECTDBITERR(),
+ .AXI_R_PROG_FULL_THRESH(),
+ .AXI_R_PROG_EMPTY_THRESH(),
+ .AXI_R_DATA_COUNT(),
+ .AXI_R_WR_DATA_COUNT(),
+ .AXI_R_RD_DATA_COUNT(),
+ .AXI_R_SBITERR(),
+ .AXI_R_DBITERR(),
+ .AXI_R_OVERFLOW(),
+ .AXI_R_UNDERFLOW(),
+ .AXIS_INJECTSBITERR(),
+ .AXI_R_PROG_FULL(),
+ .AXI_R_PROG_EMPTY(),
+ .AXIS_INJECTDBITERR(),
+ .AXIS_PROG_FULL_THRESH(),
+ .AXIS_PROG_EMPTY_THRESH(),
+ .AXIS_DATA_COUNT(),
+ .AXIS_WR_DATA_COUNT(),
+ .AXIS_RD_DATA_COUNT(),
+ .AXIS_SBITERR(),
+ .AXIS_DBITERR(),
+ .AXIS_OVERFLOW(),
+ .AXIS_UNDERFLOW(),
+ .AXIS_PROG_FULL(),
+ .AXIS_PROG_EMPTY()
+ );
+
+// synthesis translate_on
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo
new file mode 100644
index 000000000..12c025d5d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.veo
@@ -0,0 +1,79 @@
+/*******************************************************************************
+* This file is owned and controlled by Xilinx and must be used solely *
+* for design, simulation, implementation and creation of design files *
+* limited to Xilinx devices or technologies. Use with non-Xilinx *
+* devices or technologies is expressly prohibited and immediately *
+* terminates your license. *
+* *
+* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
+* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
+* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
+* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
+* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
+* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
+* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
+* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
+* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
+* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
+* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
+* PARTICULAR PURPOSE. *
+* *
+* Xilinx products are not intended for use in life support appliances, *
+* devices, or systems. Use in such applications are expressly *
+* prohibited. *
+* *
+* (c) Copyright 1995-2013 Xilinx, Inc. *
+* All rights reserved. *
+*******************************************************************************/
+
+/*******************************************************************************
+* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 *
+* *
+* Rev 1. The FIFO Generator is a parameterizable first-in/first-out *
+* memory queue generator. Use it to generate resource and performance *
+* optimized FIFOs with common or independent read/write clock domains, *
+* and optional fixed or programmable full and empty flags and *
+* handshaking signals. Choose from a selection of memory resource *
+* types for implementation. Optional Hamming code based error *
+* detection and correction as well as error injection capability for *
+* system test help to insure data integrity. FIFO width and depth are *
+* parameterizable, and for native interface FIFOs, asymmetric read and *
+* write port widths are also supported. *
+*******************************************************************************/
+
+// Interfaces:
+// AXI4Stream_MASTER_M_AXIS
+// AXI4Stream_SLAVE_S_AXIS
+// AXI4_MASTER_M_AXI
+// AXI4_SLAVE_S_AXI
+// AXI4Lite_MASTER_M_AXI
+// AXI4Lite_SLAVE_S_AXI
+// master_aclk
+// slave_aclk
+// slave_aresetn
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+fifo_4k_2clk your_instance_name (
+ .rst(rst), // input rst
+ .wr_clk(wr_clk), // input wr_clk
+ .rd_clk(rd_clk), // input rd_clk
+ .din(din), // input [71 : 0] din
+ .wr_en(wr_en), // input wr_en
+ .rd_en(rd_en), // input rd_en
+ .dout(dout), // output [71 : 0] dout
+ .full(full), // output full
+ .empty(empty), // output empty
+ .rd_data_count(rd_data_count), // output [9 : 0] rd_data_count
+ .wr_data_count(wr_data_count) // output [9 : 0] wr_data_count
+);
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
+// You must compile the wrapper file fifo_4k_2clk.v when simulating
+// the core, fifo_4k_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco
new file mode 100644
index 000000000..f617ee440
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xco
@@ -0,0 +1,213 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Jan 25 19:58:19 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:fifo_generator:9.3
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Other
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = csg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -2
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
+# END Select
+# BEGIN Parameters
+CSET add_ngc_constraint_axi=false
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET aruser_width=1
+CSET awuser_width=1
+CSET axi_address_width=32
+CSET axi_data_width=64
+CSET axi_type=AXI4_Stream
+CSET axis_type=FIFO
+CSET buser_width=1
+CSET clock_enable_type=Slave_Interface_Clock_Enable
+CSET clock_type_axi=Common_Clock
+CSET component_name=fifo_4k_2clk
+CSET data_count=false
+CSET data_count_width=9
+CSET disable_timing_violations=false
+CSET disable_timing_violations_axi=false
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=4
+CSET empty_threshold_assert_value_axis=1022
+CSET empty_threshold_assert_value_rach=1022
+CSET empty_threshold_assert_value_rdch=1022
+CSET empty_threshold_assert_value_wach=1022
+CSET empty_threshold_assert_value_wdch=1022
+CSET empty_threshold_assert_value_wrch=1022
+CSET empty_threshold_negate_value=5
+CSET enable_aruser=false
+CSET enable_awuser=false
+CSET enable_buser=false
+CSET enable_common_overflow=false
+CSET enable_common_underflow=false
+CSET enable_data_counts_axis=false
+CSET enable_data_counts_rach=false
+CSET enable_data_counts_rdch=false
+CSET enable_data_counts_wach=false
+CSET enable_data_counts_wdch=false
+CSET enable_data_counts_wrch=false
+CSET enable_ecc=false
+CSET enable_ecc_axis=false
+CSET enable_ecc_rach=false
+CSET enable_ecc_rdch=false
+CSET enable_ecc_wach=false
+CSET enable_ecc_wdch=false
+CSET enable_ecc_wrch=false
+CSET enable_read_channel=false
+CSET enable_read_pointer_increment_by2=false
+CSET enable_reset_synchronization=true
+CSET enable_ruser=false
+CSET enable_tdata=false
+CSET enable_tdest=false
+CSET enable_tid=false
+CSET enable_tkeep=false
+CSET enable_tlast=false
+CSET enable_tready=true
+CSET enable_tstrobe=false
+CSET enable_tuser=false
+CSET enable_write_channel=false
+CSET enable_wuser=false
+CSET fifo_application_type_axis=Data_FIFO
+CSET fifo_application_type_rach=Data_FIFO
+CSET fifo_application_type_rdch=Data_FIFO
+CSET fifo_application_type_wach=Data_FIFO
+CSET fifo_application_type_wdch=Data_FIFO
+CSET fifo_application_type_wrch=Data_FIFO
+CSET fifo_implementation=Independent_Clocks_Block_RAM
+CSET fifo_implementation_axis=Common_Clock_Block_RAM
+CSET fifo_implementation_rach=Common_Clock_Block_RAM
+CSET fifo_implementation_rdch=Common_Clock_Block_RAM
+CSET fifo_implementation_wach=Common_Clock_Block_RAM
+CSET fifo_implementation_wdch=Common_Clock_Block_RAM
+CSET fifo_implementation_wrch=Common_Clock_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=511
+CSET full_threshold_assert_value_axis=1023
+CSET full_threshold_assert_value_rach=1023
+CSET full_threshold_assert_value_rdch=1023
+CSET full_threshold_assert_value_wach=1023
+CSET full_threshold_assert_value_wdch=1023
+CSET full_threshold_assert_value_wrch=1023
+CSET full_threshold_negate_value=510
+CSET id_width=4
+CSET inject_dbit_error=false
+CSET inject_dbit_error_axis=false
+CSET inject_dbit_error_rach=false
+CSET inject_dbit_error_rdch=false
+CSET inject_dbit_error_wach=false
+CSET inject_dbit_error_wdch=false
+CSET inject_dbit_error_wrch=false
+CSET inject_sbit_error=false
+CSET inject_sbit_error_axis=false
+CSET inject_sbit_error_rach=false
+CSET inject_sbit_error_rdch=false
+CSET inject_sbit_error_wach=false
+CSET inject_sbit_error_wdch=false
+CSET inject_sbit_error_wrch=false
+CSET input_data_width=72
+CSET input_depth=512
+CSET input_depth_axis=1024
+CSET input_depth_rach=16
+CSET input_depth_rdch=1024
+CSET input_depth_wach=16
+CSET input_depth_wdch=1024
+CSET input_depth_wrch=16
+CSET interface_type=Native
+CSET output_data_width=72
+CSET output_depth=512
+CSET overflow_flag=false
+CSET overflow_flag_axi=false
+CSET overflow_sense=Active_High
+CSET overflow_sense_axi=Active_High
+CSET performance_options=First_Word_Fall_Through
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET programmable_full_type_axis=No_Programmable_Full_Threshold
+CSET programmable_full_type_rach=No_Programmable_Full_Threshold
+CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
+CSET programmable_full_type_wach=No_Programmable_Full_Threshold
+CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
+CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
+CSET rach_type=FIFO
+CSET rdch_type=FIFO
+CSET read_clock_frequency=1
+CSET read_data_count=true
+CSET read_data_count_width=10
+CSET register_slice_mode_axis=Fully_Registered
+CSET register_slice_mode_rach=Fully_Registered
+CSET register_slice_mode_rdch=Fully_Registered
+CSET register_slice_mode_wach=Fully_Registered
+CSET register_slice_mode_wdch=Fully_Registered
+CSET register_slice_mode_wrch=Fully_Registered
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET ruser_width=1
+CSET synchronization_stages=2
+CSET synchronization_stages_axi=2
+CSET tdata_width=64
+CSET tdest_width=4
+CSET tid_width=8
+CSET tkeep_width=4
+CSET tstrb_width=4
+CSET tuser_width=4
+CSET underflow_flag=false
+CSET underflow_flag_axi=false
+CSET underflow_sense=Active_High
+CSET underflow_sense_axi=Active_High
+CSET use_clock_enable=false
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
+CSET use_extra_logic=true
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET wach_type=FIFO
+CSET wdch_type=FIFO
+CSET wrch_type=FIFO
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=true
+CSET write_data_count_width=10
+CSET wuser_width=1
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-11-19T12:39:56Z
+# END Extra information
+GENERATE
+# CRC: 372c204f
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise
new file mode 100644
index 000000000..2d8132c10
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="fifo_4k_2clk.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="fifo_4k_2clk.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_4k_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_4k_2clk.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_4k_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="csg484" xil_pn:valueState="default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_4k_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-01-25T11:59:33" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="A74B340026B44B356C1780B6C6FE45BC" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt
new file mode 100644
index 000000000..7853ebde8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt
@@ -0,0 +1,236 @@
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html
new file mode 100644
index 000000000..fefce62a3
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html
@@ -0,0 +1,247 @@
+<HTML>
+<HEAD>
+<TITLE>fifo_generator_v9_3_vinfo</TITLE>
+<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1">
+</HEAD>
+<BODY>
+<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1">
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A>
+
+For system requirements:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A>
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ <A HREF="http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm">www.xilinx.com/products/ipcenter/FIFO_Generator.htm</A>
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A>
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A>
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
+</FONT>
+</PRE>
+</BODY>
+</HTML>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf
new file mode 100644
index 000000000..5ec45fbcb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf
Binary files differ
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf
new file mode 100755
index 000000000..62e5058ab
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf
@@ -0,0 +1,56 @@
+################################################################################
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+################################################################################
+
+# Core Period Constraint. This constraint can be modified, and is
+# valid as long as it is met after place and route.
+ NET "RD_CLK" TNM_NET = "RD_CLK";
+ NET "WR_CLK" TNM_NET = "WR_CLK";
+ TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ;
+ TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ;
+################################################################################
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd
new file mode 100755
index 000000000..2674443c8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd
@@ -0,0 +1,145 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core - core top file for implementation
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_exdes.vhd
+--
+-- Description:
+-- This is the FIFO core wrapper with BUFG instances for clock connections.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+library unisim;
+use unisim.vcomponents.all;
+
+--------------------------------------------------------------------------------
+-- Entity Declaration
+--------------------------------------------------------------------------------
+entity fifo_4k_2clk_exdes is
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+end fifo_4k_2clk_exdes;
+
+
+
+architecture xilinx of fifo_4k_2clk_exdes is
+
+ signal wr_clk_i : std_logic;
+ signal rd_clk_i : std_logic;
+
+
+
+ component fifo_4k_2clk is
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+ end component;
+
+
+begin
+
+ wr_clk_buf: bufg
+ PORT map(
+ i => WR_CLK,
+ o => wr_clk_i
+ );
+
+ rd_clk_buf: bufg
+ PORT map(
+ i => RD_CLK,
+ o => rd_clk_i
+ );
+
+
+ exdes_inst : fifo_4k_2clk
+ PORT MAP (
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ WR_DATA_COUNT => wr_data_count,
+ RD_DATA_COUNT => rd_data_count,
+ RST => rst,
+ WR_EN => wr_en,
+ RD_EN => rd_en,
+ DIN => din,
+ DOUT => dout,
+ FULL => full,
+ EMPTY => empty);
+
+end xilinx;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt
new file mode 100644
index 000000000..7853ebde8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt
@@ -0,0 +1,236 @@
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat
new file mode 100755
index 000000000..f5fcf0a2b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.bat
@@ -0,0 +1,88 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem Clean up the results directory
+rmdir /S /Q results
+mkdir results
+
+rem Synthesize the VHDL Wrapper Files
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with XST';
+xst -ifn xst.scr
+copy fifo_4k_2clk_exdes.ngc .\results\
+
+
+rem Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+copy ..\..\fifo_4k_2clk.ngc results\
+
+
+rem Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+copy ..\example_design\fifo_4k_2clk_exdes.ucf results\
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes
+
+echo 'Running map'
+map fifo_4k_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh
new file mode 100755
index 000000000..9cb53f5c7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement.sh
@@ -0,0 +1,87 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+# Clean up the results directory
+rm -rf results
+mkdir results
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with XST';
+xst -ifn xst.scr
+cp fifo_4k_2clk_exdes.ngc ./results/
+
+
+# Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+cp ../../fifo_4k_2clk.ngc results/
+
+# Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+cp ../example_design/fifo_4k_2clk_exdes.ucf results/
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes
+
+echo 'Running map'
+map fifo_4k_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat
new file mode 100755
index 000000000..9d99aaa5c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.bat
@@ -0,0 +1,87 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem Clean up the results directory
+rmdir /S /Q results
+mkdir results
+
+rem Synthesize the VHDL Wrapper Files
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with Synplify'
+synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx
+
+
+rem Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+copy ..\..\fifo_4k_2clk.ngc results\
+
+
+rem Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+copy ..\example_design\fifo_4k_2clk_exdes.ucf results\
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes
+
+echo 'Running map'
+map fifo_4k_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh
new file mode 100755
index 000000000..db245edbb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/implement_synplify.sh
@@ -0,0 +1,86 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+# Clean up the results directory
+rm -rf results
+mkdir results
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with Synplify'
+synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx
+
+
+# Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+cp ../../fifo_4k_2clk.ngc results/
+
+# Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+cp ../example_design/fifo_4k_2clk_exdes.ucf results/
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-csg484-2 -sd ../../../ fifo_4k_2clk_exdes
+
+echo 'Running map'
+map fifo_4k_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat
new file mode 100755
index 000000000..a48fea790
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.bat
@@ -0,0 +1,54 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem -----------------------------------------------------------------------------
+rem Script to synthesize and implement the Coregen FIFO Generator
+rem -----------------------------------------------------------------------------
+rmdir /S /Q results
+mkdir results
+cd results
+copy ..\..\..\fifo_4k_2clk.ngc .
+planAhead -mode batch -source ..\planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh
new file mode 100755
index 000000000..7171a0e5e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.sh
@@ -0,0 +1,55 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+#-----------------------------------------------------------------------------
+# Script to synthesize and implement the Coregen FIFO Generator
+#-----------------------------------------------------------------------------
+rm -rf results
+mkdir results
+cd results
+cp ../../../fifo_4k_2clk.ngc .
+planAhead -mode batch -source ../planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl
new file mode 100755
index 000000000..6af923b85
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl
@@ -0,0 +1,67 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+
+set device xc6slx75csg484-2
+set projName fifo_4k_2clk
+set design fifo_4k_2clk
+set projDir [file dirname [info script]]
+create_project $projName $projDir/results/$projName -part $device -force
+set_property design_mode RTL [current_fileset -srcset]
+set top_module fifo_4k_2clk_exdes
+add_files -norecurse {../../example_design/fifo_4k_2clk_exdes.vhd}
+add_files -norecurse {./fifo_4k_2clk.ngc}
+import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_4k_2clk_exdes.xdc}
+set_property top fifo_4k_2clk_exdes [get_property srcset [current_run]]
+synth_design
+opt_design
+place_design
+route_design
+write_sdf -rename_top_module fifo_4k_2clk_exdes -file routed.sdf
+write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_4k_2clk_exdes routed.v
+report_timing -nworst 30 -path_type full -file routed.twr
+report_drc -file report.drc
+write_bitstream -bitgen_options {-g UnconstrainedPins:Allow}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj
new file mode 100755
index 000000000..573a1716f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.prj
@@ -0,0 +1 @@
+work ../example_design/fifo_4k_2clk_exdes.vhd
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr
new file mode 100755
index 000000000..bff287f78
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/implement/xst.scr
@@ -0,0 +1,13 @@
+run
+-ifmt VHDL
+-ent fifo_4k_2clk_exdes
+-p xc6slx75-csg484-2
+-ifn xst.prj
+-write_timing_constraints No
+-iobuf YES
+-max_fanout 100
+-ofn fifo_4k_2clk_exdes
+-ofmt NGC
+-bus_delimiter ()
+-hierarchy_separator /
+-case Maintain
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd
new file mode 100755
index 000000000..d14bb7a51
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd
@@ -0,0 +1,123 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_dgen.vhd
+--
+-- Description:
+-- Used for write interface stimulus generation
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_4k_2clk_pkg.ALL;
+
+ENTITY fifo_4k_2clk_dgen IS
+ GENERIC (
+ C_DIN_WIDTH : INTEGER := 32;
+ C_DOUT_WIDTH : INTEGER := 32;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT (
+ RESET : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ PRC_WR_EN : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ WR_EN : OUT STD_LOGIC;
+ WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_dg_arch OF fifo_4k_2clk_dgen IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
+
+ SIGNAL pr_w_en : STD_LOGIC := '0';
+ SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
+ SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ BEGIN
+
+ WR_EN <= PRC_WR_EN ;
+ WR_DATA <= wr_data_i AFTER 100 ns;
+
+ ----------------------------------------------
+ -- Generation of DATA
+ ----------------------------------------------
+ gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
+ rd_gen_inst1:fifo_4k_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+N
+ )
+ PORT MAP(
+ CLK => WR_CLK,
+ RESET => RESET,
+ RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
+ ENABLE => pr_w_en
+ );
+ END GENERATE;
+
+ pr_w_en <= PRC_WR_EN AND NOT FULL;
+ wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0);
+
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd
new file mode 100755
index 000000000..0a7c2aa87
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd
@@ -0,0 +1,150 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_dverif.vhd
+--
+-- Description:
+-- Used for FIFO read interface stimulus generation and data checking
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_4k_2clk_pkg.ALL;
+
+ENTITY fifo_4k_2clk_dverif IS
+ GENERIC(
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_USE_EMBEDDED_REG : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ PRC_RD_EN : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ RD_EN : OUT STD_LOGIC;
+ DOUT_CHK : OUT STD_LOGIC
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_dv_arch OF fifo_4k_2clk_dverif IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8);
+
+ SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL data_chk : STD_LOGIC := '1';
+ SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0);
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL pr_r_en : STD_LOGIC := '0';
+ SIGNAL rd_en_d1 : STD_LOGIC := '1';
+BEGIN
+
+
+ DOUT_CHK <= data_chk;
+ RD_EN <= rd_en_i;
+ rd_en_i <= PRC_RD_EN;
+ rd_en_d1 <= '1';
+
+
+ data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE
+ -------------------------------------------------------
+ -- Expected data generation and checking for data_fifo
+ -------------------------------------------------------
+
+ pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1;
+ expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0);
+
+ gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
+ rd_gen_inst2:fifo_4k_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+N
+ )
+ PORT MAP(
+ CLK => RD_CLK,
+ RESET => RESET,
+ RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
+ ENABLE => pr_r_en
+ );
+ END GENERATE;
+
+ PROCESS (RD_CLK,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ data_chk <= '0';
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(EMPTY = '0') THEN
+ IF(DATA_OUT = expected_dout) THEN
+ data_chk <= '0';
+ ELSE
+ data_chk <= '1';
+ END IF;
+ END IF;
+ END IF;
+ END PROCESS;
+ END GENERATE data_fifo_chk;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd
new file mode 100755
index 000000000..fa32e4781
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd
@@ -0,0 +1,541 @@
+
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_pctrl.vhd
+--
+-- Description:
+-- Used for protocol control on write and read interface stimulus and status generation
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_4k_2clk_pkg.ALL;
+
+ENTITY fifo_4k_2clk_pctrl IS
+ GENERIC(
+ AXI_CHANNEL : STRING :="NONE";
+ C_APPLICATION_TYPE : INTEGER := 0;
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_WR_PNTR_WIDTH : INTEGER := 0;
+ C_RD_PNTR_WIDTH : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 2;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET_WR : IN STD_LOGIC;
+ RESET_RD : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ ALMOST_FULL : IN STD_LOGIC;
+ ALMOST_EMPTY : IN STD_LOGIC;
+ DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ DOUT_CHK : IN STD_LOGIC;
+ PRC_WR_EN : OUT STD_LOGIC;
+ PRC_RD_EN : OUT STD_LOGIC;
+ RESET_EN : OUT STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_pc_arch OF fifo_4k_2clk_pctrl IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
+ CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
+
+ SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_en_i : STD_LOGIC := '0';
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL state : STD_LOGIC := '0';
+ SIGNAL wr_control : STD_LOGIC := '0';
+ SIGNAL rd_control : STD_LOGIC := '0';
+ SIGNAL stop_on_err : STD_LOGIC := '0';
+ SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
+ SIGNAL sim_done_i : STD_LOGIC := '0';
+ SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
+ SIGNAL prc_we_i : STD_LOGIC := '0';
+ SIGNAL prc_re_i : STD_LOGIC := '0';
+ SIGNAL reset_en_i : STD_LOGIC := '0';
+ SIGNAL sim_done_d1 : STD_LOGIC := '0';
+ SIGNAL sim_done_wr1 : STD_LOGIC := '0';
+ SIGNAL sim_done_wr2 : STD_LOGIC := '0';
+ SIGNAL empty_d1 : STD_LOGIC := '0';
+ SIGNAL empty_wr_dom1 : STD_LOGIC := '0';
+ SIGNAL state_d1 : STD_LOGIC := '0';
+ SIGNAL state_rd_dom1 : STD_LOGIC := '0';
+ SIGNAL rd_en_d1 : STD_LOGIC := '0';
+ SIGNAL rd_en_wr1 : STD_LOGIC := '0';
+ SIGNAL wr_en_d1 : STD_LOGIC := '0';
+ SIGNAL wr_en_rd1 : STD_LOGIC := '0';
+ SIGNAL full_chk_d1 : STD_LOGIC := '0';
+ SIGNAL full_chk_rd1 : STD_LOGIC := '0';
+ SIGNAL empty_wr_dom2 : STD_LOGIC := '0';
+
+ SIGNAL state_rd_dom2 : STD_LOGIC := '0';
+ SIGNAL state_rd_dom3 : STD_LOGIC := '0';
+ SIGNAL rd_en_wr2 : STD_LOGIC := '0';
+ SIGNAL wr_en_rd2 : STD_LOGIC := '0';
+ SIGNAL full_chk_rd2 : STD_LOGIC := '0';
+ SIGNAL reset_en_d1 : STD_LOGIC := '0';
+ SIGNAL reset_en_rd1 : STD_LOGIC := '0';
+ SIGNAL reset_en_rd2 : STD_LOGIC := '0';
+
+ SIGNAL data_chk_wr_d1 : STD_LOGIC := '0';
+ SIGNAL data_chk_rd1 : STD_LOGIC := '0';
+ SIGNAL data_chk_rd2 : STD_LOGIC := '0';
+ SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
+BEGIN
+ status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0';
+ STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
+
+ prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0';
+ prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
+
+ SIM_DONE <= sim_done_i;
+ rdw_gt_wrw <= (OTHERS => '1');
+ wrw_gt_rdw <= (OTHERS => '1');
+
+ PROCESS(RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(prc_re_i = '1') THEN
+ rd_activ_cont <= rd_activ_cont + "1";
+ END IF;
+ END IF;
+ END PROCESS;
+
+
+ PROCESS(sim_done_i)
+ BEGIN
+ assert sim_done_i = '0'
+ report "Simulation Complete for:" & AXI_CHANNEL
+ severity note;
+ END PROCESS;
+
+-----------------------------------------------------
+-- SIM_DONE SIGNAL GENERATION
+-----------------------------------------------------
+PROCESS (RD_CLK,RESET_RD)
+BEGIN
+ IF(RESET_RD = '1') THEN
+ --sim_done_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
+ sim_done_i <= '1';
+ END IF;
+ END IF;
+END PROCESS;
+
+ -- TB Timeout/Stop
+ fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
+ PROCESS (RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN
+ sim_stop_cntr <= sim_stop_cntr - "1";
+ END IF;
+ END IF;
+ END PROCESS;
+ END GENERATE fifo_tb_stop_run;
+
+
+ -- Stop when error found
+ PROCESS (RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(sim_done_i = '0') THEN
+ status_d1_i <= status_i OR status_d1_i;
+ END IF;
+ IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
+ stop_on_err <= '1';
+ END IF;
+ END IF;
+ END PROCESS;
+ -----------------------------------------------------
+
+ -----------------------------------------------------
+ -- CHECKS FOR FIFO
+ -----------------------------------------------------
+
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ post_rst_dly_rd <= (OTHERS => '1');
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
+ END IF;
+ END PROCESS;
+
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ post_rst_dly_wr <= (OTHERS => '1');
+ ELSIF (WR_CLK'event AND WR_CLK='1') THEN
+ post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
+ END IF;
+ END PROCESS;
+
+
+ -- FULL de-assert Counter
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ full_ds_timeout <= (OTHERS => '0');
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
+ full_ds_timeout <= full_ds_timeout + '1';
+ END IF;
+ ELSE
+ full_ds_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+ END PROCESS;
+
+
+ -- EMPTY deassert counter
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_ds_timeout <= (OTHERS => '0');
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state = '0') THEN
+ IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
+ empty_ds_timeout <= empty_ds_timeout + '1';
+ END IF;
+ ELSE
+ empty_ds_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -- Full check signal generation
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ full_chk_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
+ full_chk_i <= '0';
+ ELSE
+ full_chk_i <= AND_REDUCE(full_as_timeout) OR
+ AND_REDUCE(full_ds_timeout);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -- Empty checks
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_chk_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
+ empty_chk_i <= '0';
+ ELSE
+ empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
+ AND_REDUCE(empty_ds_timeout);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
+ PRC_WR_EN <= prc_we_i AFTER 100 ns;
+ PRC_RD_EN <= prc_re_i AFTER 50 ns;
+ data_chk_i <= dout_chk;
+ END GENERATE fifo_d_chk;
+ -----------------------------------------------------
+
+
+ -----------------------------------------------------
+ -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ empty_wr_dom1 <= '1';
+ empty_wr_dom2 <= '1';
+ state_d1 <= '0';
+ wr_en_d1 <= '0';
+ rd_en_wr1 <= '0';
+ rd_en_wr2 <= '0';
+ full_chk_d1 <= '0';
+ reset_en_d1 <= '0';
+ sim_done_wr1 <= '0';
+ sim_done_wr2 <= '0';
+ ELSIF (WR_CLK'event AND WR_CLK='1') THEN
+ sim_done_wr1 <= sim_done_d1;
+ sim_done_wr2 <= sim_done_wr1;
+ reset_en_d1 <= reset_en_i;
+ state_d1 <= state;
+ empty_wr_dom1 <= empty_d1;
+ empty_wr_dom2 <= empty_wr_dom1;
+ wr_en_d1 <= wr_en_i;
+ rd_en_wr1 <= rd_en_d1;
+ rd_en_wr2 <= rd_en_wr1;
+ full_chk_d1 <= full_chk_i;
+ END IF;
+ END PROCESS;
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_d1 <= '1';
+ state_rd_dom1 <= '0';
+ state_rd_dom2 <= '0';
+ state_rd_dom3 <= '0';
+ wr_en_rd1 <= '0';
+ wr_en_rd2 <= '0';
+ rd_en_d1 <= '0';
+ full_chk_rd1 <= '0';
+ full_chk_rd2 <= '0';
+ reset_en_rd1 <= '0';
+ reset_en_rd2 <= '0';
+ sim_done_d1 <= '0';
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ sim_done_d1 <= sim_done_i;
+ reset_en_rd1 <= reset_en_d1;
+ reset_en_rd2 <= reset_en_rd1;
+ empty_d1 <= EMPTY;
+ rd_en_d1 <= rd_en_i;
+ state_rd_dom1 <= state_d1;
+ state_rd_dom2 <= state_rd_dom1;
+ state_rd_dom3 <= state_rd_dom2;
+ wr_en_rd1 <= wr_en_d1;
+ wr_en_rd2 <= wr_en_rd1;
+ full_chk_rd1 <= full_chk_d1;
+ full_chk_rd2 <= full_chk_rd1;
+ END IF;
+ END PROCESS;
+
+ RESET_EN <= reset_en_rd2;
+
+
+ data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
+ -----------------------------------------------------
+ -- WR_EN GENERATION
+ -----------------------------------------------------
+ gen_rand_wr_en:fifo_4k_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+1
+ )
+ PORT MAP(
+ CLK => WR_CLK,
+ RESET => RESET_WR,
+ RANDOM_NUM => wr_en_gen,
+ ENABLE => '1'
+ );
+
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ wr_en_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
+ ELSE
+ wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- WR_EN CONTROL
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ wr_cntr <= (OTHERS => '0');
+ wr_control <= '1';
+ full_as_timeout <= (OTHERS => '0');
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ IF(wr_en_i = '1') THEN
+ wr_cntr <= wr_cntr + "1";
+ END IF;
+ full_as_timeout <= (OTHERS => '0');
+ ELSE
+ wr_cntr <= (OTHERS => '0');
+ IF(rd_en_wr2 = '0') THEN
+ IF(wr_en_i = '1') THEN
+ full_as_timeout <= full_as_timeout + "1";
+ END IF;
+ ELSE
+ full_as_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+
+ wr_control <= NOT wr_cntr(wr_cntr'high);
+
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- RD_EN GENERATION
+ -----------------------------------------------------
+ gen_rand_rd_en:fifo_4k_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED
+ )
+ PORT MAP(
+ CLK => RD_CLK,
+ RESET => RESET_RD,
+ RANDOM_NUM => rd_en_gen,
+ ENABLE => '1'
+ );
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ rd_en_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0') THEN
+ rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
+ ELSE
+ rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- RD_EN CONTROL
+ -----------------------------------------------------
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ rd_cntr <= (OTHERS => '0');
+ rd_control <= '1';
+ empty_as_timeout <= (OTHERS => '0');
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0') THEN
+ IF(rd_en_i = '1') THEN
+ rd_cntr <= rd_cntr + "1";
+ END IF;
+ empty_as_timeout <= (OTHERS => '0');
+ ELSE
+ rd_cntr <= (OTHERS => '0');
+ IF(wr_en_rd2 = '0') THEN
+ IF(rd_en_i = '1') THEN
+ empty_as_timeout <= empty_as_timeout + "1";
+ END IF;
+ ELSE
+ empty_as_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+
+ rd_control <= NOT rd_cntr(rd_cntr'high);
+
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- STIMULUS CONTROL
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ state <= '0';
+ reset_en_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ CASE state IS
+ WHEN '0' =>
+ IF(FULL = '1' AND empty_wr_dom2 = '0') THEN
+ state <= '1';
+ reset_en_i <= '0';
+ END IF;
+ WHEN '1' =>
+ IF(empty_wr_dom2 = '1' AND FULL = '0') THEN
+ state <= '0';
+ reset_en_i <= '1';
+ END IF;
+ WHEN OTHERS => state <= state;
+ END CASE;
+ END IF;
+ END PROCESS;
+ END GENERATE data_fifo_en;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd
new file mode 100755
index 000000000..46d4ac9cf
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd
@@ -0,0 +1,350 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_pkg.vhd
+--
+-- Description:
+-- This is the demo testbench package file for FIFO Generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE ieee.std_logic_arith.ALL;
+USE IEEE.STD_LOGIC_UNSIGNED.ALL;
+
+PACKAGE fifo_4k_2clk_pkg IS
+
+ FUNCTION divroundup (
+ data_value : INTEGER;
+ divisor : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : INTEGER;
+ false_case : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : STD_LOGIC;
+ false_case : STD_LOGIC)
+ RETURN STD_LOGIC;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : TIME;
+ false_case : TIME)
+ RETURN TIME;
+ ------------------------
+ FUNCTION log2roundup (
+ data_value : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION hexstr_to_std_logic_vec(
+ arg1 : string;
+ size : integer )
+ RETURN std_logic_vector;
+ ------------------------
+ COMPONENT fifo_4k_2clk_rng IS
+ GENERIC (WIDTH : integer := 8;
+ SEED : integer := 3);
+ PORT (
+ CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ ENABLE : IN STD_LOGIC;
+ RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_4k_2clk_dgen IS
+ GENERIC (
+ C_DIN_WIDTH : INTEGER := 32;
+ C_DOUT_WIDTH : INTEGER := 32;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT (
+ RESET : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ PRC_WR_EN : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ WR_EN : OUT STD_LOGIC;
+ WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_4k_2clk_dverif IS
+ GENERIC(
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_USE_EMBEDDED_REG : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ PRC_RD_EN : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ RD_EN : OUT STD_LOGIC;
+ DOUT_CHK : OUT STD_LOGIC
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_4k_2clk_pctrl IS
+ GENERIC(
+ AXI_CHANNEL : STRING := "NONE";
+ C_APPLICATION_TYPE : INTEGER := 0;
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_WR_PNTR_WIDTH : INTEGER := 0;
+ C_RD_PNTR_WIDTH : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 2;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET_WR : IN STD_LOGIC;
+ RESET_RD : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ ALMOST_FULL : IN STD_LOGIC;
+ ALMOST_EMPTY : IN STD_LOGIC;
+ DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ DOUT_CHK : IN STD_LOGIC;
+ PRC_WR_EN : OUT STD_LOGIC;
+ PRC_RD_EN : OUT STD_LOGIC;
+ RESET_EN : OUT STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+ COMPONENT fifo_4k_2clk_synth IS
+ GENERIC(
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 0;
+ TB_SEED : INTEGER := 1
+ );
+ PORT(
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+ COMPONENT fifo_4k_2clk_exdes IS
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+ END COMPONENT;
+ ------------------------
+
+
+END fifo_4k_2clk_pkg;
+
+
+
+PACKAGE BODY fifo_4k_2clk_pkg IS
+
+ FUNCTION divroundup (
+ data_value : INTEGER;
+ divisor : INTEGER)
+ RETURN INTEGER IS
+ VARIABLE div : INTEGER;
+ BEGIN
+ div := data_value/divisor;
+ IF ( (data_value MOD divisor) /= 0) THEN
+ div := div+1;
+ END IF;
+ RETURN div;
+ END divroundup;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : INTEGER;
+ false_case : INTEGER)
+ RETURN INTEGER IS
+ VARIABLE retval : INTEGER := 0;
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : STD_LOGIC;
+ false_case : STD_LOGIC)
+ RETURN STD_LOGIC IS
+ VARIABLE retval : STD_LOGIC := '0';
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : TIME;
+ false_case : TIME)
+ RETURN TIME IS
+ VARIABLE retval : TIME := 0 ps;
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ -------------------------------
+ FUNCTION log2roundup (
+ data_value : INTEGER)
+ RETURN INTEGER IS
+
+ VARIABLE width : INTEGER := 0;
+ VARIABLE cnt : INTEGER := 1;
+ BEGIN
+ IF (data_value <= 1) THEN
+ width := 1;
+ ELSE
+ WHILE (cnt < data_value) LOOP
+ width := width + 1;
+ cnt := cnt *2;
+ END LOOP;
+ END IF;
+
+ RETURN width;
+ END log2roundup;
+ ------------------------------------------------------------------------------
+ -- hexstr_to_std_logic_vec
+ -- This function converts a hex string to a std_logic_vector
+ ------------------------------------------------------------------------------
+ FUNCTION hexstr_to_std_logic_vec(
+ arg1 : string;
+ size : integer )
+ RETURN std_logic_vector IS
+ VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
+ VARIABLE bin : std_logic_vector(3 DOWNTO 0);
+ VARIABLE index : integer := 0;
+ BEGIN
+ FOR i IN arg1'reverse_range LOOP
+ CASE arg1(i) IS
+ WHEN '0' => bin := (OTHERS => '0');
+ WHEN '1' => bin := (0 => '1', OTHERS => '0');
+ WHEN '2' => bin := (1 => '1', OTHERS => '0');
+ WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
+ WHEN '4' => bin := (2 => '1', OTHERS => '0');
+ WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
+ WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
+ WHEN '7' => bin := (3 => '0', OTHERS => '1');
+ WHEN '8' => bin := (3 => '1', OTHERS => '0');
+ WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
+ WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
+ WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
+ WHEN 'B' => bin := (2 => '0', OTHERS => '1');
+ WHEN 'b' => bin := (2 => '0', OTHERS => '1');
+ WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
+ WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
+ WHEN 'D' => bin := (1 => '0', OTHERS => '1');
+ WHEN 'd' => bin := (1 => '0', OTHERS => '1');
+ WHEN 'E' => bin := (0 => '0', OTHERS => '1');
+ WHEN 'e' => bin := (0 => '0', OTHERS => '1');
+ WHEN 'F' => bin := (OTHERS => '1');
+ WHEN 'f' => bin := (OTHERS => '1');
+ WHEN OTHERS =>
+ FOR j IN 0 TO 3 LOOP
+ bin(j) := 'X';
+ END LOOP;
+ END CASE;
+ FOR j IN 0 TO 3 LOOP
+ IF (index*4)+j < size THEN
+ result((index*4)+j) := bin(j);
+ END IF;
+ END LOOP;
+ index := index + 1;
+ END LOOP;
+ RETURN result;
+ END hexstr_to_std_logic_vec;
+
+END fifo_4k_2clk_pkg;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd
new file mode 100755
index 000000000..bed58d88e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd
@@ -0,0 +1,100 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_rng.vhd
+--
+-- Description:
+-- Used for generation of pseudo random numbers
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+ENTITY fifo_4k_2clk_rng IS
+ GENERIC (
+ WIDTH : integer := 8;
+ SEED : integer := 3);
+ PORT (
+ CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ ENABLE : IN STD_LOGIC;
+ RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0));
+END ENTITY;
+
+ARCHITECTURE rg_arch OF fifo_4k_2clk_rng IS
+BEGIN
+PROCESS (CLK,RESET)
+ VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width);
+ VARIABLE temp : STD_LOGIC := '0';
+BEGIN
+ IF(RESET = '1') THEN
+ rand_temp := conv_std_logic_vector(SEED,width);
+ temp := '0';
+ ELSIF (CLK'event AND CLK = '1') THEN
+ IF (ENABLE = '1') THEN
+ temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5);
+ rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0);
+ rand_temp(0) := temp;
+ END IF;
+ END IF;
+
+ RANDOM_NUM <= rand_temp;
+
+END PROCESS;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd
new file mode 100755
index 000000000..4149735c5
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd
@@ -0,0 +1,300 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_synth.vhd
+--
+-- Description:
+-- This is the demo testbench for fifo_generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+
+
+LIBRARY ieee;
+USE ieee.STD_LOGIC_1164.ALL;
+USE ieee.STD_LOGIC_unsigned.ALL;
+USE IEEE.STD_LOGIC_arith.ALL;
+USE ieee.numeric_std.ALL;
+USE ieee.STD_LOGIC_misc.ALL;
+
+LIBRARY std;
+USE std.textio.ALL;
+
+LIBRARY work;
+USE work.fifo_4k_2clk_pkg.ALL;
+
+--------------------------------------------------------------------------------
+-- Entity Declaration
+--------------------------------------------------------------------------------
+ENTITY fifo_4k_2clk_synth IS
+ GENERIC(
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 0;
+ TB_SEED : INTEGER := 1
+ );
+ PORT(
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+END ENTITY;
+
+ARCHITECTURE simulation_arch OF fifo_4k_2clk_synth IS
+
+ -- FIFO interface signal declarations
+ SIGNAL wr_clk_i : STD_LOGIC;
+ SIGNAL rd_clk_i : STD_LOGIC;
+ SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0);
+ SIGNAL rd_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0);
+ SIGNAL rst : STD_LOGIC;
+ SIGNAL wr_en : STD_LOGIC;
+ SIGNAL rd_en : STD_LOGIC;
+ SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL full : STD_LOGIC;
+ SIGNAL empty : STD_LOGIC;
+ -- TB Signals
+ SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL wr_en_i : STD_LOGIC := '0';
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL full_i : STD_LOGIC := '0';
+ SIGNAL empty_i : STD_LOGIC := '0';
+ SIGNAL almost_full_i : STD_LOGIC := '0';
+ SIGNAL almost_empty_i : STD_LOGIC := '0';
+ SIGNAL prc_we_i : STD_LOGIC := '0';
+ SIGNAL prc_re_i : STD_LOGIC := '0';
+ SIGNAL dout_chk_i : STD_LOGIC := '0';
+ SIGNAL rst_int_rd : STD_LOGIC := '0';
+ SIGNAL rst_int_wr : STD_LOGIC := '0';
+ SIGNAL rst_s_wr1 : STD_LOGIC := '0';
+ SIGNAL rst_s_wr2 : STD_LOGIC := '0';
+ SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL rst_s_wr3 : STD_LOGIC := '0';
+ SIGNAL rst_s_rd : STD_LOGIC := '0';
+ SIGNAL reset_en : STD_LOGIC := '0';
+ SIGNAL rst_async_wr1 : STD_LOGIC := '0';
+ SIGNAL rst_async_wr2 : STD_LOGIC := '0';
+ SIGNAL rst_async_wr3 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd1 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd2 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd3 : STD_LOGIC := '0';
+
+
+ BEGIN
+
+ ---- Reset generation logic -----
+ rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
+ rst_int_rd <= rst_async_rd3 OR rst_s_rd;
+
+ --Testbench reset synchronization
+ PROCESS(rd_clk_i,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ rst_async_rd1 <= '1';
+ rst_async_rd2 <= '1';
+ rst_async_rd3 <= '1';
+ ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
+ rst_async_rd1 <= RESET;
+ rst_async_rd2 <= rst_async_rd1;
+ rst_async_rd3 <= rst_async_rd2;
+ END IF;
+ END PROCESS;
+
+ PROCESS(wr_clk_i,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ rst_async_wr1 <= '1';
+ rst_async_wr2 <= '1';
+ rst_async_wr3 <= '1';
+ ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
+ rst_async_wr1 <= RESET;
+ rst_async_wr2 <= rst_async_wr1;
+ rst_async_wr3 <= rst_async_wr2;
+ END IF;
+ END PROCESS;
+
+ --Soft reset for core and testbench
+ PROCESS(rd_clk_i)
+ BEGIN
+ IF(rd_clk_i'event AND rd_clk_i='1') THEN
+ rst_gen_rd <= rst_gen_rd + "1";
+ IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
+ rst_s_rd <= '1';
+ assert false
+ report "Reset applied..Memory Collision checks are not valid"
+ severity note;
+ ELSE
+ IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
+ rst_s_rd <= '0';
+ END IF;
+ END IF;
+ END IF;
+ END PROCESS;
+
+ PROCESS(wr_clk_i)
+ BEGIN
+ IF(wr_clk_i'event AND wr_clk_i='1') THEN
+ rst_s_wr1 <= rst_s_rd;
+ rst_s_wr2 <= rst_s_wr1;
+ rst_s_wr3 <= rst_s_wr2;
+ IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
+ assert false
+ report "Reset removed..Memory Collision checks are valid"
+ severity note;
+ END IF;
+ END IF;
+ END PROCESS;
+ ------------------
+
+ ---- Clock buffers for testbench ----
+ wr_clk_i <= WR_CLK;
+ rd_clk_i <= RD_CLK;
+ ------------------
+
+ rst <= RESET OR rst_s_rd AFTER 12 ns;
+ din <= wr_data;
+ dout_i <= dout;
+ wr_en <= wr_en_i;
+ rd_en <= rd_en_i;
+ full_i <= full;
+ empty_i <= empty;
+
+ fg_dg_nv: fifo_4k_2clk_dgen
+ GENERIC MAP (
+ C_DIN_WIDTH => 72,
+ C_DOUT_WIDTH => 72,
+ TB_SEED => TB_SEED,
+ C_CH_TYPE => 0
+ )
+ PORT MAP ( -- Write Port
+ RESET => rst_int_wr,
+ WR_CLK => wr_clk_i,
+ PRC_WR_EN => prc_we_i,
+ FULL => full_i,
+ WR_EN => wr_en_i,
+ WR_DATA => wr_data
+ );
+
+ fg_dv_nv: fifo_4k_2clk_dverif
+ GENERIC MAP (
+ C_DOUT_WIDTH => 72,
+ C_DIN_WIDTH => 72,
+ C_USE_EMBEDDED_REG => 0,
+ TB_SEED => TB_SEED,
+ C_CH_TYPE => 0
+ )
+ PORT MAP(
+ RESET => rst_int_rd,
+ RD_CLK => rd_clk_i,
+ PRC_RD_EN => prc_re_i,
+ RD_EN => rd_en_i,
+ EMPTY => empty_i,
+ DATA_OUT => dout_i,
+ DOUT_CHK => dout_chk_i
+ );
+
+ fg_pc_nv: fifo_4k_2clk_pctrl
+ GENERIC MAP (
+ AXI_CHANNEL => "Native",
+ C_APPLICATION_TYPE => 0,
+ C_DOUT_WIDTH => 72,
+ C_DIN_WIDTH => 72,
+ C_WR_PNTR_WIDTH => 9,
+ C_RD_PNTR_WIDTH => 9,
+ C_CH_TYPE => 0,
+ FREEZEON_ERROR => FREEZEON_ERROR,
+ TB_SEED => TB_SEED,
+ TB_STOP_CNT => TB_STOP_CNT
+ )
+ PORT MAP(
+ RESET_WR => rst_int_wr,
+ RESET_RD => rst_int_rd,
+ RESET_EN => reset_en,
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ PRC_WR_EN => prc_we_i,
+ PRC_RD_EN => prc_re_i,
+ FULL => full_i,
+ ALMOST_FULL => almost_full_i,
+ ALMOST_EMPTY => almost_empty_i,
+ DOUT_CHK => dout_chk_i,
+ EMPTY => empty_i,
+ DATA_IN => wr_data,
+ DATA_OUT => dout,
+ SIM_DONE => SIM_DONE,
+ STATUS => STATUS
+ );
+
+
+
+
+
+ fifo_4k_2clk_inst : fifo_4k_2clk_exdes
+ PORT MAP (
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ WR_DATA_COUNT => wr_data_count,
+ RD_DATA_COUNT => rd_data_count,
+ RST => rst,
+ WR_EN => wr_en,
+ RD_EN => rd_en,
+ DIN => din,
+ DOUT => dout,
+ FULL => full,
+ EMPTY => empty);
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd
new file mode 100755
index 000000000..51d699e21
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd
@@ -0,0 +1,208 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_4k_2clk_tb.vhd
+--
+-- Description:
+-- This is the demo testbench top file for fifo_generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+LIBRARY std;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.ALL;
+USE IEEE.std_logic_arith.ALL;
+USE IEEE.std_logic_misc.ALL;
+USE ieee.numeric_std.ALL;
+USE ieee.std_logic_textio.ALL;
+USE std.textio.ALL;
+
+LIBRARY work;
+USE work.fifo_4k_2clk_pkg.ALL;
+
+ENTITY fifo_4k_2clk_tb IS
+END ENTITY;
+
+
+ARCHITECTURE fifo_4k_2clk_arch OF fifo_4k_2clk_tb IS
+ SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
+ SIGNAL wr_clk : STD_LOGIC;
+ SIGNAL rd_clk : STD_LOGIC;
+ SIGNAL reset : STD_LOGIC;
+ SIGNAL sim_done : STD_LOGIC := '0';
+ SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
+ -- Write and Read clock periods
+ CONSTANT wr_clk_period_by_2 : TIME := 200 ns;
+ CONSTANT rd_clk_period_by_2 : TIME := 100 ns;
+ -- Procedures to display strings
+ PROCEDURE disp_str(CONSTANT str:IN STRING) IS
+ variable dp_l : line := null;
+ BEGIN
+ write(dp_l,str);
+ writeline(output,dp_l);
+ END PROCEDURE;
+
+ PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
+ variable dp_lx : line := null;
+ BEGIN
+ hwrite(dp_lx,hex);
+ writeline(output,dp_lx);
+ END PROCEDURE;
+
+BEGIN
+
+ -- Generation of clock
+
+ PROCESS BEGIN
+ WAIT FOR 400 ns; -- Wait for global reset
+ WHILE 1 = 1 LOOP
+ wr_clk <= '0';
+ WAIT FOR wr_clk_period_by_2;
+ wr_clk <= '1';
+ WAIT FOR wr_clk_period_by_2;
+ END LOOP;
+ END PROCESS;
+
+ PROCESS BEGIN
+ WAIT FOR 200 ns;-- Wait for global reset
+ WHILE 1 = 1 LOOP
+ rd_clk <= '0';
+ WAIT FOR rd_clk_period_by_2;
+ rd_clk <= '1';
+ WAIT FOR rd_clk_period_by_2;
+ END LOOP;
+ END PROCESS;
+
+ -- Generation of Reset
+
+ PROCESS BEGIN
+ reset <= '1';
+ WAIT FOR 4200 ns;
+ reset <= '0';
+ WAIT;
+ END PROCESS;
+
+
+ -- Error message printing based on STATUS signal from fifo_4k_2clk_synth
+
+ PROCESS(status)
+ BEGIN
+ IF(status /= "0" AND status /= "1") THEN
+ disp_str("STATUS:");
+ disp_hex(status);
+ END IF;
+
+ IF(status(7) = '1') THEN
+ assert false
+ report "Data mismatch found"
+ severity error;
+ END IF;
+
+ IF(status(1) = '1') THEN
+ END IF;
+
+ IF(status(5) = '1') THEN
+ assert false
+ report "Empty flag Mismatch/timeout"
+ severity error;
+ END IF;
+
+ IF(status(6) = '1') THEN
+ assert false
+ report "Full Flag Mismatch/timeout"
+ severity error;
+ END IF;
+ END PROCESS;
+
+
+ PROCESS
+ BEGIN
+ wait until sim_done = '1';
+ IF(status /= "0" AND status /= "1") THEN
+ assert false
+ report "Simulation failed"
+ severity failure;
+ ELSE
+ assert false
+ report "Test Completed Successfully"
+ severity failure;
+ END IF;
+ END PROCESS;
+
+ PROCESS
+ BEGIN
+ wait for 400 ms;
+ assert false
+ report "Test bench timed out"
+ severity failure;
+ END PROCESS;
+
+ -- Instance of fifo_4k_2clk_synth
+
+ fifo_4k_2clk_synth_inst:fifo_4k_2clk_synth
+ GENERIC MAP(
+ FREEZEON_ERROR => 0,
+ TB_STOP_CNT => 2,
+ TB_SEED => 76
+ )
+ PORT MAP(
+ WR_CLK => wr_clk,
+ RD_CLK => rd_clk,
+ RESET => reset,
+ SIM_DONE => sim_done,
+ STATUS => status
+ );
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat
new file mode 100755
index 000000000..3d0783055
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat
@@ -0,0 +1,63 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ..\\..\\..\\fifo_4k_2clk.v
+vhpcomp -work work ..\\..\\example_design\\fifo_4k_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd
+
+vlogcomp -work work $XILINX\\verilog\\src\\glbl.v
+fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe
+
+.\\fifo_4k_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh
new file mode 100755
index 000000000..c3abd5a51
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh
@@ -0,0 +1,65 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ../../../fifo_4k_2clk.v
+vhpcomp -work work ../../example_design/fifo_4k_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ../fifo_4k_2clk_pkg.vhd
+vhpcomp -work work ../fifo_4k_2clk_rng.vhd
+vhpcomp -work work ../fifo_4k_2clk_dgen.vhd
+vhpcomp -work work ../fifo_4k_2clk_dverif.vhd
+vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd
+vhpcomp -work work ../fifo_4k_2clk_synth.vhd
+vhpcomp -work work ../fifo_4k_2clk_tb.vhd
+
+vlogcomp -work work $XILINX/verilog/src/glbl.v
+fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe
+
+./fifo_4k_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat
new file mode 100755
index 000000000..35375ce20
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat
@@ -0,0 +1,47 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do
new file mode 100755
index 000000000..af53abefb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do
@@ -0,0 +1,74 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vlib work
+vmap work work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlog -work work ../../../fifo_4k_2clk.v
+vcom -work work ../../example_design/fifo_4k_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vcom -work work ../fifo_4k_2clk_pkg.vhd
+vcom -work work ../fifo_4k_2clk_rng.vhd
+vcom -work work ../fifo_4k_2clk_dgen.vhd
+vcom -work work ../fifo_4k_2clk_dverif.vhd
+vcom -work work ../fifo_4k_2clk_pctrl.vhd
+vcom -work work ../fifo_4k_2clk_synth.vhd
+vcom -work work ../fifo_4k_2clk_tb.vhd
+
+vlog -work work $env(XILINX)/verilog/src/glbl.v
+vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_4k_2clk_tb
+
+add log -r /*
+do wave_mti.do
+#Ignore integer warnings at time 0
+set StdArithNoWarnings 1
+run 0
+set StdArithNoWarnings 0
+
+run -all
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh
new file mode 100755
index 000000000..edb1b0dd9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh
@@ -0,0 +1,49 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh
new file mode 100755
index 000000000..0d3376452
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh
@@ -0,0 +1,69 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+mkdir work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+ncvlog -work work ../../../fifo_4k_2clk.v
+ncvhdl -v93 -work work ../../example_design/fifo_4k_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd
+
+echo "Elaborating Design"
+ncvlog -work work $XILINX/verilog/src/glbl.v
+ncelab -access +rwc glbl work.fifo_4k_2clk_tb
+
+echo "Simulating Design"
+ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh
new file mode 100755
index 000000000..8c26af20c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh
@@ -0,0 +1,69 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+rm -rf simv* csrc DVEfiles AN.DB
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogan +v2k ../../../fifo_4k_2clk.v
+vhdlan ../../example_design/fifo_4k_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhdlan ../fifo_4k_2clk_pkg.vhd
+vhdlan ../fifo_4k_2clk_rng.vhd
+vhdlan ../fifo_4k_2clk_dgen.vhd
+vhdlan ../fifo_4k_2clk_dverif.vhd
+vhdlan ../fifo_4k_2clk_pctrl.vhd
+vhdlan ../fifo_4k_2clk_synth.vhd
+vhdlan ../fifo_4k_2clk_tb.vhd
+
+echo "Elaborating Design"
+vlogan +v2k $XILINX/verilog/src/glbl.v
+vcs -time_res 1ps +vcs+lic+wait -debug fifo_4k_2clk_tb glbl
+
+echo "Simulating Design"
+./simv -ucli -i ucli_commands.key
+dve -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key
new file mode 100755
index 000000000..9c95a2ade
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key
@@ -0,0 +1,4 @@
+dump -file fifo_4k_2clk.vpd -type VPD
+dump -add fifo_4k_2clk_tb
+run
+quit
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl
new file mode 100755
index 000000000..b9ef9837a
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl
@@ -0,0 +1,77 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: vcs_session.tcl
+#
+# Description:
+# This is the VCS wave form file.
+#
+#--------------------------------------------------------------------------------
+if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } {
+ gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource
+}
+gui_set_precision 1ps
+gui_set_time_units 1ps
+
+
+gui_open_window Wave
+gui_sg_create fifo_4k_2clk_Group
+gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group}
+
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+gui_zoom -window Wave.1 -full
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl
new file mode 100755
index 000000000..10be1a965
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl
@@ -0,0 +1,68 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_isim.tcl
+#
+# Description:
+# This is the ISIM wave form file.
+#
+#--------------------------------------------------------------------------------
+wcfg new
+isim set radix hex
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+run all
+quit
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do
new file mode 100755
index 000000000..adc4cb8d7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do
@@ -0,0 +1,88 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_mti.do
+#
+# Description:
+# This is the modelsim wave form file.
+#
+#--------------------------------------------------------------------------------
+
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+add wave -noupdate -divider WRITE
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN
+add wave -noupdate -divider READ
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT
+
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {2164886 ps} 0}
+configure wave -namecolwidth 197
+configure wave -valuecolwidth 106
+configure wave -justifyvalue left
+configure wave -signalnamewidth 1
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {9464063 ps}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv
new file mode 100755
index 000000000..51819bad9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv
@@ -0,0 +1,70 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_ncsim.sv
+#
+# Description:
+# This is the IUS wave form file.
+#
+#--------------------------------------------------------------------------------
+
+window new WaveWindow -name "Waves for FIFO Generator Example Design"
+waveform using "Waves for FIFO Generator Example Design"
+
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+waveform add -label WRITE
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+waveform add -label READ
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+console submit -using simulator -wait no "run"
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat
new file mode 100755
index 000000000..a6f8ce91f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat
@@ -0,0 +1,61 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ..\\..\\implement\\results\\routed.v
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd
+vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd
+
+fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe
+
+.\\fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh
new file mode 100755
index 000000000..f5ad36b7e
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh
@@ -0,0 +1,63 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ../fifo_4k_2clk_pkg.vhd
+vhpcomp -work work ../fifo_4k_2clk_rng.vhd
+vhpcomp -work work ../fifo_4k_2clk_dgen.vhd
+vhpcomp -work work ../fifo_4k_2clk_dverif.vhd
+vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd
+vhpcomp -work work ../fifo_4k_2clk_synth.vhd
+vhpcomp -work work ../fifo_4k_2clk_tb.vhd
+
+fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe
+
+./fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat
new file mode 100755
index 000000000..35375ce20
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat
@@ -0,0 +1,47 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do
new file mode 100755
index 000000000..74e930a13
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do
@@ -0,0 +1,72 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vlib work
+vmap work work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlog -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vcom -work work ../fifo_4k_2clk_pkg.vhd
+vcom -work work ../fifo_4k_2clk_rng.vhd
+vcom -work work ../fifo_4k_2clk_dgen.vhd
+vcom -work work ../fifo_4k_2clk_dverif.vhd
+vcom -work work ../fifo_4k_2clk_pctrl.vhd
+vcom -work work ../fifo_4k_2clk_synth.vhd
+vcom -work work ../fifo_4k_2clk_tb.vhd
+
+vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf work.fifo_4k_2clk_tb
+
+add log -r /*
+do wave_mti.do
+#Ignore integer warnings at time 0
+set StdArithNoWarnings 1
+run 0
+set StdArithNoWarnings 0
+
+run -all
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh
new file mode 100755
index 000000000..edb1b0dd9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh
@@ -0,0 +1,49 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh
new file mode 100755
index 000000000..a1967adcf
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh
@@ -0,0 +1,73 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+mkdir work
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+ncvlog -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd
+ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd
+
+echo "Compiling SDF file"
+ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X
+
+echo "Generating SDF command file"
+echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd
+echo 'SCOPE = :fifo_4k_2clk_synth_inst:fifo_4k_2clk_inst,' >> sdf.cmd
+echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd
+
+echo "Elaborating Design"
+ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_4k_2clk_tb
+
+echo "Simulating Design"
+ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh
new file mode 100755
index 000000000..f62f540be
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh
@@ -0,0 +1,67 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+rm -rf simv* csrc DVEfiles AN.DB
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogan +v2k ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vhdlan ../fifo_4k_2clk_pkg.vhd
+vhdlan ../fifo_4k_2clk_rng.vhd
+vhdlan ../fifo_4k_2clk_dgen.vhd
+vhdlan ../fifo_4k_2clk_dverif.vhd
+vhdlan ../fifo_4k_2clk_pctrl.vhd
+vhdlan ../fifo_4k_2clk_synth.vhd
+vhdlan ../fifo_4k_2clk_tb.vhd
+
+echo "Elaborating Design"
+vcs -time_res 1ps +neg_tchk -sdf max:/fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_4k_2clk_tb glbl
+
+echo "Simulating Design"
+./simv -ucli -i ucli_commands.key
+dve -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key
new file mode 100755
index 000000000..9c95a2ade
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key
@@ -0,0 +1,4 @@
+dump -file fifo_4k_2clk.vpd -type VPD
+dump -add fifo_4k_2clk_tb
+run
+quit
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl
new file mode 100755
index 000000000..28ebc1163
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl
@@ -0,0 +1,76 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: vcs_session.tcl
+#
+# Description:
+# This is the VCS wave form file.
+#
+#--------------------------------------------------------------------------------
+if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } {
+ gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource
+}
+gui_set_precision 1ps
+gui_set_time_units 1ps
+
+gui_open_window Wave
+gui_sg_create fifo_4k_2clk_Group
+gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group}
+
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+gui_zoom -window Wave.1 -full
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl
new file mode 100755
index 000000000..10be1a965
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl
@@ -0,0 +1,68 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_isim.tcl
+#
+# Description:
+# This is the ISIM wave form file.
+#
+#--------------------------------------------------------------------------------
+wcfg new
+isim set radix hex
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+run all
+quit
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do
new file mode 100755
index 000000000..adc4cb8d7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do
@@ -0,0 +1,88 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_mti.do
+#
+# Description:
+# This is the modelsim wave form file.
+#
+#--------------------------------------------------------------------------------
+
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+add wave -noupdate -divider WRITE
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN
+add wave -noupdate -divider READ
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT
+
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {2164886 ps} 0}
+configure wave -namecolwidth 197
+configure wave -valuecolwidth 106
+configure wave -justifyvalue left
+configure wave -signalnamewidth 1
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {9464063 ps}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv
new file mode 100755
index 000000000..51819bad9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv
@@ -0,0 +1,70 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_ncsim.sv
+#
+# Description:
+# This is the IUS wave form file.
+#
+#--------------------------------------------------------------------------------
+
+window new WaveWindow -name "Waves for FIFO Generator Example Design"
+waveform using "Waves for FIFO Generator Example Design"
+
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST
+waveform add -label WRITE
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL
+waveform add -label READ
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN
+waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY
+console submit -using simulator -wait no "run"
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt
new file mode 100644
index 000000000..e33a4de1c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_flist.txt
@@ -0,0 +1,56 @@
+# Output products list for <fifo_4k_2clk>
+fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt
+fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html
+fifo_4k_2clk/doc/pg057-fifo-generator.pdf
+fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf
+fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd
+fifo_4k_2clk/fifo_generator_v9_3_readme.txt
+fifo_4k_2clk/implement/implement.bat
+fifo_4k_2clk/implement/implement.sh
+fifo_4k_2clk/implement/implement_synplify.bat
+fifo_4k_2clk/implement/implement_synplify.sh
+fifo_4k_2clk/implement/planAhead_ise.bat
+fifo_4k_2clk/implement/planAhead_ise.sh
+fifo_4k_2clk/implement/planAhead_ise.tcl
+fifo_4k_2clk/implement/xst.prj
+fifo_4k_2clk/implement/xst.scr
+fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd
+fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd
+fifo_4k_2clk/simulation/functional/simulate_isim.bat
+fifo_4k_2clk/simulation/functional/simulate_isim.sh
+fifo_4k_2clk/simulation/functional/simulate_mti.bat
+fifo_4k_2clk/simulation/functional/simulate_mti.do
+fifo_4k_2clk/simulation/functional/simulate_mti.sh
+fifo_4k_2clk/simulation/functional/simulate_ncsim.sh
+fifo_4k_2clk/simulation/functional/simulate_vcs.sh
+fifo_4k_2clk/simulation/functional/ucli_commands.key
+fifo_4k_2clk/simulation/functional/vcs_session.tcl
+fifo_4k_2clk/simulation/functional/wave_isim.tcl
+fifo_4k_2clk/simulation/functional/wave_mti.do
+fifo_4k_2clk/simulation/functional/wave_ncsim.sv
+fifo_4k_2clk/simulation/timing/simulate_isim.bat
+fifo_4k_2clk/simulation/timing/simulate_isim.sh
+fifo_4k_2clk/simulation/timing/simulate_mti.bat
+fifo_4k_2clk/simulation/timing/simulate_mti.do
+fifo_4k_2clk/simulation/timing/simulate_mti.sh
+fifo_4k_2clk/simulation/timing/simulate_ncsim.sh
+fifo_4k_2clk/simulation/timing/simulate_vcs.sh
+fifo_4k_2clk/simulation/timing/ucli_commands.key
+fifo_4k_2clk/simulation/timing/vcs_session.tcl
+fifo_4k_2clk/simulation/timing/wave_isim.tcl
+fifo_4k_2clk/simulation/timing/wave_mti.do
+fifo_4k_2clk/simulation/timing/wave_ncsim.sv
+fifo_4k_2clk.asy
+fifo_4k_2clk.gise
+fifo_4k_2clk.ngc
+fifo_4k_2clk.v
+fifo_4k_2clk.veo
+fifo_4k_2clk.xco
+fifo_4k_2clk.xise
+fifo_4k_2clk_flist.txt
+fifo_4k_2clk_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl
new file mode 100644
index 000000000..bdc5c355d
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_4k_2clk_xmdf.tcl
@@ -0,0 +1,251 @@
+# The package naming convention is <core_name>_xmdf
+package provide fifo_4k_2clk_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::fifo_4k_2clk_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::fifo_4k_2clk_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_4k_2clk
+}
+# ::fifo_4k_2clk_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::fifo_4k_2clk_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/pg057-fifo-generator.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/fifo_generator_v9_3_readme.txt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.prj
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.scr
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_ncsim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_vcs.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/ucli_commands.key
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/vcs_session.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_isim.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_ncsim.sv
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_ncsim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_vcs.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/ucli_commands.key
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/vcs_session.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_isim.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_ncsim.sv
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_4k_2clk
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy
new file mode 100644
index 000000000..1c03599f3
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.asy
@@ -0,0 +1,49 @@
+Version 4
+SymbolType BLOCK
+TEXT 32 32 LEFT 4 fifo_short_2clk
+RECTANGLE Normal 32 32 800 4064
+LINE Normal 0 112 32 112
+PIN 0 112 LEFT 36
+PINATTR PinName rst
+PINATTR Polarity IN
+LINE Normal 0 208 32 208
+PIN 0 208 LEFT 36
+PINATTR PinName wr_clk
+PINATTR Polarity IN
+LINE Wide 0 240 32 240
+PIN 0 240 LEFT 36
+PINATTR PinName din[71:0]
+PINATTR Polarity IN
+LINE Normal 0 272 32 272
+PIN 0 272 LEFT 36
+PINATTR PinName wr_en
+PINATTR Polarity IN
+LINE Normal 0 464 32 464
+PIN 0 464 LEFT 36
+PINATTR PinName full
+PINATTR Polarity OUT
+LINE Wide 0 624 32 624
+PIN 0 624 LEFT 36
+PINATTR PinName wr_data_count[5:0]
+PINATTR Polarity OUT
+LINE Normal 832 240 800 240
+PIN 832 240 RIGHT 36
+PINATTR PinName rd_clk
+PINATTR Polarity IN
+LINE Wide 832 272 800 272
+PIN 832 272 RIGHT 36
+PINATTR PinName dout[71:0]
+PINATTR Polarity OUT
+LINE Normal 832 304 800 304
+PIN 832 304 RIGHT 36
+PINATTR PinName rd_en
+PINATTR Polarity IN
+LINE Normal 832 496 800 496
+PIN 832 496 RIGHT 36
+PINATTR PinName empty
+PINATTR Polarity OUT
+LINE Wide 832 656 800 656
+PIN 832 656 RIGHT 36
+PINATTR PinName rd_data_count[5:0]
+PINATTR Polarity OUT
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise
new file mode 100644
index 000000000..ea47d0f4b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.gise
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <!-- -->
+
+ <!-- For tool use only. Do not edit. -->
+
+ <!-- -->
+
+ <!-- ProjectNavigator created generated project file. -->
+
+ <!-- For use in tracking generated file and other information -->
+
+ <!-- allowing preservation of process status. -->
+
+ <!-- -->
+
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+
+ <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
+
+ <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fifo_short_2clk.xise"/>
+
+ <files xmlns="http://www.xilinx.com/XMLSchema">
+ <file xil_pn:fileType="FILE_ASY" xil_pn:name="fifo_short_2clk.asy" xil_pn:origination="imported"/>
+ <file xil_pn:fileType="FILE_VEO" xil_pn:name="fifo_short_2clk.veo" xil_pn:origination="imported"/>
+ </files>
+
+ <transforms xmlns="http://www.xilinx.com/XMLSchema"/>
+
+</generated_project>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf
new file mode 100644
index 000000000..e69de29bb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ncf
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc
new file mode 100644
index 000000000..ec6b0ff10
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.ngc
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$5a044<,[o}e~g`n;"2*73>(-80!<?40028456789:;<=>?012355=78123<=6?892;<56682:34=>7092;4=6?09;;7=6789:;<=6789:;<<5>8:3305668=;0>95=32124>4=AGZ^X7JFA=394;773;0BB][[:EKA84<768:0>7GAPTV9@LE;93:5==5=:HLSQQ<CAM6:6=0>1:09KPRW]]0OCL2>:1<25>4=G\^[YY4KOC>2>5869281CXZ_UU8GKF:6294:=6<5OTVSQQ<CGM6:6=0;;24@=46<=<>;8=:?54234567991>9LO69746=D0>I???78;K5028123A?OLM<<>:147657=22@D[YY4NDEPB81<7688097GAPTV9EABUJ5>1<3?=;48JJUSS2HNO^N2;:1<26>3=AGZ^X7OKDSF?0?69991>M;ON5876100FIH3:7;:4681@<>0>>?32:4:468;55g=12F__\XZ5re]geqgXkfex1;50?3a?3<H]]Z^X7y}_ecweZeh}g~797>12:4B0>0FIH20:NMLCBA@a>0tdq=>jji;85/05>16:2=96D@_UU8gmk:093:5=9582;MVPUSS2me~x19>:1<24>1>MOLMJK691123457731:;<=>?0123456788:04=>7812;<56?812;==570521446709>;>=?;;90BE46<0?;;<=>?01234567<22N4L?4999:456780:?74>?9028=56>89:;<=>?912:0>?4>J805;??;84523011?<=:;86999:23?110<?7479959:BC?682K;<=HI5G:3<5>70920M886687B6>G1<2KJ:L64A@CB230F02KOH_O30?:8EABUI5;546OKDSC?6;><IMNYM1=1a:CG@WG;<3:546OKDSC?0;><IMNYN1>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;><IMNYH1<18:CG@WB;;7k0MIJ]D=694;><IMNYH1:12:CF<>GBIHK9ML74AR[MGZTBO;1I<55M4D3;37B?3K_XSD@IO09@<>E1KJIHON<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CN?1OEL2?>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:6681N86KL8133?@@BN1<<5:776022446<N:L8;<9>F2523457:2LO?6HKC59E@FC43ON[86HKPD68B@@A92M87J@K1:K1?L653@;97D<=;H11?L253@?27D@FTRVBP@0<AGC_SL84IOKW[G0<AGC_SN84IOKW[A5<AG\=7AANDDF5?IIDCLN<7AAHIBCO0>JR\;>0@XZ<4:NVP12<D\^>86BZT778IVAHF<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah5<F9;97C?<;O337>H69:1E=?=4N010?K72;2D::?5A639M<7=I1>1EIYY@RJ38K2=W&=3oSA:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI45_K^JOQQHJ;2ZYI;5_SEMMA4=V>2XJA><B1:Q25>UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C13ZE^^NK9;RVBPPU33]X^I95[YQG5b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\8TUYHR^ATSY2YZ^HZV;3SbQwo=2=53`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR;VS_JPPOVQ_7[XPFXT=:Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP>PQ]D^RMPW]4UVRD^R?9_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V=R_SF\TKRUS=WTTB\P14]l[}i;87;=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtX_[U[BY\T0\]TVZVI\[Q:QRV@R^6\kZ~h494::h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW^XT\CZ][0_\SWYWF]XP>PQWOS]0[jYg5:5=;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ0^[RTXXG^YW>SPXNP\6ZiXpf6;2<8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{U\^R^ATSY0YZQUWYD_^V:R_YMQ[4YhWqe7<3?93:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ULVZEX_U?]^PG[UHSZR;VSUA]_0:\k35<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#_JPPOVQ_4[XZMU[BY\T2\][KWY6?Ve=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-Q@ZVI\[Q9QR\K_QLWV^5ZWQEYS<8Po718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'[NT\CZ][2_\VAYWF]XP8PQWOS]21Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]7UV]YS]@[RZ3^[]IUW=Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP=PQXR^RMPW]5UVRD^R=Po708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'^XT\CZ][3_\SWYWF]XP?PQWOS]1[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^5ZW^XT\CZ][5_\\JTX9Ve=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J30?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:66?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW<S!re]ppbYnfz~$H1<1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-G8681<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&N78388;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/P]qabY7>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%ZSkh_044?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+TYumnU9::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!^_sgd[6003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'XUyijQ;659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-G8581<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&N7=38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*rtX{}mTec}{/E>1:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L595:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<5<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\032<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;:7<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.sf\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@929>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<1<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7=387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:56?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=1=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol09097:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`aX8?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg^353>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT>;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ51?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP4768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=6=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0=098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;97<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV<R.vp\wqaXagy#\Q}ef>1:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1=1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4=4=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\431<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR?97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX:?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^153>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT88=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<1<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:66<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce0?0:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>0:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4=4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ?539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\504<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW;?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR=:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]714=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfY7=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojU:9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,vaYwf}xTknQ=509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]014=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfY3>m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh>l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh9?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[45Xg?o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[45Xg8=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\<TUyii{le^zlvZ739Vrd0=0>6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT=9Q`729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT=8?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX0XYummhiRv`r^36[j343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZdnf5:59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`31?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jj949=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`d7?3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=6=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX8<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^066>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY4=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT88?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP0438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\507<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX:<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT?8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP47a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU;]^pf`pebWqeyS;Q`6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT:Ra>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV:R_sggqfcXpfxT;Ra9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U<Sb?82:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U3=Rv`<1<22f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P8^m46>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[5_\v`brklUscQ61^zl8586>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\=Zi0k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FmijPdhde[rtXzmQ>QRIAD^12[jYflmU8SB[[_b{?5;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?6;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?7;1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GjhiQkigd\swYulR?VSJ@K_23\kZgclV9TCXZPltv?0;1?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ>16:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_0[XOGNT?<Q`_lw{[0YH]]8:;55Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mlw{[aoanV}ySjT5\]DJAY49VeTaxvP5^MVP67002_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FaxvPdhde[rtXzmQ>QRIAD^12[jYj}qU>SB[[405`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kj}qUoekhPws]q`^3ZWNDOS>?Po^ov|Z3XG\^?=R]X06a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HkrpVnbjkQxr^pg_0[XOGNT?<Q`_lw{[0YH]]>:S^Y>799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IhsWmcmjRy}_sfX1XY@FMU8=RaPmtz\1ZIR\<;<46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU:]^EM@Z56WfUfyuQ:_NWW240f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rmv<1<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUhu1>1104a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVir0>0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,IvseWmcmjRy}_sf\phvXkp682<?9b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}6:2;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[iss484::o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;:7<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^nvp9499?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@}zb^fjbcYpzVxoSyc_mww8681k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qcuu>0:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=3=540c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=0=540c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=1=540a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=1=54YA>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?7;76WN<o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^zlv92998=?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS<WTKCJP30]l[hsW<UDYY2?>668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+aoanV}ySjT5\]DJAY49VeTaxvP5^MVP979?=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]2UVMEHR=>_n]nq}Y2WF__0?084:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV;R_FLG[67XgVg~tR;POTV?7;133\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_0[XOGNT?<Q`_lw{[0YH]]6?2::4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP9PQHNE]05ZiXe|rT9RAZT=7=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRg30?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTe1?15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn<!rea,vaYckVc7>3;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXa5959o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;<7?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\m939=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k\40g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_07b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR<:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U89l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZoX<<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#jPdb]j[0053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*Kj}qUYM@Q]D^GM[CQA\8;=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>_bgskq0b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*Kj}qUYM@Q]D^GM[CQA\8;Toh~`t^KMRZ6112_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)Je|rT^LCPRE]FJZ@PN];:S}{pnv6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"hxfu-QEHYUMNE^XRKA1778Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km2<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn:!D`>649V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz ctpq[cqa|VymykPFRO\BCb5>01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(k|xySkyit^qweqcXNZGTJKj=-Hl21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,ahvsqVl|jyQib4;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/dosp|Yao~Te:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#jafnf]fiur~Wo}mxRbjawkmcZcjx}sTjzh{_NP\1Zi002_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)`g`dlShctx]escrXdlk}eciPelrw}Z`pn}UD^R;Po07e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.lf|qYao~Tyo{e=2=12=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,qvcuWkgei;i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m`mq2\gjkw9VEYS>Q`619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q<_n350>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`khv7Wjef|<Q@R^1\k7YT_9<?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&ida}>Pcnos5ZIUW:Ud>R]X1768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/bmnt5Ydgdz:SB\P3^m1[VQ5>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{<Rm`mq3\KWY4Wf9=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV9Tc9;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"|nm^gntqXnkUb9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.pg[uhszVzgy~?30?]jjs1d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[5_\CKBX::UdSljk_1]LQQYg{6;2<?PIOT\420<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT4\]DJAY5;VeTmijP0^MVPZ~hz5;5=<99;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS=WTKCJP22]l[dbcW9UDYYQwos>1:472=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0=0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8482=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0?0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw8682=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|d0909b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_1[XOGNT>>Q`_`fg[5YH]]6:2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY7YZAILV88SbQnde]3[JSS4;4=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[5_\CKBX::UdSljk_1]LQQ:46?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU;]^EM@Z44WfUjhiQ?_NWW8686>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV:R_FLG[75XgVkohR>POTV?0;0d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P8PQHNE]17ZiXimnT<RAZT=6=502<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U;945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^2\`uis==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR?:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[7333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T?8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]71==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0<0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;:7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>0:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1:1569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q=589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W;U:9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[63>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q<_074?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U?:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU89RaPMTZ\=7Yh9?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'Dg~tRm`mq3\CKBX;<UdS@[W_80\k471j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)Je|rTobc1^EM@Z52WfUFYUQ62^m263d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+HkrpVida}?PGOF\70YhWD_SS4<Po015f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-Nip~Xkfg{=RIAD^16[jYJ]QU2>Ra>47`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP34]l[HS_W08Tc<;9b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!Bmtz\gjkw9VMEHR=:_n]NQ]Y>:Ve::;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#nabp0]DJAY4=VeTAXVP93]l1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,vdkXn|fgSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/scn[cskdVc<n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"Cnde]gmc`X{UyhV;R_FLG[61XgVkohR:POTV\g|:36>n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^az81869>i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^nvp959?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ>QRIAD^14[jYflmU?SB[[_mww8180m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GjhiQkigd\swYulR?VSJ@K_25\kZgclV>TCXZPxnp?5;76?l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FmijPdhde[rtXzmQ>QRIAD^14[jYflmU?SB[[_ymq87869>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$Aljk_ekebZquW{nP9PQHNE]03ZiXimnT8RAZT^zlv95998=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@okd^fjbcYpzVxoW8SPGOF\72YhWhnoS9Q@UU]{kw:368;=56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^az8581j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<1<253g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTot2<>04a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7?3?>6`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;:7;=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv96998<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq86869>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?7;76WZ];;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:468;T_Z?80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=<Q\W34`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey090>1618Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,`l`aW~xT~iU:]^EM@Z50WfUjhiQ;_NWW8480;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_0[XOGNT?:Q`_`fg[1YH]]692:=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz dhde[rtXzmQ>QRIAD^14[jYflmU?SB[[<2<47>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQ:36?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$hdhi_vp\vaYsey6;2;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz ws]geqgXkfexRg30?41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8481:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa585:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2<>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?0;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4<4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR>91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^355>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*quWmkmRm`uov\mZ4192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXaV9==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~TeR:91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi^77b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j52d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]Usc2>>034f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7&ni;"naznuY7YZAILV98SbQnde]3[JSSWqey0?0>14c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~7=3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp959=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznu>7:26<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczT4\]DJAY4;VeTmijP0^MVP979?91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznuY7YZAILV98SbQnde]3[JSS4;4<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp^2ZWNDOS>=Po^cg`Z6XG\^7?39>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsS=WTKCJP32]l[dbcW9UDYY2<>053?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dW9SPGOF\76YhWhnoS=Q@UU>7:27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczT4\]DJAY4;VeTmijP0^MVP9299<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\50?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczP24;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~T?874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrX<<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4:76<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4:66<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4:56<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4:46<n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4:36<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bod8'mh<#m`uov\`4Y7=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7&ni;"naznu]g5Z72k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f2[73d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_e3\70e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczPd0]71a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk2=0=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk2=1=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk2=6=1f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk2^06g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj=_27`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi<P4458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pzVzexQltq2858Xag|>i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~<2?>^kmr4YNF_U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.uq[uhszVzgy~<31?]jjs3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${Qnup\tist:5;5Sd`y1^KMRZ6312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<1<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<>14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;:29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:6:7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5683i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<06=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?:>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6::3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;9>4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j84>9<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=3::1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2>>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69<3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:84?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8749<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=00:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2=4?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7>80;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9406=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>1<;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg328<7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4::58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9566=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>06;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg332<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa59>29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:4>7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?7283i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<2:=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=6>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:387>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<50=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:<>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?83:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;<<4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8109<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=64:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2;8?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7840;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8069<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=72:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2:2?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc79>0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<>58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9326=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>62;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg356<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub08614`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?22974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:26=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>54;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg360<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;<14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:1<7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?2083i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<74=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te188>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6=43:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>04?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8383i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<62=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te19>>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6<2974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:?6=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>::1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ?499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[46312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_037=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<<;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW89?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[42312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_077=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<8;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW8=?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[4>312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_0;7<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:9>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\642>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP236:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>>:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:=>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\602>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP276:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>::6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:1>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\6<2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP35;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U8<974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY49=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]061?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<35;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U88974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]021?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<75;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U84974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY41=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]70<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:?489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>:845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ25<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^600<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:;489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>>845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ21<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^640<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR:7489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>2855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ3312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_427=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8?;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW<8?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[05312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_467=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8;;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW<<?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[01312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_4:7=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS87;8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\252>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP606:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT:?:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX>:>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\212>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP646:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT:;:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX>>>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\2=2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP686;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT;974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY08=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]451><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ7499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV3><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB1172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN54363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ9;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,IwgjW[KF>8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)JzhgT^LC<519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI0373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ><:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-NvdkXZHG<9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*KuidUYM@6:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM873?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>3:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209776<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=30:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209736<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=34:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122097?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5;228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81?1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<32=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378779=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0?=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<36=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378739=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0?91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<3:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013787?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734;4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:330<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?778292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;;:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:334<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?738292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;;>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7?50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:338<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?7;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:387?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>77;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:3<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>73;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:307?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6?53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92;>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=73:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209366<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81;<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=77:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209326<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81;8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=7;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122093>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5?59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<73=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378349=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734?959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<77=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378309=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734?=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0;61509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<7;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0137838292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;?94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7;<0:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:37?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>;:06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn494>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7==0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg310<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?578292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;9:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg314<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?538292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;9>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg318<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?5;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:587?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`69=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>17;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:5<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6993;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>13;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:507?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6953;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=13:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9566<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa59928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1=<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=17:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9526<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa59=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1=8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=1;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m95>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub09>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<53=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8149=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4=959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub09:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<57=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8109=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4==59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0961509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<5;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j818292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;=94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc79<0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg353<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?168292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;==4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7980:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg357<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?128292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;=14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7940:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg35?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>54;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:197?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=>3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd293?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>50;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:1=7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=:3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd297?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>5<;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:117?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te19?>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=52:06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m919=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn414><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc753:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ?559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_1]gtjr3n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;;985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<>Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[472=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX98Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP1376?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]26Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:?8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?<_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ73=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8>Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0761>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\50Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=;;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>6^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY6?<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;<Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^3;10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[4>Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<7:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP18]gtjr2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3261>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\65Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT><;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=1^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5:<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV89Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^0010=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[75Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS?::5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP25]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX:<?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U99Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1203<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ41Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<8549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_35\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;2>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>5Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6<323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY51Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ5282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;9?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8<Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ56Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR==549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_20\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:9>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?>Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\71323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY4<Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ<5478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^16[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV9=985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>8Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[612=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;>Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3976?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0<Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U858;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=6_erlp02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ5Xlye8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;0478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^63[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9?Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[142=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX<;Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP4276?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]77Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U?88;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR:;_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ22=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW=?Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_5461>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\03Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT8:;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;7^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY30<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>3Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^6:10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[1?Xlye995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9Qkpnv7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\106<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ37=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<:Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\14Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT9?;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ:2^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY2;<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV?8Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^7710=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[02Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS8;:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP54]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX=??>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U>:Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]6303<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ30Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;7549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4:\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<3>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT94Qkpnv60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\1Zbwg}>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;>:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP61]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U==Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5603<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ05Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR8<549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_71\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW?>>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT:9Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\20323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1=Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ96478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^45[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV<<985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;9Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3>2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>1Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6876?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5=Zbwg}??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=Si~`t5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^564>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\35323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY08Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ81478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^52[avh|<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV=Th}a{4g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_977?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h];[avh|=l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV3>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT5Rjou6a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+wgjW{nTicQf369V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_sgdkprXmg987X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)uidU|~Rka549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,IhsW{nT~~zParpfcZAILV;9SbQBUY]1[j76=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$A`{w_vp\vvrXizxnkRIAD^31[jYJ]QU:Sb?>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,VVRXN\FGSJKA499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm;?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`72?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&myyj>.fpppZ`rde$yyQk35a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|Vxnk1>14b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/pg[wusW{ol0<0;c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlm7>3:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumnU;8o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`W8>i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qabY5<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'}yS}{_sgd8583k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&~xT~~zPrde?5;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr){UyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh_01g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySl}}ef6f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySl}}ef]DJAY6:VeTAXVP2^m74>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`4273\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_`qqabYc::i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#jPrrv\gjke;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]`khd6;m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]bwwc`<l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]bwwc`WNDOS<<Po^OV\Z7Xg=:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\evtboVn:8=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYf{{olSi<<c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-tvZtt|Vidao=k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusWjefn<m4URGQ[SOTAKFN56XFEV]W]UC33^IGG?5XE0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct0h1TSRVCNL]\[5YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ;1^QT4=1<WVUS@CCP_^33[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,cjoioVof|ywPfvdw[icf~`dlShctx]escrXG[U>Sb?8a:]\[]JIEVUT=<QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss4;4:485P_^ZOJHYXW88TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^Ttb|32?323a=XWVRGB@QP_01\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[5_\v`brklUscQ>2^m23f=XWVRGB@QP_06\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[5_\v`brklUscQ9_n34e>YXWQFEARQP14]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7<3?>7c9\[Z^KFDUTS<8P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYdq5:5=<9n;^]\\IHJWVU:;RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#@czx^aliu7XOGNT?8Q`_LW[[<4Xg89<i6QP_YNMIZYX91UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U<]^PG[UHSZR>VSUA]_07\kZ~h494:;i5P_^ZOJHYXW83TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-N|jtX_[U[BY\T3\]TVZVI\[Q?QRV@R^3\kZ~h494:;h5P_^ZOJHYXW8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_ymq86869VY\<:k4_^][HKKXWV8;SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]22ZiXpf6;2<9k;^]\\IHJWVU9=RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+H~hzV]YS]@[RZ2^[RTXXG^YW<SPXNP\0ZiXpf6;2<9n;^]\\IHJWVU9>RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#@czx^aliu7XOGNT?8Q`_LW[[<4Xg8>=86QP_YNMIZYX::UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SS<Q`1047?ZYXPEDFSRQ=4^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+HkrpVxoS}{_`qqabY@FMU:>RaPMTZ\6Zi69>80SRQWLOO\[Z4XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)dgdz;Snabp0]LVZ5Xg;UX[<9i;^]\\IHJWVU8SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]3UVMEHR=<_n]b`aY7WF__0>0>809\[Z^KFDUTS9QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;>=Rv`<1<23d=XWVRGB@QP_4]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\<TULBIQ=3^m\eabX8VE^X1=11928[ZY_DGGTSR8P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})pzVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U2=Rv`<1<23`=XWVRGB@QP_6]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0>0>1^QT62d<WVUS@CCP_^:\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)J{|hThdhi_vp\vaYseyUsc2>>0343>YXWQFEARQP9^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c9$Ce=o5llj]{[uhszz=0obcasge?fsuzVl|jyQ|t`vf7>bce?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<394dhl?74803mce0><17:fjj9546>1oec2<4?58`lh;;<4<7iga<24=3>bnf59<2:5kio>0<;1<l`d7?409;ekm86803mce09>17:fjj9266>1oec2;2?58`lh;<:4<7iga<56=3>bnf5>>2:5kio>72;1<l`d78:08;ekm81>9?2nbb1:6>79gmk:36>1oec2:0?58`lh;=84<7iga<40=3>bnf5?82:5kio>60;1<l`d79808;ekm8009?2nbb1;8>69gmk:207=0hd`358<5?aoi4<4<7iga<72=3>bnf5<:2:5kio>56;1<l`d7:>08;ekm8329?2nbb18:>69gmk:1>7=0hd`366<4?aoi4?25;6jfn=4::3=cag6=2:5kio>44;?<l`d7;<4?>69gmk:097<0hd`37?48`lh;07<0hd`39?68`hcj?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:==cg|~7?408;emvp95902ndyy2;0?:8`jss4=;546j`uu>76;><lf09=18:flqq:3<720hb{{<57=<>bh}}6?:364dnww811902ndyy2;8?:8`jss4=35;6j`uu>7:==cg|~79=07;emvp936611ocxz353<;?air|5?8255kotv?118?3me~x1;:>99gkpr;=?437iazt=74:==cg|~79507;emvp93>6>1ocxz35?:8`jss4?:546j`uu>55;><lf0;<18:flqq:1;720hb{{<76=<>bh}}6=9364dnww830902ndyy297?:8`jss4?2546j`uu>5=;1<lf0;07;emvp9176h1ocxz37083:==cg|~7;<08;emvp919?2ndyy27>69gkpr;17?0i`~{y048bl`hWnoeio{os]qeh`nnf;97kgio^efj`tf|fxTxb~>3:djbjY`mgoymya}_w;\77`<n`ldSjkaescwkwYq1V9',Ugcioz#GJTB(Noeio{os"20+26?2lbjbQheogqeqiuW3T?Rv|tg9emciX{aeyxR<llj33f4=aaoeTea}t^0`hn*aaoeTkh`jr`vlvZp>W:&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah95*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro5<&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?46)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4=9 nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh87+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<2/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp87+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>5)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl79 nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=3.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}86+kVzyiaand^pfcv;6$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb24-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;2$jU{~biPelrw}Zr~xl7; nQrne\ahvsqV~r|hQnxhq>4)eXx{elShctx]w}ucXzhic1="l_qplcZcjx}sTxt~j_sf`l86+kVzycjQjmqvz[qwmV}joe3?,b]svjaXmdzuRzvpd]t`fn:8%iT|ah_dosp|YsqyoT{mg=1.`[uthoVl~`aQ{hsgplZgt{lxS{oc=23/gZvugnUmyabPtipfwmYf{zoyxRxnl^c{mv;68;9'oR~}of]eqijX|axneQnsrgqpZpfdVxjoe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^pggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}joe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^uggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}yoe3>031/gZvugnUmyabPtipfwmYimnkiRxnl<13(fYwzfmTjxbc_u{sa86+kVzycjQiumn\p|vbWhrb0>#c^rqkbYa}efTxt~j_sc`l86+kVzycjQiumn\p|vbW{nhd0>#c^rqkbYa}efTxt~j_vc`l86+kVzycjQiumn\p|vbW~nhd0>#c^rqkbYa}efTxt~j_vp`l86+kVxjoeQ{yqg>4)eXzmUomyoPcnwmpZqnl}b6:!mPre]gauro5:8'oR|k_gpfu87+kVxoS}`{r^uj`qn:=%iT~img_u{sa86+kVxnkR}cibg\ijbbWhrb0>#c^pfcZukajoTabjj_sc`l86+kVxnkR}cibg\ijbbW{nhd0>#c^pfcZukajoTabjj_vc`l86+kVxnkR}cibg\ijbbW~nhd0>#c^pfcZukajoTabjj_vp`l86+kVyrbnf}ookyawYt|hmn1?"l_tlgaw`kg~Ugcz3?,b]vw`YdgdgdbRayesdokr;7$jU~hQlololjZsillxm`by20-a\qvcXllljyazPsdvwmkat59&hSx}j_emvpZtt|4;'oR{|e^g`g86+kVxiRklc^c{mv;7$jU~hQjcb]qefn:8%iTy~kPeba\vaeo59&hSx}j_da`[rgd`4:'oR{|e^g`gZqcka7; nQzsd]fgfYpzjb6<!mPurg\ahdblmooSkh=1.`[pubWocmc<8Pfmcdw86+kVxiRhxfu]geqgXkfex0?#c^tbhlbXdf}6<!mPw`ak[qwm4:'oRykci]w}uc:8%iT{Qncj]okr;7$jU|~Rjnt`]`kphsW~coxe39,b]tvZbbx}b6??"l_vp\``vs`Vkse~3>036/gZquWmo{xeQ}abj>53*dW~xThh~{h^pggm;68;>'oRy}_egspmYpijb6=;"l_vp\``vs`V}ooe3>036/gZquWmo{xeQxrbj>53*dW~xTjk~=0.`[rtXxg~ySzgkti?6(fYpzVzexQxievk[d~n{4;; nQxr^rmpwYpam~cSolh<6/gZquWyd~Ryfduj\vaeo58:'oRy}_qlwvZqnl}bT{lmg=5.`[rtXxg~ySzgkti]t`fn:99&hSz|Ppovq[roc|aU|~nf24-a\swYumzzdb~kPl`vfjf:9%iT{mg_u{sa86z:?1mekaPsimqpZ4ddb&mekaPgdlfvdrhzV|2S>"t}59eqij>3`d{yyQmlj48i`khzp20bjmmuhnge>ir|ySobdb:pg[goiWjd~;5}d^aoo46<zmUomyoPcnwmp969991yhRjnt`]`kphs484:<6|k_ecweZeh}g~7>3??;sf\`drfWje~by2<>028vaYci}kTob{at=6=57=ulVnjxlQlotlw80<768:0~iQkauc\gjsi|5?5=<5}d^f`[cqa|VosxRo|5:pg[`h03{nTjzh{8:pg[ii`aj;97jPsucwqvYadhmxSl}>1:pfw`rXjeaT~lciigm0?wusn2yrbnf}ookyawYank1|~Rlfn^ampw0<{Uh`f??;vp\`drfWje~by2?>028swYci}kTob{at=3=55=pzVnjxlQlotlw878682}ySio{a^alqkr;;7;;7z|Pd`vb[firf}6?2<<4ws]geqgXkfex1;50?33?rtXlh~jSnaznu>6:47<{UooRhxfu]f|qYf{<1|~Rka8:uq[ii`aj;97z|PsucwqvYadhmxSl}r@Ar2447<HIr?5i4I:582V112:o>6n:51211e52=:j?9hv`<e282?k5b<3<0(>k>:2fg?xU0<39n97m;:0106d632;i>?95\a`87e6<72898>l>;:3a620=T?=0?m>4?:0106d632;i>:;5k48f94?7=9rY<:7=j5;a7>454:h:?6?m:339uPa6=83;1=7<=6zQ42?5b=3i?6<=<2`27>7e2;;1/?io5ab9U7`4=:ri87?4uc794>{#k<09:6l;9e83>d5=90n1>?8tH2f:?!b42=3o7W;k:5y1<?4>2;;1>?4r$2g3>1?e3-<:697i;%56>1?b3-im6<5+cd80a3=n<=31<7*l7;67<>hd>3:07d:;7;29 f1=<=20bn851:9j013=83.h;7:;8:l`2?4<3`>?87>5$b5901><fj<1?65f45194?"d?3>?46`l6;68?l23:3:1(n9545:8jf0==21b89?50;&`3?2302dh:784;h674?6=,j=18964nb493>=n<:l1<7*l7;67<>hd>3207d:<e;29 f1=<=20bn859:9j06b=83.h;7:;8:l`2?g<3`>8o7>5$b5901><fj<1n65f42c94?"d?3>?46`l6;a8?l2413:1(n9545:8jf0=l21b8>650;&`3?2302dh:7k4;h603?6=,j=18964nb49b>=n<:<1<7*l7;67<>hd>3;;76g;3483>!e02=>37cm9:038?l24<3:1(n9545:8jf0=9;10e9=<:18'g2<3<11eo;4>3:9j064=83.h;7:;8:l`2?7332c??<4?:%a4>12?3gi=6<;4;h61b?6=,j=18964nb4953=<a=8n6=4+c6870==ik?0:;65f43f94?"d?3>?46`l6;3;?>o3:j0;6)m8:56;?ke128307d:=b;29 f1=<=20bn851`98m14f290/o:4;499mg3<6j21b8?750;&`3?2302dh:7?l;:k76=<72-i<69:7;oa5>4b<3`>9;7>5$b5901><fj<1=h54i505>5<#k>0?855ac782b>=n<;>1<7*l7;67<>hd>38;76g;2283>!e02=>37cm9:338?l25:3:1(n9545:8jf0=:;10e9<>:18'g2<3<11eo;4=3:9j076=83.h;7:;8:l`2?4332c?=k4?:%a4>12?3gi=6?;4;h62a?6=,j=18964nb4963=<a=;o6=4+c6870==ik?09;65f40a94?"d?3>?46`l6;0;?>o39k0;6)m8:56;?ke12;307d:>9;29 f1=<=20bn852`98m17?290/o:4;499mg3<5j21b8<950;&`3?2302dh:7<l;:k753<72-i<69:7;oa5>7b<3`>:97>5$b5901><fj<1>h54i537>5<#k>0?855ac781b>=n<891<7*l7;67<>hd>39;76g;1383>!e02=>37cm9:238?l2693:1(n9545:8jf0=;;10e9??:18'g2<3<11eo;4<3:9j05c=83.h;7:;8:l`2?5332c?<i4?:%a4>12?3gi=6>;4;h63g?6=,j=18964nb4973=<a=:i6=4+c6870==ik?08;65f41c94?"d?3>?46`l6;1;?>o3800;6)m8:56;?ke12:307d:?8;29 f1=<=20bn853`98m160290/o:4;499mg3<4j21b8=850;&`3?2302dh:7=l;:k740<72-i<69:7;oa5>6b<3`>?o7>5$b5901><fj<1?h54i56a>5<#k>0?855ac780b>=n<=k1<7*l7;67<>hd>3>;76g;4783>!e02=>37cm9:538?l24j3:1(n9545:8jf0=<;10e9=?:18'g2<3<11eo;4;3:9j073=83.h;7:;8:l`2?2332c?=l4?:%a4>12?3gi=69;4;h63b?6=,j=18964nb4903=<a=:?6=4+c6870==ik?0?;65f4c694?=n<k=1<75f4`494?=n<j:1<75f4`d94?=h<091<7*l7;6:6>hd>3:07b:61;29 f1=<080bn851:9l0=`=83.h;7:62:l`2?4<3f>3i7>5$b590<4<fj<1?65`49f94?"d?3>2>6`l6;68?j2?k3:1(n954808jf0==21d85l50;&`3?2>:2dh:784;n6;e?6=,j=184<4nb493>=h<131<7*l7;6:6>hd>3207b:78;29 f1=<080bn859:9l0=1=83.h;7:62:l`2?g<3f>3:7>5$b590<4<fj<1n65`49694?"d?3>2>6`l6;a8?j2?;3:1(n954808jf0=l21d85<50;&`3?2>:2dh:7k4;n6;5?6=,j=184<4nb49b>=h<1:1<7*l7;6:6>hd>3;;76a;7g83>!e02=397cm9:038?j20m3:1(n954808jf0=9;10c99k:18'g2<31;1eo;4>3:9l02e=83.h;7:62:l`2?7332e?;o4?:%a4>1?53gi=6<;4;n64=?6=,j=184<4nb4953=<g==36=4+c687=7=ik?0:;65`46594?"d?3>2>6`l6;3;?>i3??0;6)m8:5;1?ke128307b:85;29 f1=<080bn851`98k113290/o:4;939mg3<6j21d8:=50;&`3?2>:2dh:7?l;:m737<72-i<697=;oa5>4b<3f><=7>5$b590<4<fj<1=h54o553>5<#k>0?5?5ac782b>=h<?o1<7*l7;6:6>hd>38;76a;6e83>!e02=397cm9:338?j21k3:1(n954808jf0=:;10c98m:18'g2<31;1eo;4=3:9l03g=83.h;7:62:l`2?4332e?:44?:%a4>1?53gi=6?;4;n65<?6=,j=184<4nb4963=<g=<<6=4+c687=7=ik?09;65`47494?"d?3>2>6`l6;0;?>i3><0;6)m8:5;1?ke12;307b:93;29 f1=<080bn852`98k105290/o:4;939mg3<5j21d8;?50;&`3?2>:2dh:7<l;:m725<72-i<697=;oa5>7b<3f>>j7>5$b590<4<fj<1>h54o57f>5<#k>0?5?5ac781b>=h<<n1<7*l7;6:6>hd>39;76a;5b83>!e02=397cm9:238?j22j3:1(n954808jf0=;;10c9;n:18'g2<31;1eo;4<3:9l00>=83.h;7:62:l`2?5332e?9:4?:%a4>1?53gi=6>;4;n662?6=,j=184<4nb4973=<g=?>6=4+c687=7=ik?08;65`44694?"d?3>2>6`l6;1;?>i3=:0;6)m8:5;1?ke12:307b::2;29 f1=<080bn853`98k136290/o:4;939mg3<4j21d88>50;&`3?2>:2dh:7=l;:m70c<72-i<697=;oa5>6b<3f>2:7>5$b590<4<fj<1?h54o5;6>5<#k>0?5?5ac780b>=h<0>1<7*l7;6:6>hd>3>;76a;9183>!e02=397cm9:538?j2?=3:1(n954808jf0=<;10c99n:18'g2<31;1eo;4;3:9l03`=83.h;7:62:l`2?2332e?:94?:%a4>1?53gi=69;4;n66=?6=,j=184<4nb4903=<g=>n6=4+c687=7=ik?0?;65`4`f94?"d?3>jo6`l6;28?j2fj3:1(n954`a8jf0=921d8lo50;&`3?2fk2dh:7<4;n6b=?6=,j=18lm4nb497>=h<h21<7*l7;6bg>hd>3>07b:n7;29 f1=<hi0bn855:9l0gc=83.h;7:md:l`2?6<3f>io7>5$b590gb<fj<1=65`4c`94?"d?3>ih6`l6;08?j2ei3:1(n954cf8jf0=;21d8o750;&`3?2el2dh:7:4;n6a<?6=,j=18oj4nb491>=h<h:1<75`48594?=e;ml1<7?50;2x a5=1j1C?ik4H2f:?j?e2900qo?79;290?6=8r.o?777;I1ga>N4l01/m948;h7f>5<<a>=1<75fc883>>idj3:17pl>b283>1<729q/h>468:J0``=O;m30(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm1c094?2=83:p(i=5999K7ac<@:n27)o;:69j1`<722c<;7>5;ha:>5<<gjh1<75rb2`e>5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c1``?6=<3:1<v*k3;;;?M5cm2B8h45G5`9'f7<c:2c>i7>5;h54>5<<aj31<75`cc83>>{e;m91<7:50;2x a5=111C?ik4H2f:?M3f3-h96i<4i4g94?=n?>0;66gl9;29?jee2900qo=l2;290?6=8r.o?777;I1ga>N4l01C9l5+b38g6>"f<3=0e8k50;9j32<722ch57>5;naa>5<<uk9o<7>53;294~"c;33>7E=ke:J0`<=O=h1/n?4k2:&b0?4<a<o1<75f7683>>idj3:17pl<d783>6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7f7=83>1<7>t$e19===O;mo0D>j6;I7b?!d52m80(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm3b:94?2=83:p(i=5999K7ac<@:n27)o;:69j1`<722c<;7>5;ha:>5<<gjh1<75rb2a4>5<3290;w)j<:8:8L6bb3A9o56*n4;58m0c=831b;:4?::k`=?6=3fii6=44}c1`2?6=<3:1<v*k3;;;?M5cm2B8h45+a584?l3b2900e:950;9jg<<722ehn7>5;|`0gc<72:0;6=u+d28:1>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<<a>=1<75`cc83>>{e;ji1<7:50;2x a5=111C?ik4H2f:?!g32>1b9h4?::k43?6=3`i26=44ob`94?=zj:ii6=4;:183!b42020D>jj;I1g=>"f<3=0e8k50;9j32<722ch57>5;naa>5<<uk9o97>53;294~"c;33>7E=ke:J0`<=O=h1/n?4k2:&b0?4<a<o1<75f7683>>idj3:17pl<c183>1<729q/h>468:J0``=O;m30D8o4$c09`7=#i=0<7d;j:188m21=831bo44?::m`f?6=3th94o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<d<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9454?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94;4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<0<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9494?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<6<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94?4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94=4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13d<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;44?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;:4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`133<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;84?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`131<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;?4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`134<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;=4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:h4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:n4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:l4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9::4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`123<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:84?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`121<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`127<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9:<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`125<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99n4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99l4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`11<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9954?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`112<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99;4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`110<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9994?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`116<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`115<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10d<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9844?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`10=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th94i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`1<f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9444?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`13`<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th9;>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`12=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th99i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`117<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th98:4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`103<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th95=4?:3494?6|,m91on5G3eg8L6b>3S?o6nu>8;3:>4g=9k0i6n4k:d8e>46=980:>7s+a480?!g12:1/m:4<;%c;>6=n;mh1<75f3ea94?=n?k0;6)m8:6c8jf0=821b;44?:%a4>2g<fj<1=65f7d83>!e02>n0bn850:9j3f<72-i<6:j4nb495>=n080;6)m8:928jf0=821b;k4?:%a4>=6<fj<1=65f8583>!e02190bn850:9j<7<72-i<65=4nb495>=n<<0;6)m8:568jf0=821b8>4?:%a4>12<fj<1=65f4383>!e02=>0bn852:9j04<72-i<69:4nb497>=n<90;6)m8:568jf0=<21b8o4?:%a4>1g<fj<1<65f4883>!e02=k0bn851:9j0=<72-i<69o4nb496>=n<>0;6)m8:5c8jf0=;21b8;4?:%a4>1g<fj<1865f5083>!e02<:0bn850:9j0c<72-i<68>4nb495>=n<l0;6)m8:428jf0=:21b8i4?:%a4>06<fj<1?65f4b83>!e02<:0bn854:9j12<72-i<6884nb494>=n=<0;6)m8:448jf0=921b994?:%a4>00<fj<1>65f5283>!e02<<0bn853:9j17<72-i<6884nb490>=h0>0;6)m8:948jf0=821d484?:%a4>=0<fj<1=65`8`83>!e02130bn850:9l<=<72-i<6574nb495>=h0m0;6)m8:9a8jf0=821d4o4?:%a4>=e<fj<1=65`9183>!e021l0bn850:9l<`<72-i<65h4nb495>=zj;2m6=4=6;294~"c;3ih7E=ke:J0`<=]=m0hw<651882e?7e2k0h6i4j:g824?762881q)o::29'e3<43-k<6>5+a980?l5cj3:17d=kc;29?l1e290/o:48a:l`2?6<3`=26=4+c684e>hd>3;07d9j:18'g2<0l2dh:7>4;h5`>5<#k>0<h6`l6;38?l>6290/o:470:l`2?6<3`=m6=4+c68;4>hd>3;07d6;:18'g2<?;2dh:7>4;h:1>5<#k>03?6`l6;38?l22290/o:4;4:l`2?6<3`>86=4+c6870>hd>3;07d:=:18'g2<3<2dh:7<4;h62>5<#k>0?86`l6;18?l27290/o:4;4:l`2?2<3`>i6=4+c687e>hd>3:07d:6:18'g2<3i2dh:7?4;h6;>5<#k>0?m6`l6;08?l20290/o:4;a:l`2?5<3`>=6=4+c687e>hd>3>07d;>:18'g2<282dh:7>4;h6e>5<#k>0><6`l6;38?l2b290/o:4:0:l`2?4<3`>o6=4+c6864>hd>3907d:l:18'g2<282dh:7:4;h74>5<#k>0>:6`l6;28?l32290/o:4:6:l`2?7<3`??6=4+c6862>hd>3807d;<:18'g2<2>2dh:7=4;h71>5<#k>0>:6`l6;68?j>0290/o:476:l`2?6<3f2>6=4+c68;2>hd>3;07b6n:18'g2<?12dh:7>4;n:;>5<#k>0356`l6;38?j>c290/o:47c:l`2?6<3f2i6=4+c68;g>hd>3;07b7?:18'g2<?n2dh:7>4;n:f>5<#k>03j6`l6;38?xd5180;6?850;2x a5=kj1C?ik4H2f:?_3c2jq:47?6:0c95g<e2j0o6h4i:02954<6:3w/m84<;%c5>6=#i>087)o7:29j7ad=831b?im50;9j3g<72-i<6:o4nb494>=n?00;6)m8:6c8jf0=921b;h4?:%a4>2b<fj<1<65f7b83>!e02>n0bn851:9j<4<72-i<65>4nb494>=n?o0;6)m8:928jf0=921b494?:%a4>=5<fj<1<65f8383>!e02190bn851:9j00<72-i<69:4nb494>=n<:0;6)m8:568jf0=921b8?4?:%a4>12<fj<1>65f4083>!e02=>0bn853:9j05<72-i<69:4nb490>=n<k0;6)m8:5c8jf0=821b844?:%a4>1g<fj<1=65f4983>!e02=k0bn852:9j02<72-i<69o4nb497>=n<?0;6)m8:5c8jf0=<21b9<4?:%a4>06<fj<1<65f4g83>!e02<:0bn851:9j0`<72-i<68>4nb496>=n<m0;6)m8:428jf0=;21b8n4?:%a4>06<fj<1865f5683>!e02<<0bn850:9j10<72-i<6884nb495>=n==0;6)m8:448jf0=:21b9>4?:%a4>00<fj<1?65f5383>!e02<<0bn854:9l<2<72-i<6584nb494>=h0<0;6)m8:948jf0=921d4l4?:%a4>=?<fj<1<65`8983>!e02130bn851:9l<a<72-i<65m4nb494>=h0k0;6)m8:9a8jf0=921d5=4?:%a4>=`<fj<1<65`8d83>!e021l0bn851:9~f7??2909:7>50z&g7?ed3A9oi6F<d89Y1a<ds821=44>a;3a>g<d2m0n6k4>0;32>44=u-k>6>5+a780?!g02:1/m54<;h1gf?6=3`9oo7>5;h5a>5<#k>0<m6`l6;28?l1>290/o:48a:l`2?7<3`=n6=4+c684`>hd>3:07d9l:18'g2<0l2dh:7?4;h:2>5<#k>03<6`l6;28?l1a290/o:470:l`2?7<3`2?6=4+c68;7>hd>3:07d6=:18'g2<?;2dh:7?4;h66>5<#k>0?86`l6;28?l24290/o:4;4:l`2?7<3`>96=4+c6870>hd>3807d:>:18'g2<3<2dh:7=4;h63>5<#k>0?86`l6;68?l2e290/o:4;a:l`2?6<3`>26=4+c687e>hd>3;07d:7:18'g2<3i2dh:7<4;h64>5<#k>0?m6`l6;18?l21290/o:4;a:l`2?2<3`?:6=4+c6864>hd>3:07d:i:18'g2<282dh:7?4;h6f>5<#k>0><6`l6;08?l2c290/o:4:0:l`2?5<3`>h6=4+c6864>hd>3>07d;8:18'g2<2>2dh:7>4;h76>5<#k>0>:6`l6;38?l33290/o:4:6:l`2?4<3`?86=4+c6862>hd>3907d;=:18'g2<2>2dh:7:4;n:4>5<#k>03:6`l6;28?j>2290/o:476:l`2?7<3f2j6=4+c68;=>hd>3:07b67:18'g2<?12dh:7?4;n:g>5<#k>03o6`l6;28?j>e290/o:47c:l`2?7<3f3;6=4+c68;b>hd>3:07b6j:18'g2<?n2dh:7?4;|`1=2<72;<1<7>t$e19gf=O;mo0D>j6;[7g>f}603;26<o51c8a>f<c2l0m6<>510826?{#i<087)o9:29'e2<43-k36>5f3e`94?=n;mi1<75f7c83>!e02>k0bn850:9j3<<72-i<6:o4nb495>=n?l0;6)m8:6f8jf0=821b;n4?:%a4>2b<fj<1=65f8083>!e021:0bn850:9j3c<72-i<65>4nb495>=n0=0;6)m8:918jf0=821b4?4?:%a4>=5<fj<1=65f4483>!e02=>0bn850:9j06<72-i<69:4nb495>=n<;0;6)m8:568jf0=:21b8<4?:%a4>12<fj<1?65f4183>!e02=>0bn854:9j0g<72-i<69o4nb494>=n<00;6)m8:5c8jf0=921b854?:%a4>1g<fj<1>65f4683>!e02=k0bn853:9j03<72-i<69o4nb490>=n=80;6)m8:428jf0=821b8k4?:%a4>06<fj<1=65f4d83>!e02<:0bn852:9j0a<72-i<68>4nb497>=n<j0;6)m8:428jf0=<21b9:4?:%a4>00<fj<1<65f5483>!e02<<0bn851:9j11<72-i<6884nb496>=n=:0;6)m8:448jf0=;21b9?4?:%a4>00<fj<1865`8683>!e021<0bn850:9l<0<72-i<6584nb495>=h0h0;6)m8:9;8jf0=821d454?:%a4>=?<fj<1=65`8e83>!e021i0bn850:9l<g<72-i<65m4nb495>=h190;6)m8:9d8jf0=821d4h4?:%a4>=`<fj<1=65rb3;:>5<5>3:1<v*k3;a`?M5cm2B8h45U5e8`4>=900:m7?m:c8`>a<b2o0:<7?>:009y!g22:1/m;4<;%c4>6=#i1087d=kb;29?l5ck3:17d9m:18'g2<0i2dh:7>4;h5:>5<#k>0<m6`l6;38?l1b290/o:48d:l`2?6<3`=h6=4+c684`>hd>3;07d6>:18'g2<?82dh:7>4;h5e>5<#k>03<6`l6;38?l>3290/o:473:l`2?6<3`296=4+c68;7>hd>3;07d:::18'g2<3<2dh:7>4;h60>5<#k>0?86`l6;38?l25290/o:4;4:l`2?4<3`>:6=4+c6870>hd>3907d:?:18'g2<3<2dh:7:4;h6a>5<#k>0?m6`l6;28?l2>290/o:4;a:l`2?7<3`>36=4+c687e>hd>3807d:8:18'g2<3i2dh:7=4;h65>5<#k>0?m6`l6;68?l36290/o:4:0:l`2?6<3`>m6=4+c6864>hd>3;07d:j:18'g2<282dh:7<4;h6g>5<#k>0><6`l6;18?l2d290/o:4:0:l`2?2<3`?<6=4+c6862>hd>3:07d;::18'g2<2>2dh:7?4;h77>5<#k>0>:6`l6;08?l34290/o:4:6:l`2?5<3`?96=4+c6862>hd>3>07b68:18'g2<?>2dh:7>4;n:6>5<#k>03:6`l6;38?j>f290/o:479:l`2?6<3f236=4+c68;=>hd>3;07b6k:18'g2<?k2dh:7>4;n:a>5<#k>03o6`l6;38?j?7290/o:47f:l`2?6<3f2n6=4+c68;b>hd>3;07pl=9483>70=83:p(i=5cb9K7ac<@:n27W;k:by2<?7>28k1=o4m:b8g>`<a28:1=<4>2;'e0<43-k=6>5+a680?!g?2:1b?il50;9j7ae=831b;o4?:%a4>2g<fj<1<65f7883>!e02>k0bn851:9j3`<72-i<6:j4nb494>=n?j0;6)m8:6f8jf0=921b4<4?:%a4>=6<fj<1<65f7g83>!e021:0bn851:9j<1<72-i<65=4nb494>=n0;0;6)m8:918jf0=921b884?:%a4>12<fj<1<65f4283>!e02=>0bn851:9j07<72-i<69:4nb496>=n<80;6)m8:568jf0=;21b8=4?:%a4>12<fj<1865f4c83>!e02=k0bn850:9j0<<72-i<69o4nb495>=n<10;6)m8:5c8jf0=:21b8:4?:%a4>1g<fj<1?65f4783>!e02=k0bn854:9j14<72-i<68>4nb494>=n<o0;6)m8:428jf0=921b8h4?:%a4>06<fj<1>65f4e83>!e02<:0bn853:9j0f<72-i<68>4nb490>=n=>0;6)m8:448jf0=821b984?:%a4>00<fj<1=65f5583>!e02<<0bn852:9j16<72-i<6884nb497>=n=;0;6)m8:448jf0=<21d4:4?:%a4>=0<fj<1<65`8483>!e021<0bn851:9l<d<72-i<6574nb494>=h010;6)m8:9;8jf0=921d4i4?:%a4>=e<fj<1<65`8c83>!e021i0bn851:9l=5<72-i<65h4nb494>=h0l0;6)m8:9d8jf0=921vn?7;:1812?6=8r.o?7ml;I1ga>N4l01Q9i4l{0:95<<6i3;i6o4l:e8f>c<683;:6<<5}%c6>6=#i?087)o8:29'e=<43`9on7>5;h1gg?6=3`=i6=4+c684e>hd>3:07d96:18'g2<0i2dh:7?4;h5f>5<#k>0<h6`l6;28?l1d290/o:48d:l`2?7<3`2:6=4+c68;4>hd>3:07d9i:18'g2<?82dh:7?4;h:7>5<#k>03?6`l6;28?l>5290/o:473:l`2?7<3`>>6=4+c6870>hd>3:07d:<:18'g2<3<2dh:7?4;h61>5<#k>0?86`l6;08?l26290/o:4;4:l`2?5<3`>;6=4+c6870>hd>3>07d:m:18'g2<3i2dh:7>4;h6:>5<#k>0?m6`l6;38?l2?290/o:4;a:l`2?4<3`><6=4+c687e>hd>3907d:9:18'g2<3i2dh:7:4;h72>5<#k>0><6`l6;28?l2a290/o:4:0:l`2?7<3`>n6=4+c6864>hd>3807d:k:18'g2<282dh:7=4;h6`>5<#k>0><6`l6;68?l30290/o:4:6:l`2?6<3`?>6=4+c6862>hd>3;07d;;:18'g2<2>2dh:7<4;h70>5<#k>0>:6`l6;18?l35290/o:4:6:l`2?2<3f2<6=4+c68;2>hd>3:07b6::18'g2<?>2dh:7?4;n:b>5<#k>0356`l6;28?j>?290/o:479:l`2?7<3f2o6=4+c68;g>hd>3:07b6m:18'g2<?k2dh:7?4;n;3>5<#k>03j6`l6;28?j>b290/o:47f:l`2?7<3th95;4?:3494?6|,m91on5G3eg8L6b>3S?o6nu>8;3:>4g=9k0i6n4k:d8e>46=980:>7s+a480?!g12:1/m:4<;%c;>6=n;mh1<75f3ea94?=n?k0;6)m8:6c8jf0=821b;44?:%a4>2g<fj<1=65f7d83>!e02>n0bn850:9j3f<72-i<6:j4nb495>=n080;6)m8:928jf0=821b;k4?:%a4>=6<fj<1=65f8583>!e02190bn850:9j<7<72-i<65=4nb495>=n<<0;6)m8:568jf0=821b8>4?:%a4>12<fj<1=65f4383>!e02=>0bn852:9j04<72-i<69:4nb497>=n<90;6)m8:568jf0=<21b8o4?:%a4>1g<fj<1<65f4883>!e02=k0bn851:9j0=<72-i<69o4nb496>=n<>0;6)m8:5c8jf0=;21b8;4?:%a4>1g<fj<1865f5083>!e02<:0bn850:9j0c<72-i<68>4nb495>=n<l0;6)m8:428jf0=:21b8i4?:%a4>06<fj<1?65f4b83>!e02<:0bn854:9j12<72-i<6884nb494>=n=<0;6)m8:448jf0=921b994?:%a4>00<fj<1>65f5283>!e02<<0bn853:9j17<72-i<6884nb490>=h0>0;6)m8:948jf0=821d484?:%a4>=0<fj<1=65`8`83>!e02130bn850:9l<=<72-i<6574nb495>=h0m0;6)m8:9a8jf0=821d4o4?:%a4>=e<fj<1=65`9183>!e021l0bn850:9l<`<72-i<65h4nb495>=zj;396=4=6;294~"c;3ih7E=ke:J0`<=]=m0hw<651882e?7e2k0h6i4j:g824?762881q)o::29'e3<43-k<6>5+a980?l5cj3:17d=kc;29?l1e290/o:48a:l`2?6<3`=26=4+c684e>hd>3;07d9j:18'g2<0l2dh:7>4;h5`>5<#k>0<h6`l6;38?l>6290/o:470:l`2?6<3`=m6=4+c68;4>hd>3;07d6;:18'g2<?;2dh:7>4;h:1>5<#k>03?6`l6;38?l22290/o:4;4:l`2?6<3`>86=4+c6870>hd>3;07d:=:18'g2<3<2dh:7<4;h62>5<#k>0?86`l6;18?l27290/o:4;4:l`2?2<3`>i6=4+c687e>hd>3:07d:6:18'g2<3i2dh:7?4;h6;>5<#k>0?m6`l6;08?l20290/o:4;a:l`2?5<3`>=6=4+c687e>hd>3>07d;>:18'g2<282dh:7>4;h6e>5<#k>0><6`l6;38?l2b290/o:4:0:l`2?4<3`>o6=4+c6864>hd>3907d:l:18'g2<282dh:7:4;h74>5<#k>0>:6`l6;28?l32290/o:4:6:l`2?7<3`??6=4+c6862>hd>3807d;<:18'g2<2>2dh:7=4;h71>5<#k>0>:6`l6;68?j>0290/o:476:l`2?6<3f2>6=4+c68;2>hd>3;07b6n:18'g2<?12dh:7>4;n:;>5<#k>0356`l6;38?j>c290/o:47c:l`2?6<3f2i6=4+c68;g>hd>3;07b7?:18'g2<?n2dh:7>4;n:f>5<#k>03j6`l6;38?xd50l0;6?850;2x a5=kj1C?ik4H2f:?_3c2jq:47?6:0c95g<e2j0o6h4i:02954<6:3w/m84<;%c5>6=#i>087)o7:29j7ad=831b?im50;9j3g<72-i<6:o4nb494>=n?00;6)m8:6c8jf0=921b;h4?:%a4>2b<fj<1<65f7b83>!e02>n0bn851:9j<4<72-i<65>4nb494>=n?o0;6)m8:928jf0=921b494?:%a4>=5<fj<1<65f8383>!e02190bn851:9j00<72-i<69:4nb494>=n<:0;6)m8:568jf0=921b8?4?:%a4>12<fj<1>65f4083>!e02=>0bn853:9j05<72-i<69:4nb490>=n<k0;6)m8:5c8jf0=821b844?:%a4>1g<fj<1=65f4983>!e02=k0bn852:9j02<72-i<69o4nb497>=n<?0;6)m8:5c8jf0=<21b9<4?:%a4>06<fj<1<65f4g83>!e02<:0bn851:9j0`<72-i<68>4nb496>=n<m0;6)m8:428jf0=;21b8n4?:%a4>06<fj<1865f5683>!e02<<0bn850:9j10<72-i<6884nb495>=n==0;6)m8:448jf0=:21b9>4?:%a4>00<fj<1?65f5383>!e02<<0bn854:9l<2<72-i<6584nb494>=h0<0;6)m8:948jf0=921d4l4?:%a4>=?<fj<1<65`8983>!e02130bn851:9l<a<72-i<65m4nb494>=h0k0;6)m8:9a8jf0=921d5=4?:%a4>=`<fj<1<65`8d83>!e021l0bn851:9~f7?42909:7>50z&g7?ed3A9oi6F<d89Y1a<ds821=44>a;3a>g<d2m0n6k4>0;32>44=u-k>6>5+a780?!g02:1/m54<;h1gf?6=3`9oo7>5;h5a>5<#k>0<m6`l6;28?l1>290/o:48a:l`2?7<3`=n6=4+c684`>hd>3:07d9l:18'g2<0l2dh:7?4;h:2>5<#k>03<6`l6;28?l1a290/o:470:l`2?7<3`2?6=4+c68;7>hd>3:07d6=:18'g2<?;2dh:7?4;h66>5<#k>0?86`l6;28?l24290/o:4;4:l`2?7<3`>96=4+c6870>hd>3807d:>:18'g2<3<2dh:7=4;h63>5<#k>0?86`l6;68?l2e290/o:4;a:l`2?6<3`>26=4+c687e>hd>3;07d:7:18'g2<3i2dh:7<4;h64>5<#k>0?m6`l6;18?l21290/o:4;a:l`2?2<3`?:6=4+c6864>hd>3:07d:i:18'g2<282dh:7?4;h6f>5<#k>0><6`l6;08?l2c290/o:4:0:l`2?5<3`>h6=4+c6864>hd>3>07d;8:18'g2<2>2dh:7>4;h76>5<#k>0>:6`l6;38?l33290/o:4:6:l`2?4<3`?86=4+c6862>hd>3907d;=:18'g2<2>2dh:7:4;n:4>5<#k>03:6`l6;28?j>2290/o:476:l`2?7<3f2j6=4+c68;=>hd>3:07b67:18'g2<?12dh:7?4;n:g>5<#k>03o6`l6;28?j>e290/o:47c:l`2?7<3f3;6=4+c68;b>hd>3:07b6j:18'g2<?n2dh:7?4;|`0f6<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8n<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0ea<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8mo4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8m:4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e0<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8m>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0e4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8584?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0=6<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th84i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8444?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<2<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8484?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<4<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`03a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`03<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;:4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`030<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8;>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`034<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`02g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:44?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`022<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:84?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`026<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th89i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8944?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`010<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th89>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`014<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88k4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`00a<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88o4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`00<<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88:4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`000<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88>4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`07c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`07g<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?44?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`072<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?84?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`076<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8?<4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`06c<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8>i4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0f=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8n;4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0f5<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th85l4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`0<1<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8:h4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`01=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th88?4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`06f<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th8>l4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`234<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a526=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f40a290?6=4?{%f0><0<@:nn7E=k9:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg71m3:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd6>m0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e9?i1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8k26=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0c4>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8k>6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0c0>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj83n6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0;g>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj83h6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c<?7>5;h54>5<<gjh1<75rb0;a>5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8326=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<<gjh1<75rb05b>5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c343?6=<3:1<v*k3;;5?M5cm2B8h45+a581?l3b2900e:=50;9j32<722ehn7>5;|`2<4<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a52b=83>1<7>t$e19===O;mo0D>j6;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f41d290?6=4?{%f0><><@:nn7E=k9:&b0?1<a<o1<75f7683>>od13:17bmm:188yg7c03:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd6l>0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e9m<1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8n>6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;o87>54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:h>4?:583>5}#l:02:6F<dd9K7a?<,h>1>6g:e;29?l142900e:950;9lgg<722wi=k750;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c>=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k950;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c5=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k<50;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5c7=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=k>50;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5``=83?1<7>t$e19=<=O;mo0D>j6;%c7>2=n=l0;66g90;29?l102900en750;9lgg<722wi=h750;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5`>=83?1<7>t$e19=2=O;mo0D>j6;%c7>7=n=l0;66g90;29?l142900e:950;9lgg<722wi=h950;794?6|,m915:5G3eg8L6b>3-k?6?5f5d83>>o183:17d9<:188m21=831doo4?::a5`0=83?1<7>t$e19=<=O;mo0D>j6;%c7>2=n=l0;66g90;29?l102900en750;9lgg<722wij>4?:583>5}#l:02:6F<dd9K7a?<@<k0(o<5d39'e1<53`?n6=44i6194?=n?>0;66alb;29?xda<3:187>50z&g7??13A9oi6F<d89K1d=#j;0o>6*n4;08m0c=831b;>4?::k43?6=3fii6=44}cd6>5<3290;w)j<:848L6bb3A9o56F:a:&a6?b53-k?6?5f5d83>>o0;3:17d98:188kfd=831vnk850;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{en>0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`254<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<<a>91<75f7683>>idj3:17pl>1383>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:=>4?:583>5}#l:02:6F<dd9K7a?<@<k0(o<5d39'e1<53`?n6=44i6194?=n?>0;66alb;29?xd69=0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`250<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<<a>91<75f7683>>idj3:17plj9;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<<ukoj6=4;:183!b420<0D>jj;I1g=>N2i2.i>7j=;%c7>7=n=l0;66g83;29?l102900cnl50;9~f`d=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66smeb83>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3thnh7>54;294~"c;33=7E=ke:J0`<=O=h1/n?4k2:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg77:3:187>50z&g7??13A9oi6F<d89K1d=#j;0o>6*n4;08m0c=831b;>4?::k43?6=3fii6=44}c337?6=<3:1<v*k3;;5?M5cm2B8h45G5`9'f7<c:2.j87<4i4g94?=n?:0;66g87;29?jee2900qo??4;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;;97>54;294~"c;33=7E=ke:J0`<=O=h1/n?4k2:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg77>3:187>50z&g7??13A9oi6F<d89K1d=#j;0o>6*n4;08m0c=831b;>4?::k43?6=3fii6=44}c302?6=<3:1<v*k3;;5?M5cm2B8h45+a581?l3b2900e:=50;9j32<722ehn7>5;|`270<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a562=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f454290?6=4?{%f0><0<@:nn7E=k9:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg74:3:187>50z&g7??13A9oi6F<d89'e1<53`?n6=44i6194?=n?>0;66alb;29?xd69o0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<<a>=1<75`cc83>>{e98o1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8;o6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<<uk;:o7>54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:=o4?:583>5}#l:02:6F<dd9K7a?<,h>1>6g:e;29?l142900e:950;9lgg<722wi=?:50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<<<:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?=2;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<<a>91<75f7683>>idj3:17pl>2083>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm13294?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c<?7>5;h54>5<<gjh1<75rb01a>5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c30e?6=<3:1<v*k3;;5?M5cm2B8h45+a581?l3b2900e:=50;9j32<722ehn7>5;|`27<<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a56>=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f450290?6=4?{%f0><0<@:nn7E=k9:&b0?4<a<o1<75f7283>>o0?3:17bmm:188yg7c13:1?7>50z&g7?gc3A9oi6F<d89'e1<>3`3n6=44i8d94?=hk:0;66sm3cf94?5=83:p(i=5ae9K7ac<@:n27)o;:89'g=<4n>1b5h4?::k:b?6=3fi86=44}c1aa?6=;3:1<v*k3;cg?M5cm2B8h45+a58:?!e?2:l=7d7j:188m<`=831do>4?::a7a4=8391<7>t$e19ea=O;mo0D>j6;%c7><=#k108j:5f9d83>>o>n3:17bm<:188yg5c03:1?7>50z&g7?gc3A9oi6F<d89'e1<>3-i36>h9;h;f>5<<a0l1<75`c283>>{e9=o1<7950;2x a5=j81C?ik4H2f:?!g32?1b5h4?::k:b?6=3`k;6=44i`394?=ni;0;66gn3;29?je42900qo?;f;293?6=8r.o?7l>;I1ga>N4l01/m94=b:k:a?6=3`3m6=44i`294?=ni80;66gn2;29?lg42900cn=50;9~f43>29086=4?{%f0>db<@:nn7E=k9:&b0?563`3n6=44i8d94?=hk:0;66sm14594?5=83:p(i=5ae9K7ac<@:n27)o;:238m<c=831b5k4?::m`7?6=3th:984?:283>5}#l:0jh6F<dd9K7a?<,h>1?<5f9d83>>o>n3:17bm<:188yg7>>3:197>50z&g7?ga3A9oi6F<d89'e1<5<2.h47=ib:k:a?6=3`3m6=44i`294?=ni80;66al3;29?xd6110;6;4?:1y'`6<e82B8hh5G3e;8 d2=:<1/o54<fc9j=`<722c2j7>5;hc3>5<<ah;1<75fa383>>id;3:17pl>8e83>1<729q/h>4ne:J0``=O;m30(l:5229'g=<4mh1b5h4?::k:b?6=3`k;6=44ob194?=zj82h6=4<:183!b42hn0D>jj;I1g=>"f<3;o7)m7:2gb?l?b2900e4h50;9lg6<722wi=:850;694?6|,m91mh5G3eg8L6b>3-k?68l4i8g94?=n1o0;66gn0;29?je42900qo?8f;291?6=8r.o?7oi;I1ga>N4l01/m94<0:&`<?5b02c2i7>5;h;e>5<<ah:1<75fa083>>id;3:17pl>7383>0<729q/h>4nf:J0``=O;m30(l:5a:k:a?6=3`3m6=44i`294?=ni80;66al3;29?xd6?00;684?:1y'`6<fn2B8hh5G3e;8 d2=9?1/o54<fg9j=`<722c2j7>5;hc3>5<<ah;1<75`c283>>{e9>h1<7:50;2x a5=il1C?ik4H2f:?!g32<20(n653gd8m<c=831b5k4?::kb4?6=3fi86=44}c3`5?6=;3:1<v*k3;cg?M5cm2B8h45+a5805>"d039n56g6e;29?l?a2900cn=50;9~f44129086=4?{%f0>db<@:nn7E=k9:&b0?7c3-i36>km;h;f>5<<a0l1<75`c283>>{e9;21<7:50;2x a5=il1C?ik4H2f:?!g32:<0(n653d`8m<c=831b5k4?::kb4?6=3fi86=44}c30`?6=;3:1<v*k3;cg?M5cm2B8h45+a582`>"d039no6g6e;29?l?a2900cn=50;9~f45a290?6=4?{%f0>dc<@:nn7E=k9:&b0?513-i36>kl;h;f>5<<a0l1<75fa183>>id;3:17plkb;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`<?5a92c2i7>5;h;e>5<<gj91<75rbec94?5=83:p(i=5ae9K7ac<@:n27)o;:0f8m<c=831b5k4?::m`7?6=3tho;7>53;294~"c;3ko7E=ke:J0`<=#i=0:h6*l8;1e4>o>m3:17d7i:188kf5=831vni850;194?6|,m91mi5G3eg8L6b>3-k?6<j4$b:97c5<a0o1<75f9g83>>id;3:17plk5;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`<?5a;2c2i7>5;h;e>5<<gj91<75rb00a>5<1290;w)j<:c28L6bb3A9o56*n4;1;?!e?2:lj7d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8>96=49:183!b42k:0D>jj;I1g=>"f<3937)m7:2d`?l?b2900e4h50;9je5<722cj=7>5;hc1>5<<gj91<75rb00:>5<2290;w)j<:`d8L6bb3A9o56*n4;03?!e?2:lj7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c374?6==3:1<v*k3;ce?M5cm2B8h45+a5814>"d039mo6g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2<6<72>0;6=u+d28a5>N4ll1C?i74$`6971=n1l0;66g6f;29?lg72900el?50;9je7<722cj?7>5;na0>5<<uk;3;7>57;294~"c;3h:7E=ke:J0`<=#i=09h6g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<<gj91<75rb0ca>5<2290;w)j<:`d8L6bb3A9o56*n4;10?l?b2900e4h50;9je5<722cj=7>5;na0>5<<uk;jo7>57;294~"c;3h:7E=ke:J0`<=#i=0886g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<<gj91<75rb0cf>5<4290;w)j<:`f8L6bb3A9o56*n4;12?!e?2:l>7d7j:188m<`=831do>4?::a5d`=83<1<7>t$e19f5=O;mo0D>j6;%c7>64<a0o1<75f9g83>>of83:17do>:188md4=831do>4?::a5g6=83=1<7>t$e19f4=O;mo0D>j6;%c7>42<a0o1<75f9g83>>of83:17do>:188md4=831bm>4?::m`7?6=3th:8l4?:683>5}#l:0i=6F<dd9K7a?<,h>196g6e;29?l?a2900el>50;9je4<722cj>7>5;hc0>5<<gj91<75rb070>5<0290;w)j<:c38L6bb3A9o56*n4;;7?l?b2900e4h50;9je5<722cj=7>5;hc1>5<<ah91<75`c283>>{e9kl1<7950;2x a5=j81C?ik4H2f:?!g328=0e4k50;9j=c<722cj<7>5;hc2>5<<ah81<75fa283>>id;3:17pl>5083>6<729q/h>4nd:J0``=O;m30(l:5909'g=<4ml1b5h4?::k:b?6=3fi86=44}c37f?6=?3:1<v*k3;`2?M5cm2B8h45+a587?l?b2900e4h50;9je5<722cj=7>5;hc1>5<<ah91<75`c283>>{e9<l1<7850;2x a5=j91C?ik4H2f:?!g328l0(n653g28m<c=831b5k4?::kb4?6=3`k:6=44i`094?=hk:0;66sm1b594?0=83:p(i=5b19K7ac<@:n27)o;:0d8 f>=;o;0e4k50;9j=c<722cj<7>5;hc2>5<<ah81<75`c283>>{e9j<1<7;50;2x a5=io1C?ik4H2f:?!g32080(n653gf8m<c=831b5k4?::kb4?6=3`k:6=44ob194?=zj8i86=49:183!b42k:0D>jj;I1g=>"f<39h7)m7:2g:?l?b2900e4h50;9je5<722cj=7>5;hc1>5<<gj91<75rb07a>5<0290;w)j<:c38L6bb3A9o56*n4;3`?l?b2900e4h50;9je5<722cj=7>5;hc1>5<<ah91<75`c283>>{e9=i1<7850;2x a5=j91C?ik4H2f:?!g32:l0(n653d58m<c=831b5k4?::kb4?6=3`k:6=44i`094?=hk:0;66sm15f94?3=83:p(i=5ag9K7ac<@:n27)o;:8c8 f>=;l=0e4k50;9j=c<722cj<7>5;hc2>5<<gj91<75rb07b>5<2290;w)j<:`d8L6bb3A9o56*n4;30?!e?2:ln7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3b`?6=>3:1<v*k3;`3?M5cm2B8h45+a58;?!e?2:om7d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8ho6=4<:183!b42hn0D>jj;I1g=>"f<38<7)m7:2gg?l?b2900e4h50;9lg6<722wi=5:50;794?6|,m91mk5G3eg8L6b>3-k?6>o4$b:97cc<a0o1<75f9g83>>of83:17do>:188kf5=831vn<6::186>5<7s-n86lh4H2ff?M5c12.j87=<;%a;>6`?3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?76;292?6=8r.o?7l?;I1ga>N4l01/m94:c:&`<?5a02c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17bm<:188yg7?03:1;7>50z&g7?d63A9oi6F<d89'e1<4j2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17do<:188kf5=831vn<lm:184>5<7s-n86o?4H2ff?M5c12.j87<n;h;f>5<<a0l1<75fa183>>of93:17do=:188md5=831do>4?::a5g3=83=1<7>t$e19f4=O;mo0D>j6;%c7>7`<a0o1<75f9g83>>of83:17do>:188md4=831bm>4?::m`7?6=3th:o94?:483>5}#l:0jj6F<dd9K7a?<,h>1=h5+c980b7=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=n;50;794?6|,m91mk5G3eg8L6b>3-k?6>74$b:97c4<a0o1<75f9g83>>of83:17do>:188kf5=831vn<ln:184>5<7s-n86o?4H2ff?M5c12.j877<;h;f>5<<a0l1<75fa183>>of93:17do=:188md5=831do>4?::a`=<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j95f9d83>>o>n3:17bm<:188yg7313:1;7>50z&g7?d63A9oi6F<d89'e1<5k2c2i7>5;h;e>5<<ah:1<75fa083>>of:3:17do<:188kf5=831vn<l7:186>5<7s-n86lh4H2ff?M5c12.j87=:;%a;>6`c3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?;8;291?6=8r.o?7oi;I1ga>N4l01/m94=e:&`<?5bm2c2i7>5;h;e>5<<ah:1<75fa083>>id;3:17pl>c383>6<729q/h>4nd:J0``=O;m30(l:5309'g=<4mm1b5h4?::k:b?6=3fi86=44}c3f7?6==3:1<v*k3;ce?M5cm2B8h45+a580a>"d039m56g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2a0<72?0;6=u+d28a4>N4ll1C?i74$`691c=#k108j45f9d83>>o>n3:17do?:188md7=831bm?4?::m`7?6=3th:ho4?:583>5}#l:0ji6F<dd9K7a?<,h>1?i5+c980b0=n1l0;66g6f;29?lg72900cn=50;9~f4bf29086=4?{%f0>db<@:nn7E=k9:&b0?563-i36>ki;h;f>5<<a0l1<75`c283>>{el00;6>4?:1y'`6<fl2B8hh5G3e;8 d2=;81/o54<f59j=`<722c2j7>5;na0>5<<ukn?6=4<:183!b42hn0D>jj;I1g=>"f<39:7d7j:188m<`=831do>4?::a5g?=83=1<7>t$e19f4=O;mo0D>j6;%c7>61<a0o1<75f9g83>>of83:17do>:188md4=831bm>4?::m`7?6=3th:m94?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`2e=<72<0;6=u+d28:3>N4ll1C?i74$`696>o2m3:17d8?:188m25=831b;:4?::m`f?6=3th:m;4?:483>5}#l:02;6F<dd9K7a?<,h>1>6g:e;29?l072900e:=50;9j32<722ehn7>5;|`230<72=0;6=u+d28ba>N4ll1C?i74$`693==#k108i55f9d83>>o>n3:17do?:188kf5=831vn><m:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:>:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;8:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8k:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6<:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>76:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>oi:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>l::185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>l8:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>l6:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn><j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>==:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>=j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>:j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>;j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>89:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>87:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>8l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>99:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>97:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>9j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>69:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>67:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6n:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>6j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>7?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>7=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>7;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>79:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>77:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>7l:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>7j:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>o?:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>o=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>o;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>o9:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>o7:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>on:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>ol:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>oj:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>l=:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn>l;:185>5<7s-n86o>4H2ff?M5c12.j87;6;h;f>5<<a0l1<75fa183>>of93:17do=:188kf5=831vn<7n:180>5<7s-n86lj4H2ff?M5c12.j87?k;h;f>5<<a0l1<75`c283>>{e91h1<7<50;2x a5=i01C?ik4H2f:?l?c2900cn=50;9~f4`e29096=4?{%f0>d?<@:nn7E=k9:k:`?6=3fi86=44}c3e`?6=:3:1<v*k3;c:?M5cm2B8h45f9e83>>id;3:17pl>7583>7<729q/h>4n9:J0``=O;m30e4j50;9lg6<722wi=8m50;694?6|,m91n>5G3eg8L6b>3`3n6=44i8d94?=nkm0;66al3;29?xd6=m0;6;4?:1y'`6<e82B8hh5G3e;8 d2=9o1b5h4?::k:b?6=3`k;6=44i`394?=ni;0;66al3;29?xd6=l0;6:4?:1y'`6<e92B8hh5G3e;8 d2=9<1b5h4?::k:b?6=3`k;6=44i`394?=ni;0;66gn3;29?je42900q~:m4;293~X3j=16?n>5c89>7f7=k016?n<5c89>7a5=k016?nj5c89>7g`=?:1vo650;3e85cn33i70=l0;54?85dj3=<70=lc;54?85d>3=<70=l7;54?85d03=<70<63;:1?84>;32?70<7e;:1?84?m32?70<62;:1?84>:32?70<66;:1?84>>32?70<64;:1?84><32?70<65;:1?84>=32?70<69;:1?84>132?70<67;:1?84>?32?70<68;:1?84>032?70<61;:1?84>932?70<7f;:1?84?n32?70<60;:1?84>832?7p}>1783><}:98h1oo521``9e4=:9hn15k521cf9=`=:9k215h521b09=c=:9k31m>521cd9=c=:9j<15h5rs034>5<fs4;:o7mm;<3bf??a34;i477i;<3a=?g534;ij7o?;<3`2??a34;jh7o?;<3af??b34;i977j;<3ae??b3ty:=54?:8y>54b=kk16=lm59g9>5dc=1o16=n=5a09>5f2=i816=n;5a09>5g?=1o16=n?59d9>5g`=1l1v<?6:184876m3ii70?nc;c0?87fn3k970?l7;c2?87d;3k;70?l4;c3?87d=33m7p}>1`83>1}:98l1oo521`a9e4=:9hl15k521b59=c=z{88o6=4k{<306?ee34;>=77i;<37g?g734;?h77j;<3;0??a34;?57o=;<37<??a34;>i7o<;<367?g634;>n77i;<36e??b34;397o?;<3;2??a3ty:>h4?:ey>565=kk16=9o5a29>51b=i916=5:5a19>5=0=i916=975a19>50b=i916=8;59g9>505=i;16=8l5a19>51e=1o16=8o59g9>5=3=1o1v<<i:18:874<3ii70?:7;;f?873k33n70?:d;c1?872m3k970?73;c0?87??3k;70?:3;c3?872j33n7p}>3183>2}:9:?1oo5214;9=`=:9<l1m=5214f9=c=:9<o15h521919e4=:91=15k5rs012>5<3s4;8:7mm;<36b??b34;3?77j;<3;3??b3ty8nn4?:0;x97?42:nh70<7e;1gg>;51;08hn5228497ae<5;3?6>jl;<0:1?5ck279544<db9>6<1=;mi01?77:2f`?84>939oo63=8g80`f=::0:1?im4=0g5>36<58o<6;>4=0g;>36<58o26;>4=0ge>36<58l;6;>4=0d2>36<58l96;>4=0d0>36<58l<6;>4=0d;>36<58l26;>4=0f:>f5<uz;i?7>52z?2f6<dj2T?m=5rs0ag>5<5sW>i463>d28`f>{t9jo1<7<t^5`:?87c<3ii7p}>cg83>7}Y<kk01<j::b`8yv7c83:1>vP;bc9>5a0=kk1v<j>:181[2ek27:h:4lb:p5a4=838pR9lj;<3g<?ee3ty:j94?:07x97?42<>01?6j:46897?52<>01?79:46897?32<>01?7::46897?>2<>01?78:46897??2<>01?7>:46897>a2<>01?7?:46894`02jh01io59d9>5f5=1o16=n:59d9>5f3=i916h446f:?2f<<>m27:o<46f:?2fc<f;2wx=k;50;3084>;3?>70<7e;76?84>:3?>70<66;76?84><3?>70<65;76?84>13?>70<67;76?84>03?>70<61;76?84?n3?>70<60;76?87a03ii70jm:8g89ag=1o16=n95a19>5f5=1l16=n:59g9>5f3=1l1v<h9:1824~;51:0>;63=8d863>;51;0>;63=97863>;51=0>;63=94863>;5100>;63=96863>;5110>;63=90863>;50o0>;63=91863>;6n00hn63>3c843>;cj33m70?l7;;f?xu4jk0;697t=365>36<5;><6;>4=371>36<5;?o6;>4=34;>36<5;=86;>4=35f>36<5;226;>4=3:`>36<5;2o6;>4=36;>36<5;>26;>4=36b>36<5;>i6;>4=36`>36<5;>o6;>4=36f>36<5;>m6;>4=373>36<5;?:6;>4=370>36<5;??6;>4=376>36<5;?=6;>4=374>36<5;?36;>4=37:>36<5;?j6;>4=37a>36<5;?h6;>4=37f>36<5;?m6;>4=343>36<5;<:6;>4=341>36<5;<86;>4=347>36<5;<>6;>4=345>36<5;<<6;>4=34:>36<5;<j6;>4=34a>36<5;<h6;>4=34g>36<5;<n6;>4=34e>36<5;=;6;>4=352>36<5;=96;>4=357>36<5;=>6;>4=355>36<5;=<6;>4=35;>36<5;=26;>4=35b>36<5;=i6;>4=35`>36<5;=o6;>4=35e>36<5;2;6;>4=3:2>36<5;296;>4=3:0>36<5;2?6;>4=3:6>36<5;2=6;>4=3:4>36<5;236;>4=3:b>36<5;2i6;>4=055>f5<uz;357>54z?2<<<dj27:;446e:?231<>l27:;?46f:p52b=838pR978;<34`?ee3ty::;4?:3y]0d1<58<h6nl4}r353?6=:rT?m55217f9gg=z{8<36=4={_6b=>;6>l0hn6s|17;94?4|V=kj70?9f;aa?xu6>h0;6?uQ4``894172jh0q~?9b;296~X3im16=:?5cc9~w4?a2909iv3=92875>;51:0?;63=9287`>;50l0?=63=8d873>;50l0?h63=93875>;51;0?;63=9387`>;51?0?=63=97873>;51?0?h63=95875>;51=0?;63=9587`>;51<0?=63=94873>;51<0?h63=98875>;5100?;63=9887`>;51>0?=63=96873>;51>0?h63=99875>;5110?;63=9987`>;5180?=63=90873>;5180?h63=8g875>;50o0?;63=8g87`>;5190?=63=91873>;5190?h63>a28`f>;c=33n70?;d;c2?87?<3k:70?;9;c2?8b320l01<;m:`18942d2h801<;n:`3894>12h80q~?n0;296<}::0918?5228190==::0918h5229g907=::1o1855229g90`=::0818?5228090==::0818h52284907=::0<1855228490`=::0>18?5228690==::0>18h52287907=::0?1855228790`=::0318?5228;90==::0318h52285907=::0=1855228590`=::0218?5228:90==::0218h52283907=::0;1855228390`=::1l18?5229d90==::1l18h52282907=::0:1855228290`=:9h?1oo52d78:a>;c=33m70?77;c0?872j3k97p}>a083>7?|5;3869=4=3;0>1?<5;3869h4=3:f>15<5;2n6974=3:f>1`<5;3969=4=3;1>1?<5;3969h4=3;5>15<5;3=6974=3;5>1`<5;3?69=4=3;7>1?<5;3?69h4=3;6>15<5;3>6974=3;6>1`<5;3269=4=3;:>1?<5;3269h4=3;4>15<5;3<6974=3;4>1`<5;3369=4=3;;>1?<5;3369h4=3;2>15<5;3:6974=3;2>1`<5;2m69=4=3:e>1?<5;2m69h4=3;3>15<5;3;6974=3;3>1`<58k<6nl4=e59=`=:l?02j63>868b6>;6=o0j=6s|1`094?4>s482?7::;<0:7?2e3482?7;>;<0;a?223483i7:m;<0;a?363482>7::;<0:6?2e3482>7;>;<0:2?223482:7:m;<0:2?36348287::;<0:0?2e348287;>;<0:1?22348297:m;<0:1?36348257::;<0:=?2e348257;>;<0:3?223482;7:m;<0:3?36348247::;<0:<?2e348247;>;<0:5?223482=7:m;<0:5?363483j7::;<0;b?2e3483j7;>;<0:4?223482<7:m;<0:4?3634;j57mm;<310?1034n<64h4=07e><`<582<6l?4}r3ea?6=:rT?8h522549gg=z{;:26=4={_66=>;5<>0hn6s|20694?4|V=<?70<:2;aa?xu59o0;6?uQ47d8973c2jh0q~<=a;296~X3?h16>;65cc9~w7522909wS:75:?136<dj2wx>9>50;0xZ1?7348<i7mm;|q106<72;qU84:4=3::>fd<uz8?87>52z\7=0=::1i1oo5rs366>5<5sW>2:63=8e8`f>{t9ol1<7<t^56e?84303ii7p}=0183>7}Y<<:01?:6:b`8yv4793:1>vP;509>61g=kk1v?>=:181[22:2798o4lb:p655=838pR9;<;<07g?ee3ty9<94?:3y]002<5;>o6nl4}r031?6=:rT?985225g9gg=z{;:=6=4={_662>;5<o0hn6s|21594?4|V=?<70<:0;aa?xu5810;6?uQ44:897362jh0q~<?a;296~X3=h16>8=5cc9~w76e2909wS::b:?111<dj2wx>=m50;0xZ13d348>97mm;|q14a<72;qU88j4=375>fd<uz8;i7>52z\71`=::<=1oo5rs32e>5<5sW>>j63=598`f>{t:8:1<7<t^543?84213ii7p}=1083>7}Y<?;01?;n:b`8yv46:3:1>vP;639>60d=kk1v??<:181[21;2799n4lb:p643=838pR98:;<06a?ee3ty9=;4?:3y]030<5;?m6nl4}r023?6=:rT?::522729gg=z{;;36=4={_65<>;5>80hn6s|20;94?4|V=<270<92;aa?xu59h0;6?uQ47c897042jh0q~<>b;296~X3>k16>;:5cc9~w77d2909wS:9c:?120<dj2wx><j50;0xZ10c348=:7mm;|q15`<72;qU8;k4=344>fd<uz89<7>52z\735=::?31oo5rs302>5<5sW><=63=6`8`f>{t:;81<7<t^551?841j3ii7p}=2283>7}Y<>901?8l:b`8yv45<3:1>vP;759>63b=kk1v?<::181[20=279:h4lb:p670=838pR999;<05b?ee3ty9>:4?:3y]021<5;=;6nl4}r01<?6=:rT?;5522639gg=z{;826=4={_64=>;5?;0hn6s|23`94?4|V==i70<84;aa?xu5:j0;6?uQ46a897122jh0q~<=d;296~X3?m16>:85cc9~w74b2909wS:8e:?132<dj2wx>?h50;0xZ11a348<47mm;|q175<72;qU85>4=35:>fd<uz88=7>52z\7<4=::>k1oo5rs311>5<5sW>3>63=7c8`f>{t::91<7<t^5:0?840k3ii7p}=3583>7}Y<1>01?9k:b`8yv44>3:1>vP;879>62`=kk1v?=8:181[2??2794=4lb:p66>=838pR967;<0;5?ee3ty9?44?:3y]0=?<5;296nl4}r00e?6=:rT?4l522919gg=z{;9i6=4={_6;f>;50=0hn6s|22a94?4|V=2h70<75;aa?xu5;m0;6?uQ49f897>12jh0q~<<e;296~X30l16>595cc9~w75a2909wS:7f:?1<=<dj2wx>9?50;0xZ1?63483m7mm;|q107<72;qU84=4=3:a>fd<uz9h=7>54z?2f7<d127:n>4l9:?0g7<0?278o<4lb:p7g`=838p1>li:b`894d720o0q~=l5;295<}:9131o4523b:9gg=:9?i1;>5217f936=:9?o1;>5217d936=:9>:1;>52163936=:9031o45218`936=:90i1;>5218f936=:90o1;>521`1936=:9h?1;>521`5936=:9h31;>5216a9g<=:9>n1o452193936=:9>=1;>5216c936=:9h>1;>521`:936=:9h<1;>5rs2a7>5<6=r78o:4lb:?265<0;27:><483:?267<0;27:>>483:?261<0;27:??483:?276<0;27:?9483:?270<0;27:?;483:?247<0;27:<>483:?241<0;27:<8483:?243<0;27n579<;<gb>25<5lh1;>52eb847>;bl3=87p}<c283><7|5:i=6nl4=365>25<5;><6:=4=371>25<5;?o6:=4=34;>25<5;=86:=4=35f>25<5;226:=4=3:`>25<5;2o6:=4=36;>25<5;>26:=4=36b>25<5;>i6:=4=36`>25<5;>o6:=4=36f>25<5;>m6:=4=373>25<5;?:6:=4=370>25<5;??6:=4=376>25<5;?=6:=4=374>25<5;?36:=4=37:>25<5;?j6:=4=37a>25<5;?h6:=4=37f>25<5;?m6:=4=343>25<5;<:6:=4=341>25<5;<86:=4=347>25<5;<>6:=4=345>25<5;<<6:=4=34:>25<5;<j6:=4=34a>25<5;<h6:=4=34g>25<5;<n6:=4=34e>25<5;=;6:=4=352>25<5;=96:=4=357>25<5;=>6:=4=355>25<5;=<6:=4=35;>25<5;=26:=4=35b>25<5;=i6:=4=35`>25<5;=o6:=4=35e>25<5;2;6:=4=3:2>25<5;296:=4=3:0>25<5;2?6:=4=3:6>25<5;2=6:=4=3:4>25<5;236:=4=3:b>25<5;2i6:=4=20b>25<5:8h6:=4=261>25<5:?36:=4=24f>25<5:2?6:=4=2;b>25<5:h;6:=4=2`5>25<5:h36:=4=20g>25<5:8m6:=4=212>25<5:986:=4=216>25<5:9<6:=4=21:>25<5:9i6:=4=21g>25<5:9m6:=4=260>25<5:>>6:=4=264>25<5:>26:=4=26a>25<5:>o6:=4=26e>25<5:?:6:=4=270>25<5:?>6:=4=27:>25<5:?i6:=4=27g>25<5:?m6:=4=242>25<5:<86:=4=246>25<5:<<6:=4=24:>25<5:<i6:=4=24e>25<5:=:6:=4=250>25<5:=>6:=4=254>25<5:=26:=4=25a>25<5:=o6:=4=25e>25<5:2:6:=4=2:6>25<5:2<6:=4=2::>25<5:2i6:=4=2:g>25<5:2m6:=4=2;2>25<5:386:=4=2;6>25<5:3<6:=4=2;a>25<5:3o6:=4=2;e>25<5:k:6:=4=2c0>25<5:k>6:=4=2c4>25<5:k26:=4=2ca>25<5:ko6:=4=2`2>25<5:h86:=4}r1`e?6=9:q6?nm5cc9>5a5=?:16=i:5729>5a3=?:16=i85729>5a1=?:16=i65729>5`0=k016=h95729>5`>=?:16=h75729>5``=k016=k>5729>5c7=?:16=k<5729>5c5=?:16=k95729>5c>=?:16=k75729~w6e>290:9v3<cc8`f>;6;>0<?63>39847>;6;00<?63>3`847>;6;k0<?63>1c847>;69j0<?63>1e847>;69l0<?63>1g847>;6980<?63>13847>;69:0<?63>15847>;69<0<?63i3;50?8`32>901k;5729>b3<0;27m;79<;|q7g5<72:qU8n>4=0f:><c<58km6l>4}r6a3?6=;lqU8o94=0`1>0c<58h868k4=2a3>0c<5:n>68k4=2aa>0c<5:ih68k4=2a2>0c<5:n=68k4=2a1>0c<5:n868k4=2`e>0c<5;386>jm;<0;a?5cj2795?4<dc9>6<0=;mh01?7;:2fa?84>=39on63=9880`g=::0=1?il4=3;;>6be3482=7=kb:?1<c<4lk16>4>53e`894b42<o01<j;:4g894b22<o01<j9:4g894b02<o01<j7:4g894c12<o01<k8:4g894c?2<o01<k6:4g894ca2<o01<h?:4g894`62<o01<h=:4g894`42<o01<h8:4g894`?2<o01<h6:4g894502<o01<=7:4g8945>2<o01<=n:4g8945e2<o01<?m:4g8947d2<o01<?k:4g8947b2<o01<?i:4g894762<o01<?=:4g894742<o01<?;:4g894722<o01k=55d9>b1<2m27m97;j;<d5>0c<5o=19h5rs5ce>5<3nrT?mk5216d9e4=:9>31m<5216`9e5=:9>?15k5233`9e5=:;=;1m=523459e5=:;?n1m=523919e5=:;031m=523`d9e5=:;k?1m=523c59e5=:;k31m=5233g9e5=:;::1m=523209e5=:;:>1m=523249e5=:;:21m=5232c9e5=:;:i1m=5232g9e5=:;=:1m=523569e5=:;=<1m=5235:9e5=:;=k1m=5235a9e5=:;=o1m=523429e5=:;<81m=523469e5=:;<<1m=5234c9e5=:;<i1m=5234g9e5=:;?:1m=523709e5=:;?>1m=523749e5=:;?21m=5237c9e5=:;?i1m=523629e5=:;>81m=523669e5=:;><1m=5236:9e5=:;>k1m=5236a9e5=:;>o1m=523929e5=:;181m=523949e5=:;121m=5239c9e5=:;1i1m=5239g9e5=:;0:1m=523809e5=:;0>1m=523849e5=:;021m=5238a9e5=:;0o1m=523`29e5=:;h81m=523`69e5=:;h<1m=523`:9e5=:;hk1m=523`a9e5=:;ho1m=523c09e5=:;k>1m=521649=`=:9>815h5rs5c5>5<d;rT?m;5219;91`=:;jl19h523b491`=:;j=19h523b:91`=:;m:19h523bf91`=::=<19h5225591`=::<819h5224f91`=::?219h5226191`=::>o19h5229;91`=::1i19h5229f91`=::=219h5225;91`=::=k19h5225`91`=::=i19h5225f91`=::=o19h5225d91`=::<:19h5224391`=::<919h5224691`=::<?19h5224491`=::<=19h5224:91`=::<319h5224c91`=::<h19h5224a91`=::<o19h5224d91`=::?:19h5227391`=::?819h5227191`=::?>19h5227791`=::?<19h5227591`=::?319h5227c91`=::?h19h5227a91`=::?n19h5227g91`=::?l19h5226291`=::>;19h5226091`=::>>19h5226791`=::><19h5226591`=::>219h5226;91`=::>k19h5226`91`=::>i19h5226f91`=::>l19h5229291`=::1;19h5229091`=::1919h5229691`=::1?19h5229491`=::1=19h5229:91`=::1k19h5229`91`=:;;k19h5233a91`=:;=819h5234:91`=:;?o19h5239691`=:;0k19h523c291`=:;k<19h523c:91`=:;;n19h5233d91`=:;:;19h5232191`=:;:?19h5232591`=:;:319h5232`91`=:;:n19h5232d91`=:;=919h5235791`=:;==19h5235;91`=:;=h19h5235f91`=:;=l19h5234391`=:;<919h5234791`=:;<319h5234`91`=:;<n19h5234d91`=:;?;19h5237191`=:;??19h5237591`=:;?319h5237`91`=:;?l19h5236391`=:;>919h5236791`=:;>=19h5236;91`=:;>h19h5236f91`=:;>l19h5239391`=:;1?19h5239591`=:;1319h5239`91`=:;1n19h5239d91`=:;0;19h5238191`=:;0?19h5238591`=:;0h19h5238f91`=:;0l19h523`391`=:;h919h523`791`=:;h=19h523`;91`=:;hh19h523`f91`=:;k;19h523c191`=:9?i19h5217f91`=:9?o19h5217d91`=:9>:19h5216391`=:90319h5218`91`=:90i19h5218f91`=:90o19h521`191`=:9h?19h521`591`=:9h319h5216a91`=:9>n19h5219391`=:9>=19h5216c91`=:9;:19h5213391`=:9;819h5213191`=:9;>19h5212091`=:9:919h5212691`=:9:?19h5212491`=:99819h5211191`=:99>19h5211791`=:99<19h52e886a>;bi3?n70km:4g89`e==l16ii4:e:?2e1<2m27:m54:e:?2e3<2m2wx8=:50;0xZ1633483i796;|q74c<72;qU8=h4=3:f>2d<uz>:m7>52z\75d=::1o1;n5rs506>5<5sW>9963=8d84a>{t<::1<7<t^513?84?m3=m7p};3c83>7}Y<:h01?6j:938yv23>3:1>vP;479>6<4=?01v9:n:181[23i2795?48b:p01d=838pR9:m;<0:6?1d3ty?8n4?:3y]01e<5;396:k4}r631?6=:rT?<85228093c=z{=:=6=4={_632>;51;03=6s|41594?4|V=:<70<63;5:?xu3810;6?uQ41:897?42>h0q~:?9;296~X38016>4=57b9~w16f2909wS:?a:?1=6<0m2wx8=l50;0xZ16e3482?79i;|q74f<72;qU8=m4=3;0>=7<uz>;h7>52z\74a=::0>1;45rs52f>5<5sW>;i63=9584f>{t<8:1<7<t^533?84><3=h7p};1083>7}Y<8;01?7;:6g8yv26:3:1>vP;139>6<2=?o1v9?<:181[26;27959471:p042=838pR9?;;<0:1?1>3ty?=84?:3y]043<5;3>6:l4}r622?6=:rT?=;5228793f=z{=;<6=4={_623>;51<0<i6s|40:94?4|V=;370<65;5e?xu3900;6?uQ40;897?221;0q~:>b;296~X39k16>485789~w17d2909wS:>c:?1=3<0j2wx8<j50;0xZ17c3482:79l;|q75`<72;qU8<k4=3;5>2c<uz>:j7>52z\75c=::0<1;k5rs503>5<5sW>9<63=978;5>{t<;;1<7<t^502?84>?3=27p};2383>7}Y<;801?78:6`8yv25;3:1>vP;229>6<1=?j1v9<;:181[25<2795:48e:p070=838pR9<9;<0:3?1a3ty?>:4?:3y]071<5;3<65?4}r61<?6=:rT?>55228:93<=z{=826=4={_61=>;5110<n6s|43c94?4|V=8j70<68;5`?xu3:k0;6?uQ43`897??2>o0q~:=c;296~X3:j16>4657g9~w14c2909wS:=d:?1==<?92wx8?k50;0xZ14b34825796;|q76c<72;qU8?h4=3;:>2d<uz>8=7>52z\774=::031;n5rs511>5<5sW>8>63=9884a>{t<:91<7<t^510?84>13=m7p};3583>7}Y<:>01?76:938yv24=3:1>vP;349>6=`=?01v9=9:181[24>2794k48b:p061=838pR9=8;<0;b?1d3ty??54?:3y]06><5;2m6:k4}r60=?6=:rT??45229d93c=z{=9j6=4={_60e>;50o03=6s|42a94?4|V=9h70<60;5:?xu3;m0;6?uQ42f897?72>h0q~:<e;296~X3;l16>4>57b9~w15a2909wS:<f:?1=5<0m2wx89>50;0xZ1273482<79i;|q704<72;qU89?4=3;3>=7<uz>?>7>52z\707=::0;1;45rs560>5<5sW>??63=9084f>{t<=>1<7<t^567?84>93=h7p};4483>7}Y<=?01?7>:6g8yv23?3:1>vP;469>6<7=?o1v9:6:181[2312795<471:p5=7=83=p1<6>:b`8942a20o01<:n:8d8942e20l01<:6:8g8942?20o01<:j:8g8yv70?3:198u21659gg=:9=l15k5216d9e5=:9>315k5216`9=`=:9=k15h5215`9=`=:9=315k5215:9e4=:9>?1m=5233`9e4=:;=;1m<523459e4=:;?n1m<523919e4=:;031m<523`d9e4=:;k?1m<523c59e4=:;k31m<5233g9e4=:;::1m<523209e4=:;:>1m<523249e4=:;:21m<5232c9e4=:;:i1m<5232g9e4=:;=:1m<523569e4=:;=<1m<5235:9e4=:;=k1m<5235a9e4=:;=o1m<523429e4=:;<81m<523469e4=:;<<1m<5234c9e4=:;<i1m<5234g9e4=:;?:1m<523709e4=:;?>1m<523749e4=:;?21m<5237c9e4=:;?i1m<523629e4=:;>81m<523669e4=:;><1m<5236:9e4=:;>k1m<5236a9e4=:;>o1m<523929e4=:;181m<523949e4=:;121m<5239c9e4=:;1i1m<5239g9e4=:;0:1m<523809e4=:;0>1m<523849e4=:;021m<5238a9e4=:;0o1m<523`29e4=:;h81m<523`69e4=:;h<1m<523`:9e4=:;hk1m<523`a9e4=:;ho1m<523c09e4=:;k>1m<5215g9=c=:9><1m=521609e4=z{82n6=4>4z?2=<<dj27:5;46f:?2==<f827:4i46f:?2<f<>n27:9<46e:?20a<>n27:4946e:?20<<f;27:854n0:?g0??b34;2m77i;<3;f??c34;>i7o?;<367?g434;>n7o>;<37g?g634;>m7o?;<3;1?g634;3:7o>;|q2<c<720q6=4l5cc9>5d5=?>16=485a19>5<>=i816=5j5a19>5=e=1l16=l:5769>5=3=1l16=5859d9~w4?7290<w0?6c;aa?87f=3=<70?66;c2?87>03k970?7d;;f?87f>3=<70?73;c1?xu6180;6;u218f9gg=:9h=1;:521849=`=:90215h521`:932=:9191m=5rs0;1>5<3s4;2i7mm;<3b=?1034;2477i;<3;7??a3ty:4?4?:3y>5=?=?>16=565c29~w4bd290:=v3>e78`f>;6n90<;63>c78b5>;6jk02j63k8;;f?87e03k:70?j3;c3?87b=3k:70?kb;;e?87ci33n70j6:8g894d>2h;01<hk:8f894da2h801<ok:`0894d220l01<ln:8d8yv7cl3:1:v3>e68`f>;6n80<;63>ad8:a>;6m:02j63>e48b6>;6lk02i6s|1eg94?3|58o36nl4=0d1>21<58km6l?4=0g0><c<58o>64k4}r3gb?6=<r7:i44lb:?2b6<0?27:mk46e:?2a0<>n2wx=ho50;dx94ca2jh01<om:`2894dc20l01i659g9>5g>=i916=n<59d9>5`5=i816=h;5a19>5ad=i916=io59g9>5g?=i916=kl59e9>5g`=i816=n85a19>5db=i81v<km:18187a83ii70?nb;;f?xu6mj0;6>u21g39gg=:9o=1;:521`a9=`=z{8oo6=4<{<3e6?ee34;m4798;<3bg?g53ty:ih4?:2y>5c5=kk16=k75769>5de=i91v<l=:18087e:3ii70?k9;;e?87fl33n7p}>b083>6}:9k81;:521c1932=:9k:1o>5rs2af>5<5s49hh798;<1a`?e43ty8h<4?:5y>7f0=k016?n95c89>7f>=k016?i<5c29~w6b32909w0=k3;54?85em3i87p}<d683>6}:;jh1o4523ba9g<=:;m21o>5rs2f3>5<5s49o<7mm;<1g6??a3ty8ok4?:2y>7a6=?>16?nh5cc9>7gb=1o1v>mk:18785dn3=<70=ld;aa?85el33n70=k2;;f?xu4l?0;6?u23e49gg=:;m215k5rs2f6>5<4s49o:798;<1g1?ee349ii77i;|q0`6<72=q6?i;5769>7a5=kk16?ok59d9>7a>=1l1v>m=:18185en3=<70=l2;aa?xu4k90;6?u23b3932=:;j:1oo5rs2`b>5<cs4;2n78?;<3:g?0734;2h78?;<3:a?0734;j?78?;<3b1?0734;j;78?;<3b=?0734;<>7m<;<3b0?0734;j478?;<3b2?0734;347o?;|q1a7<72:q6>985769>77g=kk16??l5a39~w7cc2908w0<;7;54?855k3ii70=;1;c1?xu5n10;6>u2240932=:;=81oo523459e7=z{::86=4<{<06`?10349>47mm;<15`?g53ty8<h4?:2y>63>=?>16?;k5cc9>7=5=i;1v>?6:180840;3=<70=74;aa?85>13k97p}<2583>6}::>o1;:5238c9gg=:;hl1m?5rs204>5<4s4835798;<1a4?ee349i97o=;|q06=<72:q6>5m5769>7g0=kk16?o95a39~w64>2908w0<7d;54?85e03ii70=m9;c1?xu5m:0;6>u225:932=:;;n1oo5233g9e7=z{;o?6=4<{<07=?103499j7mm;<104?g53ty9i84?:2y>61g=?>16?>?5cc9>764=i;1v?k9:180843j3=<70=<3;aa?854<3k97p}=e683>6}::=i1;:523279gg=:;:<1m?5rs3g;>5<4s48?h798;<103?ee349847o=;|q1a<<72:q6>9k5769>76?=kk16?>o5a39~w7cf2908w0<;f;54?854j3ii70=<c;c1?xu5mk0;6>u2242932=:;:n1oo5232g9e7=z{;oh6=4<{<065?103498j7mm;<174?g53ty9ih4?:2y>605=?>16?9=5cc9>712=i;1v?ki:180842<3=<70=;5;aa?853>3k97p}=f183>6}::<?1;:523559gg=:;=21m?5rs3d2>5<4s48>:798;<17=?ee349?m7o=;|q1b7<72:q6>895769>71d=kk16?9m5a39~w7`42908w0<:8;54?853l3ii70=;e;c1?xu5n=0;6>u224;932=:;=l1oo523429e7=z{;l>6=4<{<06e?10349>=7mm;<166?g53ty9j;4?:2y>60d=?>16?8=5cc9>702=i;1v?h8:180842k3=<70=:5;aa?852>3k97p}=f883>6}::<o1;:5234;9gg=:;<k1m?5rs3db>5<4s48>j798;<16f?ee349>o7o=;|q1bg<72:q6>;>5769>70b=kk16?8k5a39~w7`d2908w0<91;54?852n3ii70=90;c1?xu5nm0;6>u2270932=:;?;1oo523709e7=z{;ln6=4<{<057?10349=?7mm;<150?g53ty9jk4?:2y>632=?>16?;;5cc9>730=i;1v>>?:180841=3=<70=97;aa?85103k97p}<0083>6}::?<1;:5237;9gg=:;?k1m?5rs221>5<4s48=;798;<15f?ee349=o7o=;|q041<72:q6>;75769>73`=kk16?:>5a39~w6622908w0<9a;54?85093ii70=82;c1?xu48?0;6>u227`932=:;>91oo523669e7=z{::<6=4<{<05g?10349<97mm;<142?g53ty8<54?:2y>63b=?>16?:95cc9>72>=i;1v>>6:180841m3=<70=89;aa?850i3k97p}<0`83>6}::?l1;:5236`9gg=:;>i1m?5rs22a>5<4s48<<798;<14`?ee349<i7o=;|q04f<72:q6>:?5769>72`=kk16?5>5a39~w66c2908w0<82;54?85?93ii70=72;c1?xu48o0;6>u2266932=:;1?1oo523949e7=z{:;;6=4<{<041?103493;7mm;<1;<?g53ty8=<4?:2y>620=?>16?575cc9>7=g=i;1v>?=:180840?3=<70=7b;aa?85?k3k97p}<1283>6}::>21;:5239f9gg=:;1o1m?5rs237>5<4s48<5798;<1;b?ee3492<7o=;|q050<72:q6>:o5769>7<7=kk16?4<5a39~w6712908w0<8b;54?85>;3ii70=64;c1?xu49>0;6>u226a932=:;0?1oo523849e7=z{:;36=4<{<04`?103492;7mm;<1:<?g53ty8=l4?:2y>62`=?>16?4l5cc9>7<e=i;1v>?m:18084?83=<70=6d;aa?85>m3k97p}<1b83>6}::1;1;:5238d9gg=:;h:1m?5rs23g>5<4s483>798;<1b5?ee349j>7o=;|q05`<72:q6>5=5769>7d5=kk16?l:5a39~w67a2908w0<74;54?85f=3ii70=n6;c1?xu4:90;6>u2297932=:;h=1oo523`:9e7=z{:8:6=4<{<0;2?10349j57mm;<1be?g53ty8>?4?:2y>6=1=?>16?ll5cc9>7de=i;1v><<:18084?03=<70=nd;aa?85fm3k97p}<2483>6}::1k1;:523c39gg=:;k81m?5rs205>5<4s483n798;<1a7?ee349i87o=;|q1=d<72;q6>5k5849>77d=1l1v?o::18184?m32<70=;1;;f?xu5j90;6?u229g9<==:;<=15h5rs3`a>5<5s483i76n;<15`??b3ty9o;4?:3y>6=c=0k16?5=59d9~w7b62909w0<7e;:g?85>133n7p}=db83>7}::08148523`d9=`=z{;nm6=4={<0:6?>0349i977j;|q1a5<72;q6>4<5899>7g1=1l1v?k>:18184>:32j70=m9;;f?xu51k0;6?u22809<g=:;;o15h5rs3;`>5<5s482>76k;<104??b3ty95i4?:3y>6<5=0<16?><59d9~w7?b2909w0<63;:4?854<33n7p}=9g83>7}::09145523249=`=z{;k;6=4={<0:7?>f3498477j;|q1e4<72;q6>4=58c9>76g=1l1v?o=:18184>;32o70=<c;;f?xu5i:0;6?u22869<0=:;:o15h5rs3c7>5<5s4828768;<174??b3ty9m;4?:3y>6<2=0116?9:59d9~w7g02909w0<64;:b?853>33n7p}=a983>7}::0>14o5235:9=`=z{;k26=4={<0:0?>c349?m77j;|q1ed<72;q6>4;5849>71e=1l1v?om:18184>=32<70=;e;;f?xu5ij0;6?u22879<==:;<:15h5rs3cg>5<5s482976n;<166??b3ty9mh4?:3y>6<3=0k16?8:59d9~w7ga2909w0<65;:g?852>33n7p}=b083>7}::0<1485234c9=`=z{;h96=4={<0:2?>0349>o77j;|q1f6<72;q6>485899>70c=1l1v?l;:18184>>32j70=90;;f?xu5j<0;6?u22849<g=:;?815h5rs3`5>5<5s482:76k;<150??b3ty9n:4?:3y>6<1=0<16?;859d9~w7d?2909w0<67;:4?851033n7p}=b883>7}::0=1455237c9=`=z{;hj6=4={<0:3?>f349=o77j;|q1ff<72;q6>4958c9>726=1l1v?lk:18184>?32o70=82;;f?xu5jl0;6?u228:9<0=:;>>15h5rs3`e>5<5s4824768;<142??b3ty9o=4?:3y>6<>=0116?:659d9~w7e62909w0<68;:b?850i33n7p}=c383>7}::0214o5236a9=`=z{;i86=4={<0:<?>c349<i77j;|q1g1<72;q6>475849>7=6=1l1v?m::18184>132<70=72;;f?xu5k>0;6?u228;9<==:;1<15h5rs3a;>5<5s482576n;<1;<??b3ty9o44?:3y>6<?=0k16?5o59d9~w7ef2909w0<69;:g?85?k33n7p}=cc83>7}::1l1485239g9=`=z{;ih6=4={<0;b?>03492<77j;|q1ga<72;q6>5h5899>7<4=1l1v?mj:18184?n32j70=64;;f?xu5ko0;6?u229d9<g=:;0<15h5rs3f3>5<5s483j76k;<1:<??b3ty9h?4?:3y>6<6=0<16?4m59d9~w7b42909w0<60;:4?85>m33n7p}=d583>7}::0:145523`29=`=z{;n>6=4={<0:4?>f349j>77j;|q1`3<72;q6>4>58c9>7d2=1l1v?j8:18184>832o70=n6;;f?xu5l10;6?u22839<0=:;h215h5rs3f:>5<5s482=768;<1be??b3ty9hl4?:3y>6<7=0116?lm59d9~w7be2909w0<61;:b?85fm33n7p}=de83>7}::0;14o523c09=`=z{;nn6=4={<0:5?>c349i877j;|q202<72:q6=8h5c29>51c=i:16=9h5a29~w4212908w0?;f;c2?872k3i870?;e;c2?xu6<<0;6>u215g9e7=:9=l1m?5214`9g6=z{8>?6=4<{<36e?e434;?i7o?;<37b?g73ty:954?:3y>50?=k:16=9l5a09~w4312908w0?:7;a0?873i3k;70?;b;c3?xu6==0;6>u21479g6=:9=k1m<5214a9ga=z{8?;6=4={<37e?g534;>=7m<;|q225<72;q6=;m5769>51>=k:1v<8>:181871l3=<70?;9;a0?xu6>;0;6?u217g932=:9=k1o>5rs040>5<5s4;=j798;<37f?e43ty::94?:3y>526=?>16=9k5c29~w4022909w0?81;54?873n3i87p}>9283>7}:90h1;:5219a9g6=z{83?6=4={<3:g?1034;3h7m<;|q2=0<72;q6=4j5769>5<0=k:1v<78:18187>m3=<70?68;a0?xu6?10;6?u2165932=:9>31o>5rs05f>5<4s4;<o798;<34`?1034;<j7m<;|q2<5<72:q6=5?5769>52g=?>16=:l5c29~w41f290?jv3>7`8`f>;6??02j63>7g8:b>;6?00j<63>7c8:b>;6?<02i63<2c8:b>;4<802j63<568:b>;4>m02j63<828:b>;41002j63<ag8:b>;4j<02j63<b68:b>;4j002j63<2d8:b>;4;902j63<338:b>;4;=02j63<378:b>;4;102j63<3`8:b>;4;j02j63<3d8:b>;4<902j63<458:b>;4<?02j63<498:b>;4<h02j63<4b8:b>;4<l02j63<518:b>;4=;02j63<558:b>;4=?02j63<5`8:b>;4=j02j63<5d8:b>;4>902j63<638:b>;4>=02j63<678:b>;4>102j63<6`8:b>;4>j02j63<718:b>;4?;02j63<758:b>;4??02j63<798:b>;4?h02j63<7b8:b>;4?l02j63<818:b>;40;02j63<878:b>;40102j63<8`8:b>;40j02j63<8d8:b>;41902j63<938:b>;41=02j63<978:b>;41102j63<9b8:b>;41l02j63<a18:b>;4i;02j63<a58:b>;4i?02j63<a98:b>;4ih02j63<ab8:b>;4il02j63<b38:b>;4j=02j63>738b4>{t9>i1<7<t=05`>fd<58=m64k4}r3a0?6=:r7:h5487:?2f0<d;2wx=o950;1x94e02j901<lm:`1894d22h90q~?m6;297~;6k:0h?63>bc8b6>;6j<0j>6s|1b294?5|58i:6n=4=0`a>d7<58h>6l?4}r3ag?6=<r7:ni4l3:?2fg<f827:n84n0:?2fd<f82wx=n650;0x94b32>=01<l7:b18yv7d13:1>v3>d4843>;6j00h?6s|1bc94?4|58n=6:94=0`b>f5<uz;hn7>52z?2`2<0?27:no4l3:p5fe=838p1<j<:65894e52j90q~?j0;296~;6m?0<;63>d`8`7>{t9l;1<7<t=0g4>21<58ni6n=4}r3f6?6=:r7:i5487:?2a6<d;2wx=h:50;0x94c>2>=01<k::b18yv7393:1>v3>32843>;6<90h?6s|12g94?4|589?6:94=01e>f5<uz;8o7>52z?270<0?27:?i4l3:p57g=838p1<?l:658944>2j90q~?=7;296~;69m0<;63>298`7>{t9;?1<7<t=03f>21<588=6n=4}rf`>5<5s4;9<798;<f7>f5<uzno6=4={<315?1034n>6n=4}rff>5<5s4;9>798;<f5>f5<uznm6=4={<317?1034n<6n=4}rg3>5<5s4;8;798;<f;>f5<uzo:6=4={<30<?1034n26n=4}rg1>5<5s4;85798;<fb>f5<uzo86=4={<30e?1034ni6n=4}r377?6=:r7:??487:?207<d;2wx=?m50;0x947e2>=01<<m:b18yvcb2909w0h<:65894472jh0q~ki:1818`32>=01<<>:b`8yv`72909w0h::65894452jh0q~h>:1818`12>=01<<<:b`8yv`52909w0h8:65894432jh0q~hk:181877:3ii70?;2;;f?xuam3:1?v3>028`f>;6<;02j63>418:a>{tno0;69u21169gg=:9:l1m=521509e5=:9=:15k5rs023>5<2s4;;97mm;<30`??b34;8j77j;<376?g634;?<7o?;|q244<72?q6=>85769>550=kk16=>j59g9>56`=1o16=9<5a39>516=i81vk650;0x94652>=01h75cc9~wc?=838p1<><:6589`g=kk1vko50;0x94632>=01hl5cc9~wcd=838p1<>::6589`e=kk1vkm50;0x94612>=01hj5cc9~w`2=838p1h75769>561=kk1vh;50;0x9`g=?>16=>65cc9~w`0=838p1hl5769>56?=kk1vh950;0x9`e=?>16=>o5cc9~w`>=838p1hj5769>56d=kk1v<>l:18187693ii70?=b;;f?xu68m0;6>u21009gg=:9;h15k5213;9=`=z{8:n6=4;{<327?ee34;947o?;<31f?g734;9577i;|q24c<72<q6=<:5cc9>570=1l16=?659d9>57d=i816=?75a19~w477290=w0?>f;54?876=3ii70?=6;;e?875033m70?=b;c1?87513k:7p}>0683>7}:98;1;:52f28`f>{t9921<7<t=031>21<5o>1oo5rs02:>5<5s4;:?798;<d6>fd<uz;;m7>52z?251<0?27m:7mm;|q24g<72;q6=<;5769>b2<dj2wx=ko50;gx97?42<801?6j:40897?52<801?79:40897?32<801?7::40897?>2<801?78:40897??2<801?7>:40897>a2<801?7?:40894ca2>=01<hm:b18yv7ak3:1hv3=92867>;50l0>?63=93867>;51?0>?63=95867>;51<0>?63=98867>;51>0>?63=99867>;5180>?63=8g867>;5190>?63>fe8`7>{t91k1<7<:{<0:7?273482?7:9;<0:7?2d3483i7:?;<0;a?213483i7:l;<0:6?273482>7:9;<0:6?2d3482:7:?;<0:2?213482:7:l;<0:0?27348287:9;<0:0?2d348297:?;<0:1?21348297:l;<0:=?27348257:9;<0:=?2d3482;7:?;<0:3?213482;7:l;<0:<?27348247:9;<0:<?2d3482=7:?;<0:5?213482=7:l;<0;b?273483j7:9;<0;b?2d3482<7:?;<0:4?213482<7:l;<3;f?e43ty:4>4?:3y>5=5=k:16=5659d9~w4>02909w0?77;a0?87?03k87p}>a`83>7}:9hh1o>521c29e4=z{8ki6=4={<3bg?e434;i<7o=;|q2ef<72;q6=lj5c29>5g6=i:1v<ok:18187fm3i870?m0;;e?xu6il0;6?u21`d9g6=:9k:1m=5rs071>5<5s4;>?7m<;<36b?g53ty:nh4?:3y>5g`=k:16=n95a39~wg1=838p1<:m:`18942d2j90q~ln:181873j3k970?;d;a0?xuen3:1?v3>c78`7>;6k:0j>63>b`8b5>{tk90;6?u21969g6=:9121m?5rsb394?4|582>6n=4=0:;><`<uzh26=4={<3;2?e434;347o>;|qaf?6=:r7:o94l3:?2fd<f;2wxnn4?:3y>5f3=k:16=oo5a39~w4g32908w0?n4;aa?872=33n70?:3;;f?xu6i10;69u21`:9gg=:9<315k5214f9=`=:9<o1m<5rs0c5>5<2s4;j:7mm;<363??a34;>h7o>;<36a??a34;>?77i;|q230<72;q6=:;5c29>5<g=1l1v<9<:187e~;4:h0=<63<2b854>;4<;0=<63<59854>;4>l0=<63<85854>;41h0=<63<b1854>;4j?0=<63<b9854>;4:m0=<63<2g854>;4;80=<63<32854>;4;<0=<63<36854>;4;00=<63<3c854>;4;m0=<63<3g854>;4<:0=<63<44854>;4<>0=<63<48854>;4<k0=<63<4e854>;4<o0=<63<50854>;4=:0=<63<54854>;4=00=<63<5c854>;4=m0=<63<5g854>;4>80=<63<62854>;4><0=<63<66854>;4>00=<63<6c854>;4>o0=<63<70854>;4?:0=<63<74854>;4?>0=<63<78854>;4?k0=<63<7e854>;4?o0=<63<80854>;40<0=<63<86854>;4000=<63<8c854>;40m0=<63<8g854>;4180=<63<92854>;41<0=<63<96854>;41k0=<63<9e854>;41o0=<63<a0854>;4i:0=<63<a4854>;4i>0=<63<a8854>;4ik0=<63<ae854>;4j80=<63<b2854>;6100=<63>758`7>{t;;h1<7<t=20b>21<5:8i6n=4}r175?6=:r78>n487:?004<d;2wx?8950;0x96252>=01>;8:b18yv51l3:1>v3<59843>;4>m0h?6s|39194?4|5:<n6:94=2:0>f5<uz9257>52z?0<1<0?278544l3:p7d`=838p1>7n:65896ga2j90q~=m5;296~;4j90<;63<b48`7>{t;k=1<7<t=2`5>21<5:h<6n=4}r1a=?6=:r78n5487:?0f<<d;2wx??k50;0x964c2>=01><j:b18yv5483:1>v3<2g843>;4;90h?6s|32094?4|5:9:6:94=211>f5<uz9887>52z?076<0?278?94l3:p760=838p1>=::65896512j90q~=<8;296~;4;>0<;63<398`7>{t;:k1<7<t=21:>21<5:9j6n=4}r10g?6=:r78?o487:?07f<d;2wx?>k50;0x965c2>=01>=j:b18yv5383:1>v3<3g843>;4<90h?6s|35694?4|5:>86:94=267>f5<uz9?:7>52z?000<0?2788;4l3:p71>=838p1>:8:658962?2j90q~=;a;296~;4<00<;63<4`8`7>{t;=i1<7<t=26a>21<5:>h6n=4}r17a?6=:r788i487:?00`<d;2wx?8>50;0x962a2>=01>;?:b18yv52:3:1>v3<50843>;4=;0h?6s|34694?4|5:?86:94=277>f5<uz9>:7>52z?010<0?2789;4l3:p70g=838p1>;6:658963f2j90q~=:c;296~;4=k0<;63<5b8`7>{t;<o1<7<t=27g>21<5:?n6n=4}r154?6=:r789k487:?025<d;2wx?;<50;0x96062>=01>8=:b18yv51<3:1>v3<62843>;4>=0h?6s|37494?4|5:<>6:94=245>f5<uz9=47>52z?022<0?278:54l3:p73g=838p1>86:658960f2j90q~=9c;296~;4>k0<;63<6b8`7>{t;>:1<7<t=24e>21<5:=;6n=4}r146?6=:r78;<487:?037<d;2wx?::50;0x96142>=01>9;:b18yv50>3:1>v3<74843>;4??0h?6s|36:94?4|5:=<6:94=25;>f5<uz9<m7>52z?03<<0?278;l4l3:p72e=838p1>9m:658961d2j90q~=8e;296~;4?m0<;63<7d8`7>{t;1:1<7<t=25e>21<5:2;6n=4}r1;6?6=:r784<487:?0<7<d;2wx?5850;0x96>22>=01>69:b18yv5?03:1>v3<86843>;4010h?6s|39c94?4|5:226:94=2:b>f5<uz93o7>52z?0<g<0?2784n4l3:p7=c=838p1>6k:65896>b2j90q~=60;296~;40o0<;63<918`7>{t;081<7<t=2;2>21<5:396n=4}r1:0?6=:r785>487:?0=1<d;2wx?4850;0x96?22>=01>79:b18yv5>03:1>v3<96843>;4110h?6s|38a94?4|5:3i6:94=2;`>f5<uz92i7>52z?0=a<0?2785h4l3:p7d6=838p1>7i:65896g72j90q~=n2;296~;4i80<;63<a38`7>{t;h>1<7<t=2c0>21<5:k?6n=4}r1b2?6=:r78m8487:?0e3<d;2wx?l650;0x96g02>=01>o7:b18yv5fi3:1>v3<a8843>;4ih0h?6s|3`a94?4|5:ki6:94=2c`>f5<uz9ji7>52z?0ea<0?278mh4l3:p7g4=838p1>l>:65896d52j90q~=m4;296~;4j:0<;63<b58`7>{t90k1<7<t=0;:>21<583j6n=4}r`g>5<5s4;>h7m<;<36g??b3tyii7>52z?21`<d;27:9n46f:~jge2290:wE=k9:mff0=83;pD>j6;|lag2<728qC?i74}o``<?6=9rB8h45rnca:>5<6sA9o56sabbc94?7|@:n27p`mcc83>4}O;m30qcllc;295~N4l01vbomk:182M5c12wennk50;3xL6b>3tdiok4?:0yK7a?<ugho<7>51zJ0`<=zfkn:6=4>{I1g=>{ijm81<7?tH2f:?xhel:0;6<uG3e;8ykdc<3:1=vF<d89~jgb2290:wE=k9:mfa0=83;pD>j6;|la`2<728qC?i74}o`g<?6=9rB8h45rncf:>5<6sA9o56sabec94?7|@:n27p`mdc83>4}O;m30qclkc;295~N4l01vbojk:182M5c12wenik50;3xL6b>3tdihk4?:0yK7a?<ughn<7>51zJ0`<=zfko:6=4>{I1g=>{ijl81<7?tH2f:?xhem:0;6<uG3e;8ykdb<3:1=vF<d89~jgc2290:wE=k9:mf`0=83;pD>j6;|laa2<728qC?i74}o`f<?6=9rB8h45rncg:>5<6sA9o56sabdc94?7|@:n27p`mec83>4}O;m30qcljc;295~N4l01vbokk:182M5c12wenhk50;3xL6b>3tdiik4?:0yK7a?<ughm<7>51zJ0`<=zfkl:6=4>{I1g=>{ijo81<7?tH2f:?xhen:0;6<uG3e;8ykda<3:1=vF<d89~jg`2290:wE=k9:mfc0=83;pD>j6;|lab2<728qC?i74}o`e<?6=9rB8h45rncd:>5<6sA9o56sabgc94?7|@:n27p`mfc83>4}O;m30qclic;295~N4l01vbohk:182M5c12wenkk50;3xL6b>3tdijk4?:0yK7a?<ugi;<7>51zJ0`<=zfj::6=4>{I1g=>{ik981<7?tH2f:?xhd8:0;6<uG3e;8yke7<3:1=vF<d89~jf62290:wE=k9:mg50=83;pD>j6;|l`42<728qC?i74}oa3<?6=9rB8h45rnb2:>5<6sA9o56sac1c94?7|@:n27p`l0c83>4}O;m30qcm?c;295~N4l01vbn>k:182M5c12weo=k50;3xL6b>3tdh<k4?:0yK7a?<ugi:<7>51zJ0`<=zfj;:6=4>{I1g=>{ik881<7?tH2f:?xhd9:0;6<uG3e;8yke6<3:1=vF<d89~jf72290:wE=k9:mg40=83;pD>j6;|l`52<728qC?i74}oa2<?6=9rB8h45rnb3:>5<6sA9o56sac0c94?7|@:n27p`l1c83>4}O;m30qcm>c;295~N4l01vbn?k:182M5c12weo<k50;3xL6b>3tdh=k4?:0yK7a?<ugi9<7>51zJ0`<=zfj8:6=4>{I1g=>{ik;81<7?tH2f:?xhd::0;6<uG3e;8yke5<3:1=vF<d89~jf42290:wE=k9:mg70=83;pD>j6;|l`62<728qC?i74}oa1<?6=9rB8h45rnb0:>5<6sA9o56sac3c94?7|@:n27p`l2c83>4}O;m30qcm=c;295~N4l01vbn<k:182M5c12weo?k50;3xL6b>3tdh>k4?:0yK7a?<ugi8<7>51zJ0`<=zfj9:6=4>{I1g=>{ik:81<7?tH2f:?xhd;:0;6<uG3e;8yke4<3:1=vF<d89~jf52290:wE=k9:mg60=83;pD>j6;|l`72<728qC?i74}oa0<?6=9rB8h45rnb1:>5<6sA9o56sac2c94?7|@:n27p`l3c83>4}O;m30qcm<c;295~N4l01vbn=k:182M5c12weo>k50;3xL6b>3tdh?k4?:0yK7a?<ugi?<7>51zJ0`<=zfj>:6=4>{I1g=>{ik=81<7?tH2f:?xhd<:0;6<uG3e;8yke3<3:1=vF<d89~jf22290:wE=k9:mg10=83;pD>j6;|l`02<728qC?i74}oa7<?6=9rB8h45rnb6:>5<6sA9o56sac5c94?7|@:n27p`l4c83>4}O;m30qcm;c;295~N4l01vbn:k:182M5c12weo9k50;3xL6b>3tdh8k4?:0yK7a?<ugi><7>51zJ0`<=zfj?:6=4>{I1g=>{ik<81<7?tH2f:?xhd=:0;6<uG3e;8yke2<3:1=vF<d89~jf32290:wE=k9:mg00=83;pD>j6;|l`12<728qC?i74}oa6<?6=9rB8h45rnb7:>5<6sA9o56sac4c94?7|@:n27p`l5c83>4}O;m30qcm:c;295~N4l01vbn;k:182M5c12weo8k50;3xL6b>3tdh9k4?:0yK7a?<ugi=<7>51zJ0`<=zfj<:6=4>{I1g=>{ik?81<7?tH2f:?xhd>:0;6<uG3e;8yke1<3:1=vF<d89~jf02290:wE=k9:mg30=83;pD>j6;|l`22<728qC?i74}oa5<?6=9rB8h45rnb4:>5<6sA9o56sac7c94?7|@:n27p`l6c83>4}O;m30qcm9c;295~N4l01vbn8k:182M5c12weo;k50;3xL6b>3tdh:k4?:0yK7a?<ugi<<7>51zJ0`<=zfj=:6=4>{I1g=>{ik>81<7?tH2f:?xhd?:0;6<uG3e;8yke0<3:1=vF<d89~jf12290:wE=k9:mg20=83;pD>j6;|l`32<728qC?i74}oa4<?6=9rB8h45rnb5:>5<6sA9o56sac6c94?7|@:n27p`l7c83>4}O;m30qcm8c;295~N4l01vbn9k:182M5c12weo:k50;3xL6b>3tdh;k4?:0yK7a?<ugi3<7>51zJ0`<=zfj2:6=4>{I1g=>{ik181<7?tH2f:?xhd0:0;6<uG3e;8yke?<3:1=vF<d89~jf>2290:wE=k9:mg=0=83;pD>j6;|l`<2<728qC?i74}oa;<?6=9rB8h45rnb::>5<6sA9o56sac9c94?7|@:n27p`l8c83>4}O;m30qcm7c;295~N4l01vbn6k:182M5c12weo5k50;3xL6b>3tdh4k4?:0yK7a?<ugi2<7>51zJ0`<=zfj3:6=4>{I1g=>{ik081<7?tH2f:?xhd1:0;6<uG3e;8yke><3:1=vF<d89~jf?2290:wE=k9:mg<0=83;pD>j6;|l`=2<728qC?i74}oa:<?6=9rB8h45rnb;:>5<6sA9o56sac8c94?7|@:n27p`l9c83>4}O;m30qcm6c;295~N4l01vbn7k:182M5c12weo4k50;3xL6b>3tdh5k4?:0yK7a?<ugij<7>51zJ0`<=zfjk:6=4>{I1g=>{ikh81<7?tH2f:?xhdi:0;6<uG3e;8ykef<3:1=vF<d89~jfg2290:wE=k9:mgd0=83;pD>j6;|l`e2<728qC?i74}oab<?6=9rB8h45rnbc:>5<6sA9o56sac`c94?7|@:n27p`lac83>4}O;m30qcmnc;295~N4l01vbnok:182M5c12weolk50;3xL6b>3tdhmk4?:0yK7a?<ugii<7>51zJ0`<=zfjh:6=4>{I1g=>{ikk81<7?tH2f:?xhdj:0;6<uG3e;8ykee<3:1=vF<d89~jfd2290:wE=k9:mgg0=83;pD>j6;|l`f2<728qC?i74}oaa<?6=9rB8h45rnb`:>5<6sA9o56saccc94?7|@:n27p`lbc83>4}O;m30qcmmc;295~N4l01vbnlk:182M5c12weook50;3xL6b>3tdhnk4?:0yK7a?<ugih<7>51zJ0`<=zfji:6=4>{I1g=>{ikj81<7?tH2f:?xhdk:0;6<uG3e;8yked<3:1=vF<d89~jfe2290:wE=k9:mgf0=83;pD>j6;|l`g2<728qC?i74}oa`<?6=9rB8h45rnba:>5<6sA9o56sacbc94?7|@:n27p`lcc83>4}O;m30qcmlc;295~N4l01vbnmk:182M5c12weonk50;3xL6b>3tdhok4?:0yK7a?<ugio<7>51zJ0`<=zfjn:6=4>{I1g=>{ikm81<7?tH2f:?xhdl:0;6<uG3e;8ykec<3:1=vF<d89~jfb2290:wE=k9:mga0=83;pD>j6;|l``2<728qC?i74}oag<?6=9rB8h45rnbf:>5<6sA9o56sacec94?7|@:n27p`ldc83>4}O;m30qcmkc;295~N4l01vbnjk:182M5c12weoik50;3xL6b>3tdhhk4?:0yK7a?<ugin<7>51zJ0`<=zfjo:6=4>{I1g=>{ikl81<7?tH2f:?xhdm:0;6<uG3e;8ykeb<3:1=vF<d89~jfc2290:wE=k9:mg`0=83;pD>j6;|l`a2<728qC?i74}oaf<?6=9rB8h45rnbg:>5<6sA9o56sacdc94?7|@:n27p`lec83>4}O;m30qcmjc;295~N4l01vbnkk:182M5c12weohk50;3xL6b>3tdhik4?:0yK7a?<ugim<7>51zJ0`<=zfjl:6=4>{I1g=>{iko81<7?tH2f:?xhdn:0;6<uG3e;8ykea<3:1=vF<d89~jf`2290:wE=k9:mgc0=83;pD>j6;|l`b2<728qC?i74}oae<?6=9rB8h45rnbd:>5<6sA9o56sacgc94?7|@:n27p`lfc83>4}O;m30qcmic;295~N4l01vbnhk:182M5c12weokk50;3xL6b>3tdhjk4?:0yK7a?<ugn;<7>51zJ0`<=zfm::6=4>{I1g=>{il981<7?tH2f:?xhc8:0;6<uG3e;8ykb7<3:1=vF<d89~ja62290:wE=k9:m`50=83;pD>j6;|lg42<728qC?i74}of3<?6=9rB8h45rne2:>5<6sA9o56sad1c94?7|@:n27p`k0c83>4}O;m30qcj?c;295~N4l01vbi>k:182M5c12weh=k50;3xL6b>3tdo<k4?:0yK7a?<ugn:<7>51zJ0`<=zfm;:6=4>{I1g=>{il881<7?tH2f:?xhc9:0;6<uG3e;8ykb6<3:1=vF<d89~ja72290:wE=k9:m`40=83;pD>j6;|lg52<728qC?i74}of2<?6=9rB8h45rne3:>5<6sA9o56sad0c94?7|@:n27p`k1c83>4}O;m30qcj>c;295~N4l01vbi?k:182M5c12weh<k50;3xL6b>3tdo=k4?:0yK7a?<ugn9<7>51zJ0`<=zfm8:6=4>{I1g=>{il;81<7?tH2f:?xhc::0;6<uG3e;8ykb5<3:1=vF<d89~ja42290:wE=k9:m`70=83;pD>j6;|lg62<728qC?i74}of1<?6=9rB8h45rne0:>5<6sA9o56sad3c94?7|@:n27p`k2c83>4}O;m30qcj=c;295~N4l01vbi<k:182M5c12weh?k50;3xL6b>3tdo>k4?:0yK7a?<ugn8<7>51zJ0`<=zfm9:6=4>{I1g=>{il:81<7?tH2f:?xhc;:0;6<uG3e;8ykb4<3:1=vF<d89~ja52290:wE=k9:m`60=83;pD>j6;|lg72<728qC?i74}of0<?6=9rB8h45rne1:>5<6sA9o56sad2c94?7|@:n27p`k3c83>4}O;m30qcj<c;295~N4l01vbi=k:182M5c12weh>k50;3xL6b>3tdo?k4?:0yK7a?<ugn?<7>51zJ0`<=zfm>:6=4>{I1g=>{il=81<7?tH2f:?xhc<:0;6<uG3e;8ykb3<3:1=vF<d89~ja22290:wE=k9:m`10=83;pD>j6;|lg02<728qC?i74}of7<?6=9rB8h45rne6:>5<6sA9o56sad5c94?7|@:n27p`k4c83>4}O;m30qcj;c;295~N4l01vbi:k:182M5c12weh9k50;3xL6b>3tdo8k4?:0yK7a?<ugn><7>51zJ0`<=zfm?:6=4>{I1g=>{il<81<7?tH2f:?xhc=:0;6<uG3e;8ykb2<3:1=vF<d89~ja32290:wE=k9:m`00=83;pD>j6;|lg12<728qC?i74}of6<?6=9rB8h45rne7:>5<6sA9o56sad4c94?7|@:n27p`k5c83>4}O;m30qcj:c;295~N4l01vbi;k:182M5c12weh8k50;3xL6b>3tdo9k4?:0yK7a?<ugn=<7>51zJ0`<=zfm<:6=4>{I1g=>{il?81<7?tH2f:?xhc>:0;6<uG3e;8ykb1<3:1=vF<d89~ja02290:wE=k9:m`30=83;pD>j6;|lg22<728qC?i74}of5<?6=9rB8h45rne4:>5<6sA9o56sad7c94?7|@:n27p`k6c83>4}O;m30qcj9c;295~N4l01vbi8k:182M5c12weh;k50;3xL6b>3tdo:k4?:0yK7a?<ugn<<7>51zJ0`<=zfm=:6=4>{I1g=>{il>81<7?tH2f:?xhc?:0;6<uG3e;8ykb0<3:1=vF<d89~ja12290:wE=k9:m`20=83;pD>j6;|lg32<728qC?i74}of4<?6=9rB8h45rne5:>5<6sA9o56sad6c94?7|@:n27p`k7c83>4}O;m30qcj8c;295~N4l01vbi9k:182M5c12weh:k50;3xL6b>3tdo;k4?:0yK7a?<ugn3<7>51zJ0`<=zfm2:6=4>{I1g=>{il181<7?tH2f:?xhc0:0;6<uG3e;8ykb?<3:1=vF<d89~ja>2290:wE=k9:m`=0=83;pD>j6;|lg<2<728qC?i74}of;<?6=9rB8h45rne::>5<6sA9o56sad9c94?7|@:n27p`k8c83>4}O;m30qcj7c;295~N4l01vbi6k:182M5c12weh5k50;3xL6b>3tdo4k4?:0yK7a?<ugn2<7>51zJ0`<=zfm3:6=4>{I1g=>{il081<7?tH2f:?xhc1:0;6<uG3e;8ykb><3:1=vF<d89~ja?2290:wE=k9:m`<0=83;pD>j6;|lg=2<728qC?i74}of:<?6=9rB8h45rne;:>5<6sA9o56sad8c94?7|@:n27p`k9c83>4}O;m30qcj6c;295~N4l01vbi7k:182M5c12weh4k50;3xL6b>3tdo5k4?:0yK7a?<ugnj<7>51zJ0`<=zfmk:6=4>{I1g=>{ilh81<7?tH2f:?xhci:0;6<uG3e;8ykbf<3:1=vF<d89~jag2290:wE=k9:m`d0=83;pD>j6;|lge2<728qC?i74}ofb<?6=9rB8h45rnec:>5<6sA9o56sad`c94?7|@:n27p`kac83>4}O;m30qcjnc;295~N4l01vbiok:182M5c12wehlk50;3xL6b>3tdomk4?:0yK7a?<ugni<7>51zJ0`<=zfmh:6=4>{I1g=>{ilk81<7?tH2f:?xhcj:0;6<uG3e;8ykbe<3:1=vF<d89~jad2290:wE=k9:m`g0=83;pD>j6;|lgf2<728qC?i74}ofa<?6=9rB8h45rne`:>5<6sA9o56sadcc94?7|@:n27p`kbc83>4}O;m30qcjmc;295~N4l01vbilk:182M5c12wehok50;3xL6b>3tdonk4?:0yK7a?<ugnh<7>51zJ0`<=zfmi:6=4>{I1g=>{ilj81<7?tH2f:?xhck:0;6<uG3e;8ykbd<3:1=vF<d89~jae2290:wE=k9:m`f0=83;pD>j6;|lgg2<728qC?i74}of`<?6=9rB8h45rnea:>5<6sA9o56sadbc94?7|@:n27p`kcc83>4}O;m30qcjlc;295~N4l01vbimk:182M5c12wehnk50;3xL6b>3tdook4?:0yK7a?<ugno<7>51zJ0`<=zfmn:6=4>{I1g=>{ilm81<7?tH2f:?xhcl:0;6<uG3e;8ykbc<3:1=vF<d89~jab2290:wE=k9:m`a0=83;pD>j6;|lg`2<728qC?i74}ofg<?6=9rB8h45rnef:>5<6sA9o56sadec94?7|@:n27p`kdc83>4}O;m30qcjkc;295~N4l01vbijk:182M5c12wehik50;3xL6b>3tdohk4?:0yK7a?<ugnn<7>51zJ0`<=zfmo:6=4>{I1g=>{ill81<7?tH2f:?xhcm:0;6<uG3e;8ykbb<3:1=vF<d89~jac2290:wE=k9:m``0=83;pD>j6;|lga2<728qC?i74}off<?6=9rB8h45rneg:>5<6sA9o56saddc94?7|@:n27psr}AB@4669339;i;jb5CDG}7uIJ[wpNO \ No newline at end of file
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v
new file mode 100644
index 000000000..82200a502
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.v
@@ -0,0 +1,491 @@
+/*******************************************************************************
+* This file is owned and controlled by Xilinx and must be used solely *
+* for design, simulation, implementation and creation of design files *
+* limited to Xilinx devices or technologies. Use with non-Xilinx *
+* devices or technologies is expressly prohibited and immediately *
+* terminates your license. *
+* *
+* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
+* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
+* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
+* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
+* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
+* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
+* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
+* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
+* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
+* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
+* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
+* PARTICULAR PURPOSE. *
+* *
+* Xilinx products are not intended for use in life support appliances, *
+* devices, or systems. Use in such applications are expressly *
+* prohibited. *
+* *
+* (c) Copyright 1995-2013 Xilinx, Inc. *
+* All rights reserved. *
+*******************************************************************************/
+// You must compile the wrapper file fifo_short_2clk.v when simulating
+// the core, fifo_short_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
+// The synthesis directives "translate_off/translate_on" specified below are
+// supported by Xilinx, Mentor Graphics and Synplicity synthesis
+// tools. Ensure they are correct for your synthesis tool(s).
+
+`timescale 1ns/1ps
+
+module fifo_short_2clk(
+ rst,
+ wr_clk,
+ rd_clk,
+ din,
+ wr_en,
+ rd_en,
+ dout,
+ full,
+ empty,
+ rd_data_count,
+ wr_data_count
+);
+
+input rst;
+input wr_clk;
+input rd_clk;
+input [71 : 0] din;
+input wr_en;
+input rd_en;
+output [71 : 0] dout;
+output full;
+output empty;
+output [5 : 0] rd_data_count;
+output [5 : 0] wr_data_count;
+
+// synthesis translate_off
+
+ FIFO_GENERATOR_V9_3 #(
+ .C_ADD_NGC_CONSTRAINT(0),
+ .C_APPLICATION_TYPE_AXIS(0),
+ .C_APPLICATION_TYPE_RACH(0),
+ .C_APPLICATION_TYPE_RDCH(0),
+ .C_APPLICATION_TYPE_WACH(0),
+ .C_APPLICATION_TYPE_WDCH(0),
+ .C_APPLICATION_TYPE_WRCH(0),
+ .C_AXI_ADDR_WIDTH(32),
+ .C_AXI_ARUSER_WIDTH(1),
+ .C_AXI_AWUSER_WIDTH(1),
+ .C_AXI_BUSER_WIDTH(1),
+ .C_AXI_DATA_WIDTH(64),
+ .C_AXI_ID_WIDTH(4),
+ .C_AXI_RUSER_WIDTH(1),
+ .C_AXI_TYPE(0),
+ .C_AXI_WUSER_WIDTH(1),
+ .C_AXIS_TDATA_WIDTH(64),
+ .C_AXIS_TDEST_WIDTH(4),
+ .C_AXIS_TID_WIDTH(8),
+ .C_AXIS_TKEEP_WIDTH(4),
+ .C_AXIS_TSTRB_WIDTH(4),
+ .C_AXIS_TUSER_WIDTH(4),
+ .C_AXIS_TYPE(0),
+ .C_COMMON_CLOCK(0),
+ .C_COUNT_TYPE(0),
+ .C_DATA_COUNT_WIDTH(5),
+ .C_DEFAULT_VALUE("BlankString"),
+ .C_DIN_WIDTH(72),
+ .C_DIN_WIDTH_AXIS(1),
+ .C_DIN_WIDTH_RACH(32),
+ .C_DIN_WIDTH_RDCH(64),
+ .C_DIN_WIDTH_WACH(32),
+ .C_DIN_WIDTH_WDCH(64),
+ .C_DIN_WIDTH_WRCH(2),
+ .C_DOUT_RST_VAL("0"),
+ .C_DOUT_WIDTH(72),
+ .C_ENABLE_RLOCS(0),
+ .C_ENABLE_RST_SYNC(1),
+ .C_ERROR_INJECTION_TYPE(0),
+ .C_ERROR_INJECTION_TYPE_AXIS(0),
+ .C_ERROR_INJECTION_TYPE_RACH(0),
+ .C_ERROR_INJECTION_TYPE_RDCH(0),
+ .C_ERROR_INJECTION_TYPE_WACH(0),
+ .C_ERROR_INJECTION_TYPE_WDCH(0),
+ .C_ERROR_INJECTION_TYPE_WRCH(0),
+ .C_FAMILY("spartan6"),
+ .C_FULL_FLAGS_RST_VAL(1),
+ .C_HAS_ALMOST_EMPTY(0),
+ .C_HAS_ALMOST_FULL(0),
+ .C_HAS_AXI_ARUSER(0),
+ .C_HAS_AXI_AWUSER(0),
+ .C_HAS_AXI_BUSER(0),
+ .C_HAS_AXI_RD_CHANNEL(0),
+ .C_HAS_AXI_RUSER(0),
+ .C_HAS_AXI_WR_CHANNEL(0),
+ .C_HAS_AXI_WUSER(0),
+ .C_HAS_AXIS_TDATA(0),
+ .C_HAS_AXIS_TDEST(0),
+ .C_HAS_AXIS_TID(0),
+ .C_HAS_AXIS_TKEEP(0),
+ .C_HAS_AXIS_TLAST(0),
+ .C_HAS_AXIS_TREADY(1),
+ .C_HAS_AXIS_TSTRB(0),
+ .C_HAS_AXIS_TUSER(0),
+ .C_HAS_BACKUP(0),
+ .C_HAS_DATA_COUNT(0),
+ .C_HAS_DATA_COUNTS_AXIS(0),
+ .C_HAS_DATA_COUNTS_RACH(0),
+ .C_HAS_DATA_COUNTS_RDCH(0),
+ .C_HAS_DATA_COUNTS_WACH(0),
+ .C_HAS_DATA_COUNTS_WDCH(0),
+ .C_HAS_DATA_COUNTS_WRCH(0),
+ .C_HAS_INT_CLK(0),
+ .C_HAS_MASTER_CE(0),
+ .C_HAS_MEMINIT_FILE(0),
+ .C_HAS_OVERFLOW(0),
+ .C_HAS_PROG_FLAGS_AXIS(0),
+ .C_HAS_PROG_FLAGS_RACH(0),
+ .C_HAS_PROG_FLAGS_RDCH(0),
+ .C_HAS_PROG_FLAGS_WACH(0),
+ .C_HAS_PROG_FLAGS_WDCH(0),
+ .C_HAS_PROG_FLAGS_WRCH(0),
+ .C_HAS_RD_DATA_COUNT(1),
+ .C_HAS_RD_RST(0),
+ .C_HAS_RST(1),
+ .C_HAS_SLAVE_CE(0),
+ .C_HAS_SRST(0),
+ .C_HAS_UNDERFLOW(0),
+ .C_HAS_VALID(0),
+ .C_HAS_WR_ACK(0),
+ .C_HAS_WR_DATA_COUNT(1),
+ .C_HAS_WR_RST(0),
+ .C_IMPLEMENTATION_TYPE(2),
+ .C_IMPLEMENTATION_TYPE_AXIS(1),
+ .C_IMPLEMENTATION_TYPE_RACH(1),
+ .C_IMPLEMENTATION_TYPE_RDCH(1),
+ .C_IMPLEMENTATION_TYPE_WACH(1),
+ .C_IMPLEMENTATION_TYPE_WDCH(1),
+ .C_IMPLEMENTATION_TYPE_WRCH(1),
+ .C_INIT_WR_PNTR_VAL(0),
+ .C_INTERFACE_TYPE(0),
+ .C_MEMORY_TYPE(2),
+ .C_MIF_FILE_NAME("BlankString"),
+ .C_MSGON_VAL(1),
+ .C_OPTIMIZATION_MODE(0),
+ .C_OVERFLOW_LOW(0),
+ .C_PRELOAD_LATENCY(0),
+ .C_PRELOAD_REGS(1),
+ .C_PRIM_FIFO_TYPE("512x72"),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL(4),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
+ .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
+ .C_PROG_EMPTY_THRESH_NEGATE_VAL(5),
+ .C_PROG_EMPTY_TYPE(0),
+ .C_PROG_EMPTY_TYPE_AXIS(0),
+ .C_PROG_EMPTY_TYPE_RACH(0),
+ .C_PROG_EMPTY_TYPE_RDCH(0),
+ .C_PROG_EMPTY_TYPE_WACH(0),
+ .C_PROG_EMPTY_TYPE_WDCH(0),
+ .C_PROG_EMPTY_TYPE_WRCH(0),
+ .C_PROG_FULL_THRESH_ASSERT_VAL(31),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
+ .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
+ .C_PROG_FULL_THRESH_NEGATE_VAL(30),
+ .C_PROG_FULL_TYPE(0),
+ .C_PROG_FULL_TYPE_AXIS(0),
+ .C_PROG_FULL_TYPE_RACH(0),
+ .C_PROG_FULL_TYPE_RDCH(0),
+ .C_PROG_FULL_TYPE_WACH(0),
+ .C_PROG_FULL_TYPE_WDCH(0),
+ .C_PROG_FULL_TYPE_WRCH(0),
+ .C_RACH_TYPE(0),
+ .C_RD_DATA_COUNT_WIDTH(6),
+ .C_RD_DEPTH(32),
+ .C_RD_FREQ(1),
+ .C_RD_PNTR_WIDTH(5),
+ .C_RDCH_TYPE(0),
+ .C_REG_SLICE_MODE_AXIS(0),
+ .C_REG_SLICE_MODE_RACH(0),
+ .C_REG_SLICE_MODE_RDCH(0),
+ .C_REG_SLICE_MODE_WACH(0),
+ .C_REG_SLICE_MODE_WDCH(0),
+ .C_REG_SLICE_MODE_WRCH(0),
+ .C_SYNCHRONIZER_STAGE(2),
+ .C_UNDERFLOW_LOW(0),
+ .C_USE_COMMON_OVERFLOW(0),
+ .C_USE_COMMON_UNDERFLOW(0),
+ .C_USE_DEFAULT_SETTINGS(0),
+ .C_USE_DOUT_RST(1),
+ .C_USE_ECC(0),
+ .C_USE_ECC_AXIS(0),
+ .C_USE_ECC_RACH(0),
+ .C_USE_ECC_RDCH(0),
+ .C_USE_ECC_WACH(0),
+ .C_USE_ECC_WDCH(0),
+ .C_USE_ECC_WRCH(0),
+ .C_USE_EMBEDDED_REG(0),
+ .C_USE_FIFO16_FLAGS(0),
+ .C_USE_FWFT_DATA_COUNT(1),
+ .C_VALID_LOW(0),
+ .C_WACH_TYPE(0),
+ .C_WDCH_TYPE(0),
+ .C_WR_ACK_LOW(0),
+ .C_WR_DATA_COUNT_WIDTH(6),
+ .C_WR_DEPTH(32),
+ .C_WR_DEPTH_AXIS(1024),
+ .C_WR_DEPTH_RACH(16),
+ .C_WR_DEPTH_RDCH(1024),
+ .C_WR_DEPTH_WACH(16),
+ .C_WR_DEPTH_WDCH(1024),
+ .C_WR_DEPTH_WRCH(16),
+ .C_WR_FREQ(1),
+ .C_WR_PNTR_WIDTH(5),
+ .C_WR_PNTR_WIDTH_AXIS(10),
+ .C_WR_PNTR_WIDTH_RACH(4),
+ .C_WR_PNTR_WIDTH_RDCH(10),
+ .C_WR_PNTR_WIDTH_WACH(4),
+ .C_WR_PNTR_WIDTH_WDCH(10),
+ .C_WR_PNTR_WIDTH_WRCH(4),
+ .C_WR_RESPONSE_LATENCY(1),
+ .C_WRCH_TYPE(0)
+ )
+ inst (
+ .RST(rst),
+ .WR_CLK(wr_clk),
+ .RD_CLK(rd_clk),
+ .DIN(din),
+ .WR_EN(wr_en),
+ .RD_EN(rd_en),
+ .DOUT(dout),
+ .FULL(full),
+ .EMPTY(empty),
+ .RD_DATA_COUNT(rd_data_count),
+ .WR_DATA_COUNT(wr_data_count),
+ .BACKUP(),
+ .BACKUP_MARKER(),
+ .CLK(),
+ .SRST(),
+ .WR_RST(),
+ .RD_RST(),
+ .PROG_EMPTY_THRESH(),
+ .PROG_EMPTY_THRESH_ASSERT(),
+ .PROG_EMPTY_THRESH_NEGATE(),
+ .PROG_FULL_THRESH(),
+ .PROG_FULL_THRESH_ASSERT(),
+ .PROG_FULL_THRESH_NEGATE(),
+ .INT_CLK(),
+ .INJECTDBITERR(),
+ .INJECTSBITERR(),
+ .ALMOST_FULL(),
+ .WR_ACK(),
+ .OVERFLOW(),
+ .ALMOST_EMPTY(),
+ .VALID(),
+ .UNDERFLOW(),
+ .DATA_COUNT(),
+ .PROG_FULL(),
+ .PROG_EMPTY(),
+ .SBITERR(),
+ .DBITERR(),
+ .M_ACLK(),
+ .S_ACLK(),
+ .S_ARESETN(),
+ .M_ACLK_EN(),
+ .S_ACLK_EN(),
+ .S_AXI_AWID(),
+ .S_AXI_AWADDR(),
+ .S_AXI_AWLEN(),
+ .S_AXI_AWSIZE(),
+ .S_AXI_AWBURST(),
+ .S_AXI_AWLOCK(),
+ .S_AXI_AWCACHE(),
+ .S_AXI_AWPROT(),
+ .S_AXI_AWQOS(),
+ .S_AXI_AWREGION(),
+ .S_AXI_AWUSER(),
+ .S_AXI_AWVALID(),
+ .S_AXI_AWREADY(),
+ .S_AXI_WID(),
+ .S_AXI_WDATA(),
+ .S_AXI_WSTRB(),
+ .S_AXI_WLAST(),
+ .S_AXI_WUSER(),
+ .S_AXI_WVALID(),
+ .S_AXI_WREADY(),
+ .S_AXI_BID(),
+ .S_AXI_BRESP(),
+ .S_AXI_BUSER(),
+ .S_AXI_BVALID(),
+ .S_AXI_BREADY(),
+ .M_AXI_AWID(),
+ .M_AXI_AWADDR(),
+ .M_AXI_AWLEN(),
+ .M_AXI_AWSIZE(),
+ .M_AXI_AWBURST(),
+ .M_AXI_AWLOCK(),
+ .M_AXI_AWCACHE(),
+ .M_AXI_AWPROT(),
+ .M_AXI_AWQOS(),
+ .M_AXI_AWREGION(),
+ .M_AXI_AWUSER(),
+ .M_AXI_AWVALID(),
+ .M_AXI_AWREADY(),
+ .M_AXI_WID(),
+ .M_AXI_WDATA(),
+ .M_AXI_WSTRB(),
+ .M_AXI_WLAST(),
+ .M_AXI_WUSER(),
+ .M_AXI_WVALID(),
+ .M_AXI_WREADY(),
+ .M_AXI_BID(),
+ .M_AXI_BRESP(),
+ .M_AXI_BUSER(),
+ .M_AXI_BVALID(),
+ .M_AXI_BREADY(),
+ .S_AXI_ARID(),
+ .S_AXI_ARADDR(),
+ .S_AXI_ARLEN(),
+ .S_AXI_ARSIZE(),
+ .S_AXI_ARBURST(),
+ .S_AXI_ARLOCK(),
+ .S_AXI_ARCACHE(),
+ .S_AXI_ARPROT(),
+ .S_AXI_ARQOS(),
+ .S_AXI_ARREGION(),
+ .S_AXI_ARUSER(),
+ .S_AXI_ARVALID(),
+ .S_AXI_ARREADY(),
+ .S_AXI_RID(),
+ .S_AXI_RDATA(),
+ .S_AXI_RRESP(),
+ .S_AXI_RLAST(),
+ .S_AXI_RUSER(),
+ .S_AXI_RVALID(),
+ .S_AXI_RREADY(),
+ .M_AXI_ARID(),
+ .M_AXI_ARADDR(),
+ .M_AXI_ARLEN(),
+ .M_AXI_ARSIZE(),
+ .M_AXI_ARBURST(),
+ .M_AXI_ARLOCK(),
+ .M_AXI_ARCACHE(),
+ .M_AXI_ARPROT(),
+ .M_AXI_ARQOS(),
+ .M_AXI_ARREGION(),
+ .M_AXI_ARUSER(),
+ .M_AXI_ARVALID(),
+ .M_AXI_ARREADY(),
+ .M_AXI_RID(),
+ .M_AXI_RDATA(),
+ .M_AXI_RRESP(),
+ .M_AXI_RLAST(),
+ .M_AXI_RUSER(),
+ .M_AXI_RVALID(),
+ .M_AXI_RREADY(),
+ .S_AXIS_TVALID(),
+ .S_AXIS_TREADY(),
+ .S_AXIS_TDATA(),
+ .S_AXIS_TSTRB(),
+ .S_AXIS_TKEEP(),
+ .S_AXIS_TLAST(),
+ .S_AXIS_TID(),
+ .S_AXIS_TDEST(),
+ .S_AXIS_TUSER(),
+ .M_AXIS_TVALID(),
+ .M_AXIS_TREADY(),
+ .M_AXIS_TDATA(),
+ .M_AXIS_TSTRB(),
+ .M_AXIS_TKEEP(),
+ .M_AXIS_TLAST(),
+ .M_AXIS_TID(),
+ .M_AXIS_TDEST(),
+ .M_AXIS_TUSER(),
+ .AXI_AW_INJECTSBITERR(),
+ .AXI_AW_INJECTDBITERR(),
+ .AXI_AW_PROG_FULL_THRESH(),
+ .AXI_AW_PROG_EMPTY_THRESH(),
+ .AXI_AW_DATA_COUNT(),
+ .AXI_AW_WR_DATA_COUNT(),
+ .AXI_AW_RD_DATA_COUNT(),
+ .AXI_AW_SBITERR(),
+ .AXI_AW_DBITERR(),
+ .AXI_AW_OVERFLOW(),
+ .AXI_AW_UNDERFLOW(),
+ .AXI_AW_PROG_FULL(),
+ .AXI_AW_PROG_EMPTY(),
+ .AXI_W_INJECTSBITERR(),
+ .AXI_W_INJECTDBITERR(),
+ .AXI_W_PROG_FULL_THRESH(),
+ .AXI_W_PROG_EMPTY_THRESH(),
+ .AXI_W_DATA_COUNT(),
+ .AXI_W_WR_DATA_COUNT(),
+ .AXI_W_RD_DATA_COUNT(),
+ .AXI_W_SBITERR(),
+ .AXI_W_DBITERR(),
+ .AXI_W_OVERFLOW(),
+ .AXI_W_UNDERFLOW(),
+ .AXI_B_INJECTSBITERR(),
+ .AXI_W_PROG_FULL(),
+ .AXI_W_PROG_EMPTY(),
+ .AXI_B_INJECTDBITERR(),
+ .AXI_B_PROG_FULL_THRESH(),
+ .AXI_B_PROG_EMPTY_THRESH(),
+ .AXI_B_DATA_COUNT(),
+ .AXI_B_WR_DATA_COUNT(),
+ .AXI_B_RD_DATA_COUNT(),
+ .AXI_B_SBITERR(),
+ .AXI_B_DBITERR(),
+ .AXI_B_OVERFLOW(),
+ .AXI_B_UNDERFLOW(),
+ .AXI_AR_INJECTSBITERR(),
+ .AXI_B_PROG_FULL(),
+ .AXI_B_PROG_EMPTY(),
+ .AXI_AR_INJECTDBITERR(),
+ .AXI_AR_PROG_FULL_THRESH(),
+ .AXI_AR_PROG_EMPTY_THRESH(),
+ .AXI_AR_DATA_COUNT(),
+ .AXI_AR_WR_DATA_COUNT(),
+ .AXI_AR_RD_DATA_COUNT(),
+ .AXI_AR_SBITERR(),
+ .AXI_AR_DBITERR(),
+ .AXI_AR_OVERFLOW(),
+ .AXI_AR_UNDERFLOW(),
+ .AXI_AR_PROG_FULL(),
+ .AXI_AR_PROG_EMPTY(),
+ .AXI_R_INJECTSBITERR(),
+ .AXI_R_INJECTDBITERR(),
+ .AXI_R_PROG_FULL_THRESH(),
+ .AXI_R_PROG_EMPTY_THRESH(),
+ .AXI_R_DATA_COUNT(),
+ .AXI_R_WR_DATA_COUNT(),
+ .AXI_R_RD_DATA_COUNT(),
+ .AXI_R_SBITERR(),
+ .AXI_R_DBITERR(),
+ .AXI_R_OVERFLOW(),
+ .AXI_R_UNDERFLOW(),
+ .AXIS_INJECTSBITERR(),
+ .AXI_R_PROG_FULL(),
+ .AXI_R_PROG_EMPTY(),
+ .AXIS_INJECTDBITERR(),
+ .AXIS_PROG_FULL_THRESH(),
+ .AXIS_PROG_EMPTY_THRESH(),
+ .AXIS_DATA_COUNT(),
+ .AXIS_WR_DATA_COUNT(),
+ .AXIS_RD_DATA_COUNT(),
+ .AXIS_SBITERR(),
+ .AXIS_DBITERR(),
+ .AXIS_OVERFLOW(),
+ .AXIS_UNDERFLOW(),
+ .AXIS_PROG_FULL(),
+ .AXIS_PROG_EMPTY()
+ );
+
+// synthesis translate_on
+
+endmodule
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo
new file mode 100644
index 000000000..06d74a77c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.veo
@@ -0,0 +1,79 @@
+/*******************************************************************************
+* This file is owned and controlled by Xilinx and must be used solely *
+* for design, simulation, implementation and creation of design files *
+* limited to Xilinx devices or technologies. Use with non-Xilinx *
+* devices or technologies is expressly prohibited and immediately *
+* terminates your license. *
+* *
+* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
+* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
+* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
+* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
+* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
+* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
+* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
+* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
+* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
+* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
+* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
+* PARTICULAR PURPOSE. *
+* *
+* Xilinx products are not intended for use in life support appliances, *
+* devices, or systems. Use in such applications are expressly *
+* prohibited. *
+* *
+* (c) Copyright 1995-2013 Xilinx, Inc. *
+* All rights reserved. *
+*******************************************************************************/
+
+/*******************************************************************************
+* Generated from core with identifier: xilinx.com:ip:fifo_generator:9.3 *
+* *
+* Rev 1. The FIFO Generator is a parameterizable first-in/first-out *
+* memory queue generator. Use it to generate resource and performance *
+* optimized FIFOs with common or independent read/write clock domains, *
+* and optional fixed or programmable full and empty flags and *
+* handshaking signals. Choose from a selection of memory resource *
+* types for implementation. Optional Hamming code based error *
+* detection and correction as well as error injection capability for *
+* system test help to insure data integrity. FIFO width and depth are *
+* parameterizable, and for native interface FIFOs, asymmetric read and *
+* write port widths are also supported. *
+*******************************************************************************/
+
+// Interfaces:
+// AXI4Stream_MASTER_M_AXIS
+// AXI4Stream_SLAVE_S_AXIS
+// AXI4_MASTER_M_AXI
+// AXI4_SLAVE_S_AXI
+// AXI4Lite_MASTER_M_AXI
+// AXI4Lite_SLAVE_S_AXI
+// master_aclk
+// slave_aclk
+// slave_aresetn
+
+// The following must be inserted into your Verilog file for this
+// core to be instantiated. Change the instance name and port connections
+// (in parentheses) to your own signal names.
+
+//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
+fifo_short_2clk your_instance_name (
+ .rst(rst), // input rst
+ .wr_clk(wr_clk), // input wr_clk
+ .rd_clk(rd_clk), // input rd_clk
+ .din(din), // input [71 : 0] din
+ .wr_en(wr_en), // input wr_en
+ .rd_en(rd_en), // input rd_en
+ .dout(dout), // output [71 : 0] dout
+ .full(full), // output full
+ .empty(empty), // output empty
+ .rd_data_count(rd_data_count), // output [5 : 0] rd_data_count
+ .wr_data_count(wr_data_count) // output [5 : 0] wr_data_count
+);
+// INST_TAG_END ------ End INSTANTIATION Template ---------
+
+// You must compile the wrapper file fifo_short_2clk.v when simulating
+// the core, fifo_short_2clk. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco
new file mode 100644
index 000000000..0f256d496
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xco
@@ -0,0 +1,213 @@
+##############################################################
+#
+# Xilinx Core Generator version 14.4
+# Date: Fri Mar 8 18:15:07 2013
+#
+##############################################################
+#
+# This file contains the customisation parameters for a
+# Xilinx CORE Generator IP GUI. It is strongly recommended
+# that you do not manually alter this file as it may cause
+# unexpected and unsupported behavior.
+#
+##############################################################
+#
+# Generated from component: xilinx.com:ip:fifo_generator:9.3
+#
+##############################################################
+#
+# BEGIN Project Options
+SET addpads = false
+SET asysymbol = true
+SET busformat = BusFormatAngleBracketNotRipped
+SET createndf = false
+SET designentry = Verilog
+SET device = xc6slx75
+SET devicefamily = spartan6
+SET flowvendor = Other
+SET formalverification = false
+SET foundationsym = false
+SET implementationfiletype = Ngc
+SET package = fgg484
+SET removerpms = false
+SET simulationfiles = Behavioral
+SET speedgrade = -3
+SET verilogsim = true
+SET vhdlsim = false
+# END Project Options
+# BEGIN Select
+SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
+# END Select
+# BEGIN Parameters
+CSET add_ngc_constraint_axi=false
+CSET almost_empty_flag=false
+CSET almost_full_flag=false
+CSET aruser_width=1
+CSET awuser_width=1
+CSET axi_address_width=32
+CSET axi_data_width=64
+CSET axi_type=AXI4_Stream
+CSET axis_type=FIFO
+CSET buser_width=1
+CSET clock_enable_type=Slave_Interface_Clock_Enable
+CSET clock_type_axi=Common_Clock
+CSET component_name=fifo_short_2clk
+CSET data_count=false
+CSET data_count_width=5
+CSET disable_timing_violations=false
+CSET disable_timing_violations_axi=false
+CSET dout_reset_value=0
+CSET empty_threshold_assert_value=4
+CSET empty_threshold_assert_value_axis=1022
+CSET empty_threshold_assert_value_rach=1022
+CSET empty_threshold_assert_value_rdch=1022
+CSET empty_threshold_assert_value_wach=1022
+CSET empty_threshold_assert_value_wdch=1022
+CSET empty_threshold_assert_value_wrch=1022
+CSET empty_threshold_negate_value=5
+CSET enable_aruser=false
+CSET enable_awuser=false
+CSET enable_buser=false
+CSET enable_common_overflow=false
+CSET enable_common_underflow=false
+CSET enable_data_counts_axis=false
+CSET enable_data_counts_rach=false
+CSET enable_data_counts_rdch=false
+CSET enable_data_counts_wach=false
+CSET enable_data_counts_wdch=false
+CSET enable_data_counts_wrch=false
+CSET enable_ecc=false
+CSET enable_ecc_axis=false
+CSET enable_ecc_rach=false
+CSET enable_ecc_rdch=false
+CSET enable_ecc_wach=false
+CSET enable_ecc_wdch=false
+CSET enable_ecc_wrch=false
+CSET enable_read_channel=false
+CSET enable_read_pointer_increment_by2=false
+CSET enable_reset_synchronization=true
+CSET enable_ruser=false
+CSET enable_tdata=false
+CSET enable_tdest=false
+CSET enable_tid=false
+CSET enable_tkeep=false
+CSET enable_tlast=false
+CSET enable_tready=true
+CSET enable_tstrobe=false
+CSET enable_tuser=false
+CSET enable_write_channel=false
+CSET enable_wuser=false
+CSET fifo_application_type_axis=Data_FIFO
+CSET fifo_application_type_rach=Data_FIFO
+CSET fifo_application_type_rdch=Data_FIFO
+CSET fifo_application_type_wach=Data_FIFO
+CSET fifo_application_type_wdch=Data_FIFO
+CSET fifo_application_type_wrch=Data_FIFO
+CSET fifo_implementation=Independent_Clocks_Distributed_RAM
+CSET fifo_implementation_axis=Common_Clock_Block_RAM
+CSET fifo_implementation_rach=Common_Clock_Block_RAM
+CSET fifo_implementation_rdch=Common_Clock_Block_RAM
+CSET fifo_implementation_wach=Common_Clock_Block_RAM
+CSET fifo_implementation_wdch=Common_Clock_Block_RAM
+CSET fifo_implementation_wrch=Common_Clock_Block_RAM
+CSET full_flags_reset_value=1
+CSET full_threshold_assert_value=31
+CSET full_threshold_assert_value_axis=1023
+CSET full_threshold_assert_value_rach=1023
+CSET full_threshold_assert_value_rdch=1023
+CSET full_threshold_assert_value_wach=1023
+CSET full_threshold_assert_value_wdch=1023
+CSET full_threshold_assert_value_wrch=1023
+CSET full_threshold_negate_value=30
+CSET id_width=4
+CSET inject_dbit_error=false
+CSET inject_dbit_error_axis=false
+CSET inject_dbit_error_rach=false
+CSET inject_dbit_error_rdch=false
+CSET inject_dbit_error_wach=false
+CSET inject_dbit_error_wdch=false
+CSET inject_dbit_error_wrch=false
+CSET inject_sbit_error=false
+CSET inject_sbit_error_axis=false
+CSET inject_sbit_error_rach=false
+CSET inject_sbit_error_rdch=false
+CSET inject_sbit_error_wach=false
+CSET inject_sbit_error_wdch=false
+CSET inject_sbit_error_wrch=false
+CSET input_data_width=72
+CSET input_depth=32
+CSET input_depth_axis=1024
+CSET input_depth_rach=16
+CSET input_depth_rdch=1024
+CSET input_depth_wach=16
+CSET input_depth_wdch=1024
+CSET input_depth_wrch=16
+CSET interface_type=Native
+CSET output_data_width=72
+CSET output_depth=32
+CSET overflow_flag=false
+CSET overflow_flag_axi=false
+CSET overflow_sense=Active_High
+CSET overflow_sense_axi=Active_High
+CSET performance_options=First_Word_Fall_Through
+CSET programmable_empty_type=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
+CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET programmable_full_type_axis=No_Programmable_Full_Threshold
+CSET programmable_full_type_rach=No_Programmable_Full_Threshold
+CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
+CSET programmable_full_type_wach=No_Programmable_Full_Threshold
+CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
+CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
+CSET rach_type=FIFO
+CSET rdch_type=FIFO
+CSET read_clock_frequency=1
+CSET read_data_count=true
+CSET read_data_count_width=6
+CSET register_slice_mode_axis=Fully_Registered
+CSET register_slice_mode_rach=Fully_Registered
+CSET register_slice_mode_rdch=Fully_Registered
+CSET register_slice_mode_wach=Fully_Registered
+CSET register_slice_mode_wdch=Fully_Registered
+CSET register_slice_mode_wrch=Fully_Registered
+CSET reset_pin=true
+CSET reset_type=Asynchronous_Reset
+CSET ruser_width=1
+CSET synchronization_stages=2
+CSET synchronization_stages_axi=2
+CSET tdata_width=64
+CSET tdest_width=4
+CSET tid_width=8
+CSET tkeep_width=4
+CSET tstrb_width=4
+CSET tuser_width=4
+CSET underflow_flag=false
+CSET underflow_flag_axi=false
+CSET underflow_sense=Active_High
+CSET underflow_sense_axi=Active_High
+CSET use_clock_enable=false
+CSET use_dout_reset=true
+CSET use_embedded_registers=false
+CSET use_extra_logic=true
+CSET valid_flag=false
+CSET valid_sense=Active_High
+CSET wach_type=FIFO
+CSET wdch_type=FIFO
+CSET wrch_type=FIFO
+CSET write_acknowledge_flag=false
+CSET write_acknowledge_sense=Active_High
+CSET write_clock_frequency=1
+CSET write_data_count=true
+CSET write_data_count_width=6
+CSET wuser_width=1
+# END Parameters
+# BEGIN Extra information
+MISC pkg_timestamp=2012-11-19T12:39:56Z
+# END Extra information
+GENERATE
+# CRC: 8cc2c19d
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise
new file mode 100644
index 000000000..1ca7d35ee
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk.xise
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
+<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
+
+ <header>
+ <!-- ISE source project file created by Project Navigator. -->
+ <!-- -->
+ <!-- This file contains project source information including a list of -->
+ <!-- project source files, project and process properties. This file, -->
+ <!-- along with the project source files, is sufficient to open and -->
+ <!-- implement in ISE Project Navigator. -->
+ <!-- -->
+ <!-- Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -->
+ </header>
+
+ <version xil_pn:ise_version="14.4" xil_pn:schema_version="2"/>
+
+ <files>
+ <file xil_pn:name="fifo_short_2clk.ngc" xil_pn:type="FILE_NGC">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ </file>
+ <file xil_pn:name="fifo_short_2clk.v" xil_pn:type="FILE_VERILOG">
+ <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
+ <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
+ </file>
+ </files>
+
+ <properties>
+ <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device" xil_pn:value="xc6slx75" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top" xil_pn:value="Module|fifo_short_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top File" xil_pn:value="fifo_short_2clk.ngc" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/fifo_short_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Package" xil_pn:value="fgg484" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
+ <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
+ <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Speed Grade" xil_pn:value="-3" xil_pn:valueState="default"/>
+ <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
+ <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
+ <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
+ <!-- -->
+ <!-- The following properties are for internal use only. These should not be modified.-->
+ <!-- -->
+ <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_short_2clk" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
+ <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2013-03-08T10:16:13" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="164C88B0B983B3E77A86A61EAC6C8697" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
+ <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
+ </properties>
+
+ <bindings/>
+
+ <libraries/>
+
+ <autoManagedFiles>
+ <!-- The following files are identified by `include statements in verilog -->
+ <!-- source files and are automatically managed by Project Navigator. -->
+ <!-- -->
+ <!-- Do not hand-edit this section, as it will be overwritten when the -->
+ <!-- project is analyzed based on files automatically identified as -->
+ <!-- include files. -->
+ </autoManagedFiles>
+
+</project>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt
new file mode 100644
index 000000000..7853ebde8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt
@@ -0,0 +1,236 @@
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html
new file mode 100644
index 000000000..fefce62a3
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html
@@ -0,0 +1,247 @@
+<HTML>
+<HEAD>
+<TITLE>fifo_generator_v9_3_vinfo</TITLE>
+<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1">
+</HEAD>
+<BODY>
+<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1">
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A>
+
+For system requirements:
+
+ <A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A>
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ <A HREF="http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm">www.xilinx.com/products/ipcenter/FIFO_Generator.htm</A>
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ <A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A>
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A>
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
+</FONT>
+</PRE>
+</BODY>
+</HTML>
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf
new file mode 100644
index 000000000..5ec45fbcb
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf
Binary files differ
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf
new file mode 100755
index 000000000..62e5058ab
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf
@@ -0,0 +1,56 @@
+################################################################################
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#
+################################################################################
+
+# Core Period Constraint. This constraint can be modified, and is
+# valid as long as it is met after place and route.
+ NET "RD_CLK" TNM_NET = "RD_CLK";
+ NET "WR_CLK" TNM_NET = "WR_CLK";
+ TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ;
+ TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ;
+################################################################################
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd
new file mode 100755
index 000000000..9e38bcb7c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd
@@ -0,0 +1,145 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core - core top file for implementation
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_exdes.vhd
+--
+-- Description:
+-- This is the FIFO core wrapper with BUFG instances for clock connections.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+library unisim;
+use unisim.vcomponents.all;
+
+--------------------------------------------------------------------------------
+-- Entity Declaration
+--------------------------------------------------------------------------------
+entity fifo_short_2clk_exdes is
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+end fifo_short_2clk_exdes;
+
+
+
+architecture xilinx of fifo_short_2clk_exdes is
+
+ signal wr_clk_i : std_logic;
+ signal rd_clk_i : std_logic;
+
+
+
+ component fifo_short_2clk is
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+ end component;
+
+
+begin
+
+ wr_clk_buf: bufg
+ PORT map(
+ i => WR_CLK,
+ o => wr_clk_i
+ );
+
+ rd_clk_buf: bufg
+ PORT map(
+ i => RD_CLK,
+ o => rd_clk_i
+ );
+
+
+ exdes_inst : fifo_short_2clk
+ PORT MAP (
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ WR_DATA_COUNT => wr_data_count,
+ RD_DATA_COUNT => rd_data_count,
+ RST => rst,
+ WR_EN => wr_en,
+ RD_EN => rd_en,
+ DIN => din,
+ DOUT => dout,
+ FULL => full,
+ EMPTY => empty);
+
+end xilinx;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt
new file mode 100644
index 000000000..7853ebde8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt
@@ -0,0 +1,236 @@
+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+ Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION
+2. DEVICE SUPPORT
+3. NEW FEATURE HISTORY
+4. RESOLVED ISSUES
+5. KNOWN ISSUES & LIMITATIONS
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY
+8. LEGAL DISCLAIMER
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+ http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+
+ http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+ 2.1 ISE
+
+ The following device families are supported by the core for this release.
+
+
+ All 7 Series devices
+ Zynq-7000 devices
+ All Virtex-6 devices
+ All Spartan-6 devices
+ All Virtex-5 devices
+ All Spartan-3 devices
+ All Virtex-4 devices
+
+
+ 2.2 Vivado
+
+ All 7 Series devices
+ Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+ 3.1 ISE
+
+ - ISE 14.4 software support
+
+
+ 3.2 Vivado
+
+ - 2012.4 software support
+ - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+ 4.1 ISE
+
+ - N/A
+
+
+ 4.2 Vivado
+
+ - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+ 5.1 ISE
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Importing an XCO file alters the XCO configurations
+
+ Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+ into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1,
+ page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+
+ CR 467240
+ AR 31379
+
+ 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+
+ Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+ correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+
+ Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+ For more information and additional workaround see Answer Record 41099.
+
+ 5.2 Vivado
+
+ The following are known issues for v9.3 Rev 1 of this core at time of release:
+
+ 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen
+ ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+
+ CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at
+
+ www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+Date By Version Description
+================================================================================
+12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+ AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support
+06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support
+09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support
+07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support
+06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support
+04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support
+12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3
+08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0
+05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support
+04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+ This file contains confidential and proprietary information
+ of Xilinx, Inc. and is protected under U.S. and
+ international copyright and other intellectual property
+ laws.
+
+ DISCLAIMER
+ This disclaimer is not a license and does not grant any
+ rights to the materials distributed herewith. Except as
+ otherwise provided in a valid license issued to you by
+ Xilinx, and to the maximum extent permitted by applicable
+ law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+ WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+ AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+ BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+ INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+ (2) Xilinx shall not be liable (whether in contract or tort,
+ including negligence, or under any other theory of
+ liability) for any loss or damage of any kind or nature
+ related to, arising under or in connection with these
+ materials, including for any direct, or any indirect,
+ special, incidental, or consequential loss or damage
+ (including loss of data, profits, goodwill, or any type of
+ loss or damage suffered as a result of any action brought
+ by a third party) even if such damage or loss was
+ reasonably foreseeable or Xilinx had been advised of the
+ possibility of the same.
+
+ CRITICAL APPLICATIONS
+ Xilinx products are not designed or intended to be fail-
+ safe, or for use in any application requiring fail-safe
+ performance, such as life-support or safety devices or
+ systems, Class III medical devices, nuclear facilities,
+ applications related to the deployment of airbags, or any
+ other applications that could lead to death, personal
+ injury, or severe property or environmental damage
+ (individually and collectively, "Critical
+ Applications"). Customer assumes the sole risk and
+ liability of any use of Xilinx products in Critical
+ Applications, subject only to applicable laws and
+ regulations governing limitations on product liability.
+
+ THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+ PART OF THIS FILE AT ALL TIMES.
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat
new file mode 100755
index 000000000..f846d4485
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.bat
@@ -0,0 +1,88 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem Clean up the results directory
+rmdir /S /Q results
+mkdir results
+
+rem Synthesize the VHDL Wrapper Files
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with XST';
+xst -ifn xst.scr
+copy fifo_short_2clk_exdes.ngc .\results\
+
+
+rem Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+copy ..\..\fifo_short_2clk.ngc results\
+
+
+rem Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+copy ..\example_design\fifo_short_2clk_exdes.ucf results\
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes
+
+echo 'Running map'
+map fifo_short_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh
new file mode 100755
index 000000000..e2453c4a1
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement.sh
@@ -0,0 +1,87 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+# Clean up the results directory
+rm -rf results
+mkdir results
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with XST';
+xst -ifn xst.scr
+cp fifo_short_2clk_exdes.ngc ./results/
+
+
+# Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+cp ../../fifo_short_2clk.ngc results/
+
+# Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+cp ../example_design/fifo_short_2clk_exdes.ucf results/
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes
+
+echo 'Running map'
+map fifo_short_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat
new file mode 100755
index 000000000..4fe498bff
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.bat
@@ -0,0 +1,87 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem Clean up the results directory
+rmdir /S /Q results
+mkdir results
+
+rem Synthesize the VHDL Wrapper Files
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with Synplify'
+synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx
+
+
+rem Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+copy ..\..\fifo_short_2clk.ngc results\
+
+
+rem Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+copy ..\example_design\fifo_short_2clk_exdes.ucf results\
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes
+
+echo 'Running map'
+map fifo_short_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh
new file mode 100755
index 000000000..882036474
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/implement_synplify.sh
@@ -0,0 +1,86 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+# Clean up the results directory
+rm -rf results
+mkdir results
+
+#Synthesize the Wrapper Files
+
+echo 'Synthesizing example design with Synplify'
+synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx
+
+
+# Copy the netlist generated by Coregen
+echo 'Copying files from the netlist directory to the results directory'
+cp ../../fifo_short_2clk.ngc results/
+
+# Copy the constraints files generated by Coregen
+echo 'Copying files from constraints directory to results directory'
+cp ../example_design/fifo_short_2clk_exdes.ucf results/
+
+cd results
+
+echo 'Running ngdbuild'
+
+ngdbuild -p xc6slx75-fgg484-3 -sd ../../../ fifo_short_2clk_exdes
+
+echo 'Running map'
+map fifo_short_2clk_exdes -o mapped.ncd
+
+echo 'Running par'
+par mapped.ncd routed.ncd
+
+echo 'Running trce'
+trce -e 10 routed.ncd mapped.pcf -o routed
+
+echo 'Running design through bitgen'
+bitgen -w routed
+
+echo 'Running netgen to create gate level Verilog model'
+netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat
new file mode 100755
index 000000000..49053fd63
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.bat
@@ -0,0 +1,54 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+rem -----------------------------------------------------------------------------
+rem Script to synthesize and implement the Coregen FIFO Generator
+rem -----------------------------------------------------------------------------
+rmdir /S /Q results
+mkdir results
+cd results
+copy ..\..\..\fifo_short_2clk.ngc .
+planAhead -mode batch -source ..\planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh
new file mode 100755
index 000000000..42916c2bc
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.sh
@@ -0,0 +1,55 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+#-----------------------------------------------------------------------------
+# Script to synthesize and implement the Coregen FIFO Generator
+#-----------------------------------------------------------------------------
+rm -rf results
+mkdir results
+cd results
+cp ../../../fifo_short_2clk.ngc .
+planAhead -mode batch -source ../planAhead_ise.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl
new file mode 100755
index 000000000..9f39b3e13
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/planAhead_ise.tcl
@@ -0,0 +1,67 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+
+
+set device xc6slx75fgg484-3
+set projName fifo_short_2clk
+set design fifo_short_2clk
+set projDir [file dirname [info script]]
+create_project $projName $projDir/results/$projName -part $device -force
+set_property design_mode RTL [current_fileset -srcset]
+set top_module fifo_short_2clk_exdes
+add_files -norecurse {../../example_design/fifo_short_2clk_exdes.vhd}
+add_files -norecurse {./fifo_short_2clk.ngc}
+import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_short_2clk_exdes.xdc}
+set_property top fifo_short_2clk_exdes [get_property srcset [current_run]]
+synth_design
+opt_design
+place_design
+route_design
+write_sdf -rename_top_module fifo_short_2clk_exdes -file routed.sdf
+write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_short_2clk_exdes routed.v
+report_timing -nworst 30 -path_type full -file routed.twr
+report_drc -file report.drc
+write_bitstream -bitgen_options {-g UnconstrainedPins:Allow}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj
new file mode 100755
index 000000000..f16af4308
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.prj
@@ -0,0 +1 @@
+work ../example_design/fifo_short_2clk_exdes.vhd
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr
new file mode 100755
index 000000000..b5a9091c7
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/implement/xst.scr
@@ -0,0 +1,13 @@
+run
+-ifmt VHDL
+-ent fifo_short_2clk_exdes
+-p xc6slx75-fgg484-3
+-ifn xst.prj
+-write_timing_constraints No
+-iobuf YES
+-max_fanout 100
+-ofn fifo_short_2clk_exdes
+-ofmt NGC
+-bus_delimiter ()
+-hierarchy_separator /
+-case Maintain
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd
new file mode 100755
index 000000000..bc7a1b525
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd
@@ -0,0 +1,123 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_dgen.vhd
+--
+-- Description:
+-- Used for write interface stimulus generation
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_short_2clk_pkg.ALL;
+
+ENTITY fifo_short_2clk_dgen IS
+ GENERIC (
+ C_DIN_WIDTH : INTEGER := 32;
+ C_DOUT_WIDTH : INTEGER := 32;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT (
+ RESET : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ PRC_WR_EN : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ WR_EN : OUT STD_LOGIC;
+ WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_dg_arch OF fifo_short_2clk_dgen IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
+
+ SIGNAL pr_w_en : STD_LOGIC := '0';
+ SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
+ SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ BEGIN
+
+ WR_EN <= PRC_WR_EN ;
+ WR_DATA <= wr_data_i AFTER 100 ns;
+
+ ----------------------------------------------
+ -- Generation of DATA
+ ----------------------------------------------
+ gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
+ rd_gen_inst1:fifo_short_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+N
+ )
+ PORT MAP(
+ CLK => WR_CLK,
+ RESET => RESET,
+ RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
+ ENABLE => pr_w_en
+ );
+ END GENERATE;
+
+ pr_w_en <= PRC_WR_EN AND NOT FULL;
+ wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0);
+
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd
new file mode 100755
index 000000000..b0465d84f
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd
@@ -0,0 +1,150 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_dverif.vhd
+--
+-- Description:
+-- Used for FIFO read interface stimulus generation and data checking
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_short_2clk_pkg.ALL;
+
+ENTITY fifo_short_2clk_dverif IS
+ GENERIC(
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_USE_EMBEDDED_REG : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ PRC_RD_EN : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ RD_EN : OUT STD_LOGIC;
+ DOUT_CHK : OUT STD_LOGIC
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_dv_arch OF fifo_short_2clk_dverif IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8);
+
+ SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL data_chk : STD_LOGIC := '1';
+ SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0);
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL pr_r_en : STD_LOGIC := '0';
+ SIGNAL rd_en_d1 : STD_LOGIC := '1';
+BEGIN
+
+
+ DOUT_CHK <= data_chk;
+ RD_EN <= rd_en_i;
+ rd_en_i <= PRC_RD_EN;
+ rd_en_d1 <= '1';
+
+
+ data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE
+ -------------------------------------------------------
+ -- Expected data generation and checking for data_fifo
+ -------------------------------------------------------
+
+ pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1;
+ expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0);
+
+ gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
+ rd_gen_inst2:fifo_short_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+N
+ )
+ PORT MAP(
+ CLK => RD_CLK,
+ RESET => RESET,
+ RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
+ ENABLE => pr_r_en
+ );
+ END GENERATE;
+
+ PROCESS (RD_CLK,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ data_chk <= '0';
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(EMPTY = '0') THEN
+ IF(DATA_OUT = expected_dout) THEN
+ data_chk <= '0';
+ ELSE
+ data_chk <= '1';
+ END IF;
+ END IF;
+ END IF;
+ END PROCESS;
+ END GENERATE data_fifo_chk;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd
new file mode 100755
index 000000000..5d6506398
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd
@@ -0,0 +1,541 @@
+
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_pctrl.vhd
+--
+-- Description:
+-- Used for protocol control on write and read interface stimulus and status generation
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+LIBRARY work;
+USE work.fifo_short_2clk_pkg.ALL;
+
+ENTITY fifo_short_2clk_pctrl IS
+ GENERIC(
+ AXI_CHANNEL : STRING :="NONE";
+ C_APPLICATION_TYPE : INTEGER := 0;
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_WR_PNTR_WIDTH : INTEGER := 0;
+ C_RD_PNTR_WIDTH : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 2;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET_WR : IN STD_LOGIC;
+ RESET_RD : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ ALMOST_FULL : IN STD_LOGIC;
+ ALMOST_EMPTY : IN STD_LOGIC;
+ DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ DOUT_CHK : IN STD_LOGIC;
+ PRC_WR_EN : OUT STD_LOGIC;
+ PRC_RD_EN : OUT STD_LOGIC;
+ RESET_EN : OUT STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+END ENTITY;
+
+
+ARCHITECTURE fg_pc_arch OF fifo_short_2clk_pctrl IS
+
+ CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
+ CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
+ CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
+
+ SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
+ SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
+ SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
+ SIGNAL wr_en_i : STD_LOGIC := '0';
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL state : STD_LOGIC := '0';
+ SIGNAL wr_control : STD_LOGIC := '0';
+ SIGNAL rd_control : STD_LOGIC := '0';
+ SIGNAL stop_on_err : STD_LOGIC := '0';
+ SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
+ SIGNAL sim_done_i : STD_LOGIC := '0';
+ SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
+ SIGNAL prc_we_i : STD_LOGIC := '0';
+ SIGNAL prc_re_i : STD_LOGIC := '0';
+ SIGNAL reset_en_i : STD_LOGIC := '0';
+ SIGNAL sim_done_d1 : STD_LOGIC := '0';
+ SIGNAL sim_done_wr1 : STD_LOGIC := '0';
+ SIGNAL sim_done_wr2 : STD_LOGIC := '0';
+ SIGNAL empty_d1 : STD_LOGIC := '0';
+ SIGNAL empty_wr_dom1 : STD_LOGIC := '0';
+ SIGNAL state_d1 : STD_LOGIC := '0';
+ SIGNAL state_rd_dom1 : STD_LOGIC := '0';
+ SIGNAL rd_en_d1 : STD_LOGIC := '0';
+ SIGNAL rd_en_wr1 : STD_LOGIC := '0';
+ SIGNAL wr_en_d1 : STD_LOGIC := '0';
+ SIGNAL wr_en_rd1 : STD_LOGIC := '0';
+ SIGNAL full_chk_d1 : STD_LOGIC := '0';
+ SIGNAL full_chk_rd1 : STD_LOGIC := '0';
+ SIGNAL empty_wr_dom2 : STD_LOGIC := '0';
+
+ SIGNAL state_rd_dom2 : STD_LOGIC := '0';
+ SIGNAL state_rd_dom3 : STD_LOGIC := '0';
+ SIGNAL rd_en_wr2 : STD_LOGIC := '0';
+ SIGNAL wr_en_rd2 : STD_LOGIC := '0';
+ SIGNAL full_chk_rd2 : STD_LOGIC := '0';
+ SIGNAL reset_en_d1 : STD_LOGIC := '0';
+ SIGNAL reset_en_rd1 : STD_LOGIC := '0';
+ SIGNAL reset_en_rd2 : STD_LOGIC := '0';
+
+ SIGNAL data_chk_wr_d1 : STD_LOGIC := '0';
+ SIGNAL data_chk_rd1 : STD_LOGIC := '0';
+ SIGNAL data_chk_rd2 : STD_LOGIC := '0';
+ SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
+ SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
+BEGIN
+ status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0';
+ STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
+
+ prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0';
+ prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
+
+ SIM_DONE <= sim_done_i;
+ rdw_gt_wrw <= (OTHERS => '1');
+ wrw_gt_rdw <= (OTHERS => '1');
+
+ PROCESS(RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(prc_re_i = '1') THEN
+ rd_activ_cont <= rd_activ_cont + "1";
+ END IF;
+ END IF;
+ END PROCESS;
+
+
+ PROCESS(sim_done_i)
+ BEGIN
+ assert sim_done_i = '0'
+ report "Simulation Complete for:" & AXI_CHANNEL
+ severity note;
+ END PROCESS;
+
+-----------------------------------------------------
+-- SIM_DONE SIGNAL GENERATION
+-----------------------------------------------------
+PROCESS (RD_CLK,RESET_RD)
+BEGIN
+ IF(RESET_RD = '1') THEN
+ --sim_done_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
+ sim_done_i <= '1';
+ END IF;
+ END IF;
+END PROCESS;
+
+ -- TB Timeout/Stop
+ fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
+ PROCESS (RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN
+ sim_stop_cntr <= sim_stop_cntr - "1";
+ END IF;
+ END IF;
+ END PROCESS;
+ END GENERATE fifo_tb_stop_run;
+
+
+ -- Stop when error found
+ PROCESS (RD_CLK)
+ BEGIN
+ IF (RD_CLK'event AND RD_CLK='1') THEN
+ IF(sim_done_i = '0') THEN
+ status_d1_i <= status_i OR status_d1_i;
+ END IF;
+ IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
+ stop_on_err <= '1';
+ END IF;
+ END IF;
+ END PROCESS;
+ -----------------------------------------------------
+
+ -----------------------------------------------------
+ -- CHECKS FOR FIFO
+ -----------------------------------------------------
+
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ post_rst_dly_rd <= (OTHERS => '1');
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
+ END IF;
+ END PROCESS;
+
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ post_rst_dly_wr <= (OTHERS => '1');
+ ELSIF (WR_CLK'event AND WR_CLK='1') THEN
+ post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
+ END IF;
+ END PROCESS;
+
+
+ -- FULL de-assert Counter
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ full_ds_timeout <= (OTHERS => '0');
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
+ full_ds_timeout <= full_ds_timeout + '1';
+ END IF;
+ ELSE
+ full_ds_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+ END PROCESS;
+
+
+ -- EMPTY deassert counter
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_ds_timeout <= (OTHERS => '0');
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state = '0') THEN
+ IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
+ empty_ds_timeout <= empty_ds_timeout + '1';
+ END IF;
+ ELSE
+ empty_ds_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -- Full check signal generation
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ full_chk_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
+ full_chk_i <= '0';
+ ELSE
+ full_chk_i <= AND_REDUCE(full_as_timeout) OR
+ AND_REDUCE(full_ds_timeout);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -- Empty checks
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_chk_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
+ empty_chk_i <= '0';
+ ELSE
+ empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
+ AND_REDUCE(empty_ds_timeout);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
+ PRC_WR_EN <= prc_we_i AFTER 100 ns;
+ PRC_RD_EN <= prc_re_i AFTER 50 ns;
+ data_chk_i <= dout_chk;
+ END GENERATE fifo_d_chk;
+ -----------------------------------------------------
+
+
+ -----------------------------------------------------
+ -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ empty_wr_dom1 <= '1';
+ empty_wr_dom2 <= '1';
+ state_d1 <= '0';
+ wr_en_d1 <= '0';
+ rd_en_wr1 <= '0';
+ rd_en_wr2 <= '0';
+ full_chk_d1 <= '0';
+ reset_en_d1 <= '0';
+ sim_done_wr1 <= '0';
+ sim_done_wr2 <= '0';
+ ELSIF (WR_CLK'event AND WR_CLK='1') THEN
+ sim_done_wr1 <= sim_done_d1;
+ sim_done_wr2 <= sim_done_wr1;
+ reset_en_d1 <= reset_en_i;
+ state_d1 <= state;
+ empty_wr_dom1 <= empty_d1;
+ empty_wr_dom2 <= empty_wr_dom1;
+ wr_en_d1 <= wr_en_i;
+ rd_en_wr1 <= rd_en_d1;
+ rd_en_wr2 <= rd_en_wr1;
+ full_chk_d1 <= full_chk_i;
+ END IF;
+ END PROCESS;
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ empty_d1 <= '1';
+ state_rd_dom1 <= '0';
+ state_rd_dom2 <= '0';
+ state_rd_dom3 <= '0';
+ wr_en_rd1 <= '0';
+ wr_en_rd2 <= '0';
+ rd_en_d1 <= '0';
+ full_chk_rd1 <= '0';
+ full_chk_rd2 <= '0';
+ reset_en_rd1 <= '0';
+ reset_en_rd2 <= '0';
+ sim_done_d1 <= '0';
+ ELSIF (RD_CLK'event AND RD_CLK='1') THEN
+ sim_done_d1 <= sim_done_i;
+ reset_en_rd1 <= reset_en_d1;
+ reset_en_rd2 <= reset_en_rd1;
+ empty_d1 <= EMPTY;
+ rd_en_d1 <= rd_en_i;
+ state_rd_dom1 <= state_d1;
+ state_rd_dom2 <= state_rd_dom1;
+ state_rd_dom3 <= state_rd_dom2;
+ wr_en_rd1 <= wr_en_d1;
+ wr_en_rd2 <= wr_en_rd1;
+ full_chk_rd1 <= full_chk_d1;
+ full_chk_rd2 <= full_chk_rd1;
+ END IF;
+ END PROCESS;
+
+ RESET_EN <= reset_en_rd2;
+
+
+ data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
+ -----------------------------------------------------
+ -- WR_EN GENERATION
+ -----------------------------------------------------
+ gen_rand_wr_en:fifo_short_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED+1
+ )
+ PORT MAP(
+ CLK => WR_CLK,
+ RESET => RESET_WR,
+ RANDOM_NUM => wr_en_gen,
+ ENABLE => '1'
+ );
+
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ wr_en_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
+ ELSE
+ wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- WR_EN CONTROL
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ wr_cntr <= (OTHERS => '0');
+ wr_control <= '1';
+ full_as_timeout <= (OTHERS => '0');
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ IF(state = '1') THEN
+ IF(wr_en_i = '1') THEN
+ wr_cntr <= wr_cntr + "1";
+ END IF;
+ full_as_timeout <= (OTHERS => '0');
+ ELSE
+ wr_cntr <= (OTHERS => '0');
+ IF(rd_en_wr2 = '0') THEN
+ IF(wr_en_i = '1') THEN
+ full_as_timeout <= full_as_timeout + "1";
+ END IF;
+ ELSE
+ full_as_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+
+ wr_control <= NOT wr_cntr(wr_cntr'high);
+
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- RD_EN GENERATION
+ -----------------------------------------------------
+ gen_rand_rd_en:fifo_short_2clk_rng
+ GENERIC MAP(
+ WIDTH => 8,
+ SEED => TB_SEED
+ )
+ PORT MAP(
+ CLK => RD_CLK,
+ RESET => RESET_RD,
+ RANDOM_NUM => rd_en_gen,
+ ENABLE => '1'
+ );
+
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ rd_en_i <= '0';
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0') THEN
+ rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
+ ELSE
+ rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
+ END IF;
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- RD_EN CONTROL
+ -----------------------------------------------------
+ PROCESS(RD_CLK,RESET_RD)
+ BEGIN
+ IF(RESET_RD = '1') THEN
+ rd_cntr <= (OTHERS => '0');
+ rd_control <= '1';
+ empty_as_timeout <= (OTHERS => '0');
+ ELSIF(RD_CLK'event AND RD_CLK='1') THEN
+ IF(state_rd_dom2 = '0') THEN
+ IF(rd_en_i = '1') THEN
+ rd_cntr <= rd_cntr + "1";
+ END IF;
+ empty_as_timeout <= (OTHERS => '0');
+ ELSE
+ rd_cntr <= (OTHERS => '0');
+ IF(wr_en_rd2 = '0') THEN
+ IF(rd_en_i = '1') THEN
+ empty_as_timeout <= empty_as_timeout + "1";
+ END IF;
+ ELSE
+ empty_as_timeout <= (OTHERS => '0');
+ END IF;
+ END IF;
+
+ rd_control <= NOT rd_cntr(rd_cntr'high);
+
+ END IF;
+ END PROCESS;
+
+ -----------------------------------------------------
+ -- STIMULUS CONTROL
+ -----------------------------------------------------
+ PROCESS(WR_CLK,RESET_WR)
+ BEGIN
+ IF(RESET_WR = '1') THEN
+ state <= '0';
+ reset_en_i <= '0';
+ ELSIF(WR_CLK'event AND WR_CLK='1') THEN
+ CASE state IS
+ WHEN '0' =>
+ IF(FULL = '1' AND empty_wr_dom2 = '0') THEN
+ state <= '1';
+ reset_en_i <= '0';
+ END IF;
+ WHEN '1' =>
+ IF(empty_wr_dom2 = '1' AND FULL = '0') THEN
+ state <= '0';
+ reset_en_i <= '1';
+ END IF;
+ WHEN OTHERS => state <= state;
+ END CASE;
+ END IF;
+ END PROCESS;
+ END GENERATE data_fifo_en;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd
new file mode 100755
index 000000000..4c0e4035b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd
@@ -0,0 +1,350 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_pkg.vhd
+--
+-- Description:
+-- This is the demo testbench package file for FIFO Generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY IEEE;
+USE IEEE.STD_LOGIC_1164.ALL;
+USE ieee.std_logic_arith.ALL;
+USE IEEE.STD_LOGIC_UNSIGNED.ALL;
+
+PACKAGE fifo_short_2clk_pkg IS
+
+ FUNCTION divroundup (
+ data_value : INTEGER;
+ divisor : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : INTEGER;
+ false_case : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : STD_LOGIC;
+ false_case : STD_LOGIC)
+ RETURN STD_LOGIC;
+ ------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : TIME;
+ false_case : TIME)
+ RETURN TIME;
+ ------------------------
+ FUNCTION log2roundup (
+ data_value : INTEGER)
+ RETURN INTEGER;
+ ------------------------
+ FUNCTION hexstr_to_std_logic_vec(
+ arg1 : string;
+ size : integer )
+ RETURN std_logic_vector;
+ ------------------------
+ COMPONENT fifo_short_2clk_rng IS
+ GENERIC (WIDTH : integer := 8;
+ SEED : integer := 3);
+ PORT (
+ CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ ENABLE : IN STD_LOGIC;
+ RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_short_2clk_dgen IS
+ GENERIC (
+ C_DIN_WIDTH : INTEGER := 32;
+ C_DOUT_WIDTH : INTEGER := 32;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT (
+ RESET : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ PRC_WR_EN : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ WR_EN : OUT STD_LOGIC;
+ WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_short_2clk_dverif IS
+ GENERIC(
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_USE_EMBEDDED_REG : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ PRC_RD_EN : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ RD_EN : OUT STD_LOGIC;
+ DOUT_CHK : OUT STD_LOGIC
+ );
+ END COMPONENT;
+ ------------------------
+
+ COMPONENT fifo_short_2clk_pctrl IS
+ GENERIC(
+ AXI_CHANNEL : STRING := "NONE";
+ C_APPLICATION_TYPE : INTEGER := 0;
+ C_DIN_WIDTH : INTEGER := 0;
+ C_DOUT_WIDTH : INTEGER := 0;
+ C_WR_PNTR_WIDTH : INTEGER := 0;
+ C_RD_PNTR_WIDTH : INTEGER := 0;
+ C_CH_TYPE : INTEGER := 0;
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 2;
+ TB_SEED : INTEGER := 2
+ );
+ PORT(
+ RESET_WR : IN STD_LOGIC;
+ RESET_RD : IN STD_LOGIC;
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ FULL : IN STD_LOGIC;
+ EMPTY : IN STD_LOGIC;
+ ALMOST_FULL : IN STD_LOGIC;
+ ALMOST_EMPTY : IN STD_LOGIC;
+ DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
+ DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
+ DOUT_CHK : IN STD_LOGIC;
+ PRC_WR_EN : OUT STD_LOGIC;
+ PRC_RD_EN : OUT STD_LOGIC;
+ RESET_EN : OUT STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+ COMPONENT fifo_short_2clk_synth IS
+ GENERIC(
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 0;
+ TB_SEED : INTEGER := 1
+ );
+ PORT(
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+ END COMPONENT;
+ ------------------------
+ COMPONENT fifo_short_2clk_exdes IS
+ PORT (
+ WR_CLK : IN std_logic;
+ RD_CLK : IN std_logic;
+ WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0);
+ RST : IN std_logic;
+ WR_EN : IN std_logic;
+ RD_EN : IN std_logic;
+ DIN : IN std_logic_vector(72-1 DOWNTO 0);
+ DOUT : OUT std_logic_vector(72-1 DOWNTO 0);
+ FULL : OUT std_logic;
+ EMPTY : OUT std_logic);
+
+ END COMPONENT;
+ ------------------------
+
+
+END fifo_short_2clk_pkg;
+
+
+
+PACKAGE BODY fifo_short_2clk_pkg IS
+
+ FUNCTION divroundup (
+ data_value : INTEGER;
+ divisor : INTEGER)
+ RETURN INTEGER IS
+ VARIABLE div : INTEGER;
+ BEGIN
+ div := data_value/divisor;
+ IF ( (data_value MOD divisor) /= 0) THEN
+ div := div+1;
+ END IF;
+ RETURN div;
+ END divroundup;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : INTEGER;
+ false_case : INTEGER)
+ RETURN INTEGER IS
+ VARIABLE retval : INTEGER := 0;
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : STD_LOGIC;
+ false_case : STD_LOGIC)
+ RETURN STD_LOGIC IS
+ VARIABLE retval : STD_LOGIC := '0';
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ ---------------------------------
+ FUNCTION if_then_else (
+ condition : BOOLEAN;
+ true_case : TIME;
+ false_case : TIME)
+ RETURN TIME IS
+ VARIABLE retval : TIME := 0 ps;
+ BEGIN
+ IF condition=false THEN
+ retval:=false_case;
+ ELSE
+ retval:=true_case;
+ END IF;
+ RETURN retval;
+ END if_then_else;
+ -------------------------------
+ FUNCTION log2roundup (
+ data_value : INTEGER)
+ RETURN INTEGER IS
+
+ VARIABLE width : INTEGER := 0;
+ VARIABLE cnt : INTEGER := 1;
+ BEGIN
+ IF (data_value <= 1) THEN
+ width := 1;
+ ELSE
+ WHILE (cnt < data_value) LOOP
+ width := width + 1;
+ cnt := cnt *2;
+ END LOOP;
+ END IF;
+
+ RETURN width;
+ END log2roundup;
+ ------------------------------------------------------------------------------
+ -- hexstr_to_std_logic_vec
+ -- This function converts a hex string to a std_logic_vector
+ ------------------------------------------------------------------------------
+ FUNCTION hexstr_to_std_logic_vec(
+ arg1 : string;
+ size : integer )
+ RETURN std_logic_vector IS
+ VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
+ VARIABLE bin : std_logic_vector(3 DOWNTO 0);
+ VARIABLE index : integer := 0;
+ BEGIN
+ FOR i IN arg1'reverse_range LOOP
+ CASE arg1(i) IS
+ WHEN '0' => bin := (OTHERS => '0');
+ WHEN '1' => bin := (0 => '1', OTHERS => '0');
+ WHEN '2' => bin := (1 => '1', OTHERS => '0');
+ WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
+ WHEN '4' => bin := (2 => '1', OTHERS => '0');
+ WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
+ WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
+ WHEN '7' => bin := (3 => '0', OTHERS => '1');
+ WHEN '8' => bin := (3 => '1', OTHERS => '0');
+ WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
+ WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
+ WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
+ WHEN 'B' => bin := (2 => '0', OTHERS => '1');
+ WHEN 'b' => bin := (2 => '0', OTHERS => '1');
+ WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
+ WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
+ WHEN 'D' => bin := (1 => '0', OTHERS => '1');
+ WHEN 'd' => bin := (1 => '0', OTHERS => '1');
+ WHEN 'E' => bin := (0 => '0', OTHERS => '1');
+ WHEN 'e' => bin := (0 => '0', OTHERS => '1');
+ WHEN 'F' => bin := (OTHERS => '1');
+ WHEN 'f' => bin := (OTHERS => '1');
+ WHEN OTHERS =>
+ FOR j IN 0 TO 3 LOOP
+ bin(j) := 'X';
+ END LOOP;
+ END CASE;
+ FOR j IN 0 TO 3 LOOP
+ IF (index*4)+j < size THEN
+ result((index*4)+j) := bin(j);
+ END IF;
+ END LOOP;
+ index := index + 1;
+ END LOOP;
+ RETURN result;
+ END hexstr_to_std_logic_vec;
+
+END fifo_short_2clk_pkg;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd
new file mode 100755
index 000000000..80c201c3b
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd
@@ -0,0 +1,100 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_rng.vhd
+--
+-- Description:
+-- Used for generation of pseudo random numbers
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE IEEE.std_logic_arith.all;
+USE IEEE.std_logic_misc.all;
+
+ENTITY fifo_short_2clk_rng IS
+ GENERIC (
+ WIDTH : integer := 8;
+ SEED : integer := 3);
+ PORT (
+ CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ ENABLE : IN STD_LOGIC;
+ RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0));
+END ENTITY;
+
+ARCHITECTURE rg_arch OF fifo_short_2clk_rng IS
+BEGIN
+PROCESS (CLK,RESET)
+ VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width);
+ VARIABLE temp : STD_LOGIC := '0';
+BEGIN
+ IF(RESET = '1') THEN
+ rand_temp := conv_std_logic_vector(SEED,width);
+ temp := '0';
+ ELSIF (CLK'event AND CLK = '1') THEN
+ IF (ENABLE = '1') THEN
+ temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5);
+ rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0);
+ rand_temp(0) := temp;
+ END IF;
+ END IF;
+
+ RANDOM_NUM <= rand_temp;
+
+END PROCESS;
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd
new file mode 100755
index 000000000..e9139fc96
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd
@@ -0,0 +1,300 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_synth.vhd
+--
+-- Description:
+-- This is the demo testbench for fifo_generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+
+
+LIBRARY ieee;
+USE ieee.STD_LOGIC_1164.ALL;
+USE ieee.STD_LOGIC_unsigned.ALL;
+USE IEEE.STD_LOGIC_arith.ALL;
+USE ieee.numeric_std.ALL;
+USE ieee.STD_LOGIC_misc.ALL;
+
+LIBRARY std;
+USE std.textio.ALL;
+
+LIBRARY work;
+USE work.fifo_short_2clk_pkg.ALL;
+
+--------------------------------------------------------------------------------
+-- Entity Declaration
+--------------------------------------------------------------------------------
+ENTITY fifo_short_2clk_synth IS
+ GENERIC(
+ FREEZEON_ERROR : INTEGER := 0;
+ TB_STOP_CNT : INTEGER := 0;
+ TB_SEED : INTEGER := 1
+ );
+ PORT(
+ WR_CLK : IN STD_LOGIC;
+ RD_CLK : IN STD_LOGIC;
+ RESET : IN STD_LOGIC;
+ SIM_DONE : OUT STD_LOGIC;
+ STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
+ );
+END ENTITY;
+
+ARCHITECTURE simulation_arch OF fifo_short_2clk_synth IS
+
+ -- FIFO interface signal declarations
+ SIGNAL wr_clk_i : STD_LOGIC;
+ SIGNAL rd_clk_i : STD_LOGIC;
+ SIGNAL wr_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0);
+ SIGNAL rd_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0);
+ SIGNAL rst : STD_LOGIC;
+ SIGNAL wr_en : STD_LOGIC;
+ SIGNAL rd_en : STD_LOGIC;
+ SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL full : STD_LOGIC;
+ SIGNAL empty : STD_LOGIC;
+ -- TB Signals
+ SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0);
+ SIGNAL wr_en_i : STD_LOGIC := '0';
+ SIGNAL rd_en_i : STD_LOGIC := '0';
+ SIGNAL full_i : STD_LOGIC := '0';
+ SIGNAL empty_i : STD_LOGIC := '0';
+ SIGNAL almost_full_i : STD_LOGIC := '0';
+ SIGNAL almost_empty_i : STD_LOGIC := '0';
+ SIGNAL prc_we_i : STD_LOGIC := '0';
+ SIGNAL prc_re_i : STD_LOGIC := '0';
+ SIGNAL dout_chk_i : STD_LOGIC := '0';
+ SIGNAL rst_int_rd : STD_LOGIC := '0';
+ SIGNAL rst_int_wr : STD_LOGIC := '0';
+ SIGNAL rst_s_wr1 : STD_LOGIC := '0';
+ SIGNAL rst_s_wr2 : STD_LOGIC := '0';
+ SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
+ SIGNAL rst_s_wr3 : STD_LOGIC := '0';
+ SIGNAL rst_s_rd : STD_LOGIC := '0';
+ SIGNAL reset_en : STD_LOGIC := '0';
+ SIGNAL rst_async_wr1 : STD_LOGIC := '0';
+ SIGNAL rst_async_wr2 : STD_LOGIC := '0';
+ SIGNAL rst_async_wr3 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd1 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd2 : STD_LOGIC := '0';
+ SIGNAL rst_async_rd3 : STD_LOGIC := '0';
+
+
+ BEGIN
+
+ ---- Reset generation logic -----
+ rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
+ rst_int_rd <= rst_async_rd3 OR rst_s_rd;
+
+ --Testbench reset synchronization
+ PROCESS(rd_clk_i,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ rst_async_rd1 <= '1';
+ rst_async_rd2 <= '1';
+ rst_async_rd3 <= '1';
+ ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
+ rst_async_rd1 <= RESET;
+ rst_async_rd2 <= rst_async_rd1;
+ rst_async_rd3 <= rst_async_rd2;
+ END IF;
+ END PROCESS;
+
+ PROCESS(wr_clk_i,RESET)
+ BEGIN
+ IF(RESET = '1') THEN
+ rst_async_wr1 <= '1';
+ rst_async_wr2 <= '1';
+ rst_async_wr3 <= '1';
+ ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
+ rst_async_wr1 <= RESET;
+ rst_async_wr2 <= rst_async_wr1;
+ rst_async_wr3 <= rst_async_wr2;
+ END IF;
+ END PROCESS;
+
+ --Soft reset for core and testbench
+ PROCESS(rd_clk_i)
+ BEGIN
+ IF(rd_clk_i'event AND rd_clk_i='1') THEN
+ rst_gen_rd <= rst_gen_rd + "1";
+ IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
+ rst_s_rd <= '1';
+ assert false
+ report "Reset applied..Memory Collision checks are not valid"
+ severity note;
+ ELSE
+ IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
+ rst_s_rd <= '0';
+ END IF;
+ END IF;
+ END IF;
+ END PROCESS;
+
+ PROCESS(wr_clk_i)
+ BEGIN
+ IF(wr_clk_i'event AND wr_clk_i='1') THEN
+ rst_s_wr1 <= rst_s_rd;
+ rst_s_wr2 <= rst_s_wr1;
+ rst_s_wr3 <= rst_s_wr2;
+ IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
+ assert false
+ report "Reset removed..Memory Collision checks are valid"
+ severity note;
+ END IF;
+ END IF;
+ END PROCESS;
+ ------------------
+
+ ---- Clock buffers for testbench ----
+ wr_clk_i <= WR_CLK;
+ rd_clk_i <= RD_CLK;
+ ------------------
+
+ rst <= RESET OR rst_s_rd AFTER 12 ns;
+ din <= wr_data;
+ dout_i <= dout;
+ wr_en <= wr_en_i;
+ rd_en <= rd_en_i;
+ full_i <= full;
+ empty_i <= empty;
+
+ fg_dg_nv: fifo_short_2clk_dgen
+ GENERIC MAP (
+ C_DIN_WIDTH => 72,
+ C_DOUT_WIDTH => 72,
+ TB_SEED => TB_SEED,
+ C_CH_TYPE => 0
+ )
+ PORT MAP ( -- Write Port
+ RESET => rst_int_wr,
+ WR_CLK => wr_clk_i,
+ PRC_WR_EN => prc_we_i,
+ FULL => full_i,
+ WR_EN => wr_en_i,
+ WR_DATA => wr_data
+ );
+
+ fg_dv_nv: fifo_short_2clk_dverif
+ GENERIC MAP (
+ C_DOUT_WIDTH => 72,
+ C_DIN_WIDTH => 72,
+ C_USE_EMBEDDED_REG => 0,
+ TB_SEED => TB_SEED,
+ C_CH_TYPE => 0
+ )
+ PORT MAP(
+ RESET => rst_int_rd,
+ RD_CLK => rd_clk_i,
+ PRC_RD_EN => prc_re_i,
+ RD_EN => rd_en_i,
+ EMPTY => empty_i,
+ DATA_OUT => dout_i,
+ DOUT_CHK => dout_chk_i
+ );
+
+ fg_pc_nv: fifo_short_2clk_pctrl
+ GENERIC MAP (
+ AXI_CHANNEL => "Native",
+ C_APPLICATION_TYPE => 0,
+ C_DOUT_WIDTH => 72,
+ C_DIN_WIDTH => 72,
+ C_WR_PNTR_WIDTH => 5,
+ C_RD_PNTR_WIDTH => 5,
+ C_CH_TYPE => 0,
+ FREEZEON_ERROR => FREEZEON_ERROR,
+ TB_SEED => TB_SEED,
+ TB_STOP_CNT => TB_STOP_CNT
+ )
+ PORT MAP(
+ RESET_WR => rst_int_wr,
+ RESET_RD => rst_int_rd,
+ RESET_EN => reset_en,
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ PRC_WR_EN => prc_we_i,
+ PRC_RD_EN => prc_re_i,
+ FULL => full_i,
+ ALMOST_FULL => almost_full_i,
+ ALMOST_EMPTY => almost_empty_i,
+ DOUT_CHK => dout_chk_i,
+ EMPTY => empty_i,
+ DATA_IN => wr_data,
+ DATA_OUT => dout,
+ SIM_DONE => SIM_DONE,
+ STATUS => STATUS
+ );
+
+
+
+
+
+ fifo_short_2clk_inst : fifo_short_2clk_exdes
+ PORT MAP (
+ WR_CLK => wr_clk_i,
+ RD_CLK => rd_clk_i,
+ WR_DATA_COUNT => wr_data_count,
+ RD_DATA_COUNT => rd_data_count,
+ RST => rst,
+ WR_EN => wr_en,
+ RD_EN => rd_en,
+ DIN => din,
+ DOUT => dout,
+ FULL => full,
+ EMPTY => empty);
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd
new file mode 100755
index 000000000..6d86157db
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd
@@ -0,0 +1,208 @@
+--------------------------------------------------------------------------------
+--
+-- FIFO Generator Core Demo Testbench
+--
+--------------------------------------------------------------------------------
+--
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+--------------------------------------------------------------------------------
+--
+-- Filename: fifo_short_2clk_tb.vhd
+--
+-- Description:
+-- This is the demo testbench top file for fifo_generator core.
+--
+--------------------------------------------------------------------------------
+-- Library Declarations
+--------------------------------------------------------------------------------
+LIBRARY ieee;
+LIBRARY std;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.ALL;
+USE IEEE.std_logic_arith.ALL;
+USE IEEE.std_logic_misc.ALL;
+USE ieee.numeric_std.ALL;
+USE ieee.std_logic_textio.ALL;
+USE std.textio.ALL;
+
+LIBRARY work;
+USE work.fifo_short_2clk_pkg.ALL;
+
+ENTITY fifo_short_2clk_tb IS
+END ENTITY;
+
+
+ARCHITECTURE fifo_short_2clk_arch OF fifo_short_2clk_tb IS
+ SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
+ SIGNAL wr_clk : STD_LOGIC;
+ SIGNAL rd_clk : STD_LOGIC;
+ SIGNAL reset : STD_LOGIC;
+ SIGNAL sim_done : STD_LOGIC := '0';
+ SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
+ -- Write and Read clock periods
+ CONSTANT wr_clk_period_by_2 : TIME := 200 ns;
+ CONSTANT rd_clk_period_by_2 : TIME := 100 ns;
+ -- Procedures to display strings
+ PROCEDURE disp_str(CONSTANT str:IN STRING) IS
+ variable dp_l : line := null;
+ BEGIN
+ write(dp_l,str);
+ writeline(output,dp_l);
+ END PROCEDURE;
+
+ PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
+ variable dp_lx : line := null;
+ BEGIN
+ hwrite(dp_lx,hex);
+ writeline(output,dp_lx);
+ END PROCEDURE;
+
+BEGIN
+
+ -- Generation of clock
+
+ PROCESS BEGIN
+ WAIT FOR 400 ns; -- Wait for global reset
+ WHILE 1 = 1 LOOP
+ wr_clk <= '0';
+ WAIT FOR wr_clk_period_by_2;
+ wr_clk <= '1';
+ WAIT FOR wr_clk_period_by_2;
+ END LOOP;
+ END PROCESS;
+
+ PROCESS BEGIN
+ WAIT FOR 200 ns;-- Wait for global reset
+ WHILE 1 = 1 LOOP
+ rd_clk <= '0';
+ WAIT FOR rd_clk_period_by_2;
+ rd_clk <= '1';
+ WAIT FOR rd_clk_period_by_2;
+ END LOOP;
+ END PROCESS;
+
+ -- Generation of Reset
+
+ PROCESS BEGIN
+ reset <= '1';
+ WAIT FOR 4200 ns;
+ reset <= '0';
+ WAIT;
+ END PROCESS;
+
+
+ -- Error message printing based on STATUS signal from fifo_short_2clk_synth
+
+ PROCESS(status)
+ BEGIN
+ IF(status /= "0" AND status /= "1") THEN
+ disp_str("STATUS:");
+ disp_hex(status);
+ END IF;
+
+ IF(status(7) = '1') THEN
+ assert false
+ report "Data mismatch found"
+ severity error;
+ END IF;
+
+ IF(status(1) = '1') THEN
+ END IF;
+
+ IF(status(5) = '1') THEN
+ assert false
+ report "Empty flag Mismatch/timeout"
+ severity error;
+ END IF;
+
+ IF(status(6) = '1') THEN
+ assert false
+ report "Full Flag Mismatch/timeout"
+ severity error;
+ END IF;
+ END PROCESS;
+
+
+ PROCESS
+ BEGIN
+ wait until sim_done = '1';
+ IF(status /= "0" AND status /= "1") THEN
+ assert false
+ report "Simulation failed"
+ severity failure;
+ ELSE
+ assert false
+ report "Test Completed Successfully"
+ severity failure;
+ END IF;
+ END PROCESS;
+
+ PROCESS
+ BEGIN
+ wait for 400 ms;
+ assert false
+ report "Test bench timed out"
+ severity failure;
+ END PROCESS;
+
+ -- Instance of fifo_short_2clk_synth
+
+ fifo_short_2clk_synth_inst:fifo_short_2clk_synth
+ GENERIC MAP(
+ FREEZEON_ERROR => 0,
+ TB_STOP_CNT => 2,
+ TB_SEED => 108
+ )
+ PORT MAP(
+ WR_CLK => wr_clk,
+ RD_CLK => rd_clk,
+ RESET => reset,
+ SIM_DONE => sim_done,
+ STATUS => status
+ );
+
+END ARCHITECTURE;
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat
new file mode 100755
index 000000000..d40cb85cf
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat
@@ -0,0 +1,63 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ..\\..\\..\\fifo_short_2clk.v
+vhpcomp -work work ..\\..\\example_design\\fifo_short_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd
+vhpcomp -work work ..\\fifo_short_2clk_rng.vhd
+vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd
+vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd
+vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd
+vhpcomp -work work ..\\fifo_short_2clk_synth.vhd
+vhpcomp -work work ..\\fifo_short_2clk_tb.vhd
+
+vlogcomp -work work $XILINX\\verilog\\src\\glbl.v
+fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe
+
+.\\fifo_short_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh
new file mode 100755
index 000000000..78f9ce588
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh
@@ -0,0 +1,65 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ../../../fifo_short_2clk.v
+vhpcomp -work work ../../example_design/fifo_short_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ../fifo_short_2clk_pkg.vhd
+vhpcomp -work work ../fifo_short_2clk_rng.vhd
+vhpcomp -work work ../fifo_short_2clk_dgen.vhd
+vhpcomp -work work ../fifo_short_2clk_dverif.vhd
+vhpcomp -work work ../fifo_short_2clk_pctrl.vhd
+vhpcomp -work work ../fifo_short_2clk_synth.vhd
+vhpcomp -work work ../fifo_short_2clk_tb.vhd
+
+vlogcomp -work work $XILINX/verilog/src/glbl.v
+fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe
+
+./fifo_short_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat
new file mode 100755
index 000000000..35375ce20
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat
@@ -0,0 +1,47 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do
new file mode 100755
index 000000000..8deb52187
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do
@@ -0,0 +1,74 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vlib work
+vmap work work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlog -work work ../../../fifo_short_2clk.v
+vcom -work work ../../example_design/fifo_short_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vcom -work work ../fifo_short_2clk_pkg.vhd
+vcom -work work ../fifo_short_2clk_rng.vhd
+vcom -work work ../fifo_short_2clk_dgen.vhd
+vcom -work work ../fifo_short_2clk_dverif.vhd
+vcom -work work ../fifo_short_2clk_pctrl.vhd
+vcom -work work ../fifo_short_2clk_synth.vhd
+vcom -work work ../fifo_short_2clk_tb.vhd
+
+vlog -work work $env(XILINX)/verilog/src/glbl.v
+vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_short_2clk_tb
+
+add log -r /*
+do wave_mti.do
+#Ignore integer warnings at time 0
+set StdArithNoWarnings 1
+run 0
+set StdArithNoWarnings 0
+
+run -all
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh
new file mode 100755
index 000000000..edb1b0dd9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh
@@ -0,0 +1,49 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh
new file mode 100755
index 000000000..749f9db06
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh
@@ -0,0 +1,69 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+mkdir work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+ncvlog -work work ../../../fifo_short_2clk.v
+ncvhdl -v93 -work work ../../example_design/fifo_short_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd
+
+echo "Elaborating Design"
+ncvlog -work work $XILINX/verilog/src/glbl.v
+ncelab -access +rwc glbl work.fifo_short_2clk_tb
+
+echo "Simulating Design"
+ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh
new file mode 100755
index 000000000..71a568e24
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh
@@ -0,0 +1,69 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+rm -rf simv* csrc DVEfiles AN.DB
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogan +v2k ../../../fifo_short_2clk.v
+vhdlan ../../example_design/fifo_short_2clk_exdes.vhd
+
+echo "Compiling Test Bench Files"
+vhdlan ../fifo_short_2clk_pkg.vhd
+vhdlan ../fifo_short_2clk_rng.vhd
+vhdlan ../fifo_short_2clk_dgen.vhd
+vhdlan ../fifo_short_2clk_dverif.vhd
+vhdlan ../fifo_short_2clk_pctrl.vhd
+vhdlan ../fifo_short_2clk_synth.vhd
+vhdlan ../fifo_short_2clk_tb.vhd
+
+echo "Elaborating Design"
+vlogan +v2k $XILINX/verilog/src/glbl.v
+vcs -time_res 1ps +vcs+lic+wait -debug fifo_short_2clk_tb glbl
+
+echo "Simulating Design"
+./simv -ucli -i ucli_commands.key
+dve -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key
new file mode 100755
index 000000000..f90cccb67
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key
@@ -0,0 +1,4 @@
+dump -file fifo_short_2clk.vpd -type VPD
+dump -add fifo_short_2clk_tb
+run
+quit
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl
new file mode 100755
index 000000000..7f3170d74
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl
@@ -0,0 +1,77 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: vcs_session.tcl
+#
+# Description:
+# This is the VCS wave form file.
+#
+#--------------------------------------------------------------------------------
+if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } {
+ gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource
+}
+gui_set_precision 1ps
+gui_set_time_units 1ps
+
+
+gui_open_window Wave
+gui_sg_create fifo_short_2clk_Group
+gui_list_add_group -id Wave.1 {fifo_short_2clk_Group}
+
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+gui_sg_addsignal -group fifo_short_2clk_Group READ -divider
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+gui_zoom -window Wave.1 -full
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl
new file mode 100755
index 000000000..156aad172
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl
@@ -0,0 +1,68 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_isim.tcl
+#
+# Description:
+# This is the ISIM wave form file.
+#
+#--------------------------------------------------------------------------------
+wcfg new
+isim set radix hex
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+run all
+quit
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do
new file mode 100755
index 000000000..eafc64cf2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_mti.do
@@ -0,0 +1,88 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_mti.do
+#
+# Description:
+# This is the modelsim wave form file.
+#
+#--------------------------------------------------------------------------------
+
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+add wave -noupdate -divider WRITE
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN
+add wave -noupdate -divider READ
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT
+
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {2164886 ps} 0}
+configure wave -namecolwidth 197
+configure wave -valuecolwidth 106
+configure wave -justifyvalue left
+configure wave -signalnamewidth 1
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {9464063 ps}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv
new file mode 100755
index 000000000..655d5c65a
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv
@@ -0,0 +1,70 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_ncsim.sv
+#
+# Description:
+# This is the IUS wave form file.
+#
+#--------------------------------------------------------------------------------
+
+window new WaveWindow -name "Waves for FIFO Generator Example Design"
+waveform using "Waves for FIFO Generator Example Design"
+
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+waveform add -label WRITE
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+waveform add -label READ
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+console submit -using simulator -wait no "run"
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat
new file mode 100755
index 000000000..536a7cfee
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat
@@ -0,0 +1,61 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ..\\..\\implement\\results\\routed.v
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd
+vhpcomp -work work ..\\fifo_short_2clk_rng.vhd
+vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd
+vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd
+vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd
+vhpcomp -work work ..\\fifo_short_2clk_synth.vhd
+vhpcomp -work work ..\\fifo_short_2clk_tb.vhd
+
+fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe
+
+.\\fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh
new file mode 100755
index 000000000..2d9404294
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh
@@ -0,0 +1,63 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogcomp -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vhpcomp -work work ../fifo_short_2clk_pkg.vhd
+vhpcomp -work work ../fifo_short_2clk_rng.vhd
+vhpcomp -work work ../fifo_short_2clk_dgen.vhd
+vhpcomp -work work ../fifo_short_2clk_dverif.vhd
+vhpcomp -work work ../fifo_short_2clk_pctrl.vhd
+vhpcomp -work work ../fifo_short_2clk_synth.vhd
+vhpcomp -work work ../fifo_short_2clk_tb.vhd
+
+fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe
+
+./fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat
new file mode 100755
index 000000000..35375ce20
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat
@@ -0,0 +1,47 @@
+:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+::
+:: This file contains confidential and proprietary information
+:: of Xilinx, Inc. and is protected under U.S. and
+:: international copyright and other intellectual property
+:: laws.
+::
+:: DISCLAIMER
+:: This disclaimer is not a license and does not grant any
+:: rights to the materials distributed herewith. Except as
+:: otherwise provided in a valid license issued to you by
+:: Xilinx, and to the maximum extent permitted by applicable
+:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+:: (2) Xilinx shall not be liable (whether in contract or tort,
+:: including negligence, or under any other theory of
+:: liability) for any loss or damage of any kind or nature
+:: related to, arising under or in connection with these
+:: materials, including for any direct, or any indirect,
+:: special, incidental, or consequential loss or damage
+:: (including loss of data, profits, goodwill, or any type of
+:: loss or damage suffered as a result of any action brought
+:: by a third party) even if such damage or loss was
+:: reasonably foreseeable or Xilinx had been advised of the
+:: possibility of the same.
+::
+:: CRITICAL APPLICATIONS
+:: Xilinx products are not designed or intended to be fail-
+:: safe, or for use in any application requiring fail-safe
+:: performance, such as life-support or safety devices or
+:: systems, Class III medical devices, nuclear facilities,
+:: applications related to the deployment of airbags, or any
+:: other applications that could lead to death, personal
+:: injury, or severe property or environmental damage
+:: (individually and collectively, "Critical
+:: Applications"). Customer assumes the sole risk and
+:: liability of any use of Xilinx products in Critical
+:: Applications, subject only to applicable laws and
+:: regulations governing limitations on product liability.
+::
+:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+:: PART OF THIS FILE AT ALL TIMES.
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do
new file mode 100755
index 000000000..7ec785533
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do
@@ -0,0 +1,72 @@
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vlib work
+vmap work work
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlog -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vcom -work work ../fifo_short_2clk_pkg.vhd
+vcom -work work ../fifo_short_2clk_rng.vhd
+vcom -work work ../fifo_short_2clk_dgen.vhd
+vcom -work work ../fifo_short_2clk_dverif.vhd
+vcom -work work ../fifo_short_2clk_pctrl.vhd
+vcom -work work ../fifo_short_2clk_synth.vhd
+vcom -work work ../fifo_short_2clk_tb.vhd
+
+vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf work.fifo_short_2clk_tb
+
+add log -r /*
+do wave_mti.do
+#Ignore integer warnings at time 0
+set StdArithNoWarnings 1
+run 0
+set StdArithNoWarnings 0
+
+run -all
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh
new file mode 100755
index 000000000..edb1b0dd9
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh
@@ -0,0 +1,49 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+
+vsim -c -do simulate_mti.do
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh
new file mode 100755
index 000000000..e9dc952cf
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh
@@ -0,0 +1,73 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+mkdir work
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+ncvlog -work work ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd
+ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd
+
+echo "Compiling SDF file"
+ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X
+
+echo "Generating SDF command file"
+echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd
+echo 'SCOPE = :fifo_short_2clk_synth_inst:fifo_short_2clk_inst,' >> sdf.cmd
+echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd
+
+echo "Elaborating Design"
+ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_short_2clk_tb
+
+echo "Simulating Design"
+ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh
new file mode 100755
index 000000000..bbd548a74
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh
@@ -0,0 +1,67 @@
+#!/bin/sh
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+#--------------------------------------------------------------------------------
+rm -rf simv* csrc DVEfiles AN.DB
+
+echo "Compiling Core Verilog UNISIM/Behavioral model"
+vlogan +v2k ../../implement/results/routed.v
+
+echo "Compiling Test Bench Files"
+vhdlan ../fifo_short_2clk_pkg.vhd
+vhdlan ../fifo_short_2clk_rng.vhd
+vhdlan ../fifo_short_2clk_dgen.vhd
+vhdlan ../fifo_short_2clk_dverif.vhd
+vhdlan ../fifo_short_2clk_pctrl.vhd
+vhdlan ../fifo_short_2clk_synth.vhd
+vhdlan ../fifo_short_2clk_tb.vhd
+
+echo "Elaborating Design"
+vcs -time_res 1ps +neg_tchk -sdf max:/fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_short_2clk_tb glbl
+
+echo "Simulating Design"
+./simv -ucli -i ucli_commands.key
+dve -session vcs_session.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key
new file mode 100755
index 000000000..f90cccb67
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key
@@ -0,0 +1,4 @@
+dump -file fifo_short_2clk.vpd -type VPD
+dump -add fifo_short_2clk_tb
+run
+quit
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl
new file mode 100755
index 000000000..e27baa9d8
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl
@@ -0,0 +1,76 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: vcs_session.tcl
+#
+# Description:
+# This is the VCS wave form file.
+#
+#--------------------------------------------------------------------------------
+if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } {
+ gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource
+}
+gui_set_precision 1ps
+gui_set_time_units 1ps
+
+gui_open_window Wave
+gui_sg_create fifo_short_2clk_Group
+gui_list_add_group -id Wave.1 {fifo_short_2clk_Group}
+
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+gui_sg_addsignal -group fifo_short_2clk_Group READ -divider
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+gui_zoom -window Wave.1 -full
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl
new file mode 100755
index 000000000..156aad172
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl
@@ -0,0 +1,68 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_isim.tcl
+#
+# Description:
+# This is the ISIM wave form file.
+#
+#--------------------------------------------------------------------------------
+wcfg new
+isim set radix hex
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+run all
+quit
+
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do
new file mode 100755
index 000000000..eafc64cf2
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_mti.do
@@ -0,0 +1,88 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+--
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+--
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+--
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+--
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_mti.do
+#
+# Description:
+# This is the modelsim wave form file.
+#
+#--------------------------------------------------------------------------------
+
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+add wave -noupdate -divider WRITE
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN
+add wave -noupdate -divider READ
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT
+
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {2164886 ps} 0}
+configure wave -namecolwidth 197
+configure wave -valuecolwidth 106
+configure wave -justifyvalue left
+configure wave -signalnamewidth 1
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {9464063 ps}
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv
new file mode 100755
index 000000000..655d5c65a
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv
@@ -0,0 +1,70 @@
+#--------------------------------------------------------------------------------
+#--
+#-- FIFO Generator Core Demo Testbench
+#--
+#--------------------------------------------------------------------------------
+# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
+#
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+#
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+#
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+#
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# Filename: wave_ncsim.sv
+#
+# Description:
+# This is the IUS wave form file.
+#
+#--------------------------------------------------------------------------------
+
+window new WaveWindow -name "Waves for FIFO Generator Example Design"
+waveform using "Waves for FIFO Generator Example Design"
+
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST
+waveform add -label WRITE
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL
+waveform add -label READ
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN
+waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY
+console submit -using simulator -wait no "run"
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt
new file mode 100644
index 000000000..4ab119a52
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_flist.txt
@@ -0,0 +1,57 @@
+# Output products list for <fifo_short_2clk>
+_xmsgs/pn_parser.xmsgs
+fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt
+fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html
+fifo_short_2clk/doc/pg057-fifo-generator.pdf
+fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf
+fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd
+fifo_short_2clk/fifo_generator_v9_3_readme.txt
+fifo_short_2clk/implement/implement.bat
+fifo_short_2clk/implement/implement.sh
+fifo_short_2clk/implement/implement_synplify.bat
+fifo_short_2clk/implement/implement_synplify.sh
+fifo_short_2clk/implement/planAhead_ise.bat
+fifo_short_2clk/implement/planAhead_ise.sh
+fifo_short_2clk/implement/planAhead_ise.tcl
+fifo_short_2clk/implement/xst.prj
+fifo_short_2clk/implement/xst.scr
+fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd
+fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd
+fifo_short_2clk/simulation/functional/simulate_isim.bat
+fifo_short_2clk/simulation/functional/simulate_isim.sh
+fifo_short_2clk/simulation/functional/simulate_mti.bat
+fifo_short_2clk/simulation/functional/simulate_mti.do
+fifo_short_2clk/simulation/functional/simulate_mti.sh
+fifo_short_2clk/simulation/functional/simulate_ncsim.sh
+fifo_short_2clk/simulation/functional/simulate_vcs.sh
+fifo_short_2clk/simulation/functional/ucli_commands.key
+fifo_short_2clk/simulation/functional/vcs_session.tcl
+fifo_short_2clk/simulation/functional/wave_isim.tcl
+fifo_short_2clk/simulation/functional/wave_mti.do
+fifo_short_2clk/simulation/functional/wave_ncsim.sv
+fifo_short_2clk/simulation/timing/simulate_isim.bat
+fifo_short_2clk/simulation/timing/simulate_isim.sh
+fifo_short_2clk/simulation/timing/simulate_mti.bat
+fifo_short_2clk/simulation/timing/simulate_mti.do
+fifo_short_2clk/simulation/timing/simulate_mti.sh
+fifo_short_2clk/simulation/timing/simulate_ncsim.sh
+fifo_short_2clk/simulation/timing/simulate_vcs.sh
+fifo_short_2clk/simulation/timing/ucli_commands.key
+fifo_short_2clk/simulation/timing/vcs_session.tcl
+fifo_short_2clk/simulation/timing/wave_isim.tcl
+fifo_short_2clk/simulation/timing/wave_mti.do
+fifo_short_2clk/simulation/timing/wave_ncsim.sv
+fifo_short_2clk.asy
+fifo_short_2clk.gise
+fifo_short_2clk.ngc
+fifo_short_2clk.v
+fifo_short_2clk.veo
+fifo_short_2clk.xco
+fifo_short_2clk.xise
+fifo_short_2clk_flist.txt
+fifo_short_2clk_xmdf.tcl
diff --git a/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl
new file mode 100644
index 000000000..0abe2bb7c
--- /dev/null
+++ b/fpga/usrp3/top/b200/coregen/fifo_short_2clk_xmdf.tcl
@@ -0,0 +1,251 @@
+# The package naming convention is <core_name>_xmdf
+package provide fifo_short_2clk_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is <core_name>_xmdf
+namespace eval ::fifo_short_2clk_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::fifo_short_2clk_xmdf::xmdfInit { instance } {
+# Variable containing name of library into which module is compiled
+# Recommendation: <module_name>
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_short_2clk
+}
+# ::fifo_short_2clk_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::fifo_short_2clk_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/pg057-fifo-generator.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/fifo_generator_v9_3_readme.txt
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.prj
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.scr
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_ncsim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_vcs.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/ucli_commands.key
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/vcs_session.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_isim.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_ncsim.sv
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.bat
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_ncsim.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_vcs.sh
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/ucli_commands.key
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/vcs_session.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_isim.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_mti.do
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_ncsim.sv
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_short_2clk
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams