diff options
author | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
---|---|---|
committer | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
commit | fd3e84941de463fa1a7ebab0a69515b4bf2614cd (patch) | |
tree | 3fa721a13d41d2c0451d663a59a220a38fd5e614 /fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v | |
parent | 3b66804e41891e358c790b453a7a59ec7462dba4 (diff) | |
download | uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.gz uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.bz2 uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.zip |
Removed copy of FPGA source files.
Diffstat (limited to 'fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v')
-rw-r--r-- | fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v | 38 |
1 files changed, 0 insertions, 38 deletions
diff --git a/fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v b/fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v deleted file mode 100644 index 8d9ccf948..000000000 --- a/fpga/usrp3/lib/packet_proc/ip_hdr_checksum_tb.v +++ /dev/null @@ -1,38 +0,0 @@ - -module ip_hdr_checksum_tb(); - - initial $dumpfile("ip_hdr_checksum_tb.vcd"); - initial $dumpvars(0,ip_hdr_checksum_tb); - - reg clk; - - wire [159:0] in = { - 16'h4500, - 16'h0030, - 16'h4422, - 16'h4000, - 16'h8006, - 16'h0000, - 16'h8c7c, - 16'h19ac, - 16'hae24, - 16'h1e2b - }; - - wire [15:0] out; - ip_hdr_checksum ip_hdr_checksum - (.clk(clk), - .in(in), - .out(out)); - - initial - begin - clk <= 0; - #100 clk <= 1; - #100 clk <= 0; - #100 clk <= 1; - #100 $display("Computed 0x%x, should be 0x442e", out); - #100 $finish; - end - -endmodule // ip_hdr_checksum_tb |