diff options
author | Ian Buckley <ianb@server2.(none)> | 2010-08-19 12:46:04 -0700 |
---|---|---|
committer | Matt Ettus <matt@ettus.com> | 2010-11-11 12:06:12 -0800 |
commit | d4b6fa72eb3fec872a41dea136a5845d3c6ff1ec (patch) | |
tree | 2470f84108a159240250da0fba3ed22da177f24b | |
parent | e5cae33e3c5e9ae1821e06af8bf57bc0444605de (diff) | |
download | uhd-d4b6fa72eb3fec872a41dea136a5845d3c6ff1ec.tar.gz uhd-d4b6fa72eb3fec872a41dea136a5845d3c6ff1ec.tar.bz2 uhd-d4b6fa72eb3fec872a41dea136a5845d3c6ff1ec.zip |
Regenerated FIFO with lower trigger level for almost full flag to reflect logic removed from nobl_fifo.
Improved ext_fifo_tb further, try to simulate more combinations of decomation rates and packet arrival patterns.
Strip out the logic in nobl_fifo that made it look like a Xilinx fall-through FIFO...it is now very simple logic but a propriatory interface that exposes the high inetrnal latency of reads.
Allow the USED size of the external FIFO to be parameterized from the core level. Currently set at only 256
Corrected a bug in vita_tx_deframer.v that can write to a FIFO when its full causing illegal state.
Made further edits that are currently commented becuase simulation indicates they cause problems, however suspect a further bug is in this code.
-rw-r--r-- | usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc | 2 | ||||
-rw-r--r-- | usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v | 4 | ||||
-rw-r--r-- | usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco | 8 | ||||
-rw-r--r-- | usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise | 4 | ||||
-rw-r--r-- | usrp2/extramfifo/ext_fifo.v | 29 | ||||
-rw-r--r-- | usrp2/extramfifo/ext_fifo_tb.v | 70 | ||||
-rw-r--r-- | usrp2/extramfifo/nobl_fifo.v | 229 | ||||
-rw-r--r-- | usrp2/top/u2_rev3/u2_core_udp.v | 3 |
8 files changed, 113 insertions, 236 deletions
diff --git a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc index b583362cd..608a5f2fb 100644 --- a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc +++ b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$45540<,[o}e~g`n;"2*726&;$:,)<6;.vnt*Ydo&lbjbQwloz\144;?U9oaeP19vl73(iof;0<85?0123=>6789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?01084<7<9:1:"=?<;029MKVR\3KOH_O39;2=5`=683CE\XZ5psmd[cskdV~c~h}g<883:73<990BB][[:qplcZ`rdeUdk|h^cpw`ts400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vdnklzj<883:4?<990DYY^ZT;fbpdYdg|d044?>0a855<H]]Z^X7y}_ecweZeh}g~757>113922?OIX\^1HDO31483:45<9?0DYY^ZT;FLE972294:>6?7:HLSQQ<cag6:;7>11:07?754;8;0>7GAPTV9@LVF480;2<<42;MVPUSS2ME[M1?50?31?64=AGZ^X7JFB=12>586;2996B[[PTV9@JD;;80;2<:4378LQQVR\3ndyy2<5;2=`>5t:9:;jj::6,72?12<<9LM=<5;:HLSQQ<CAYH7?7>11397>JSSX\^1HB^M<283:4=1:2<286869768<567<22N4L?4959:45?530<?74899008=?OIX\^1MIJ]B=:94;7b300BB][[:qplcZcjx}sTxe|jsi>;>585=231EC^ZT;rqkbYbey~rSyf}erj\evubz}636=0=5:;9MKVR\3zycjQjmqvz[qnumzbTbhintd>;>586j231CXZ_UU8q`Zbf|hUhcx`{<983:7=F:11JHI\N<1<;?DBCZH6:255NDEPB878?3HNO^L2<>99B@ATF4=437LJKR@>6:==FLMXJ0;07;@FGVD:0611JHI\N<9<b?DBCZH626=07;@FGVD:>611JHI\M<1<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:06h1JHI\M<983:==FLMXI050<;@NO=>GTQGIT^HI<;CW1<>DR[VCEJB?4C39@A44<KAOHGRBFCDLPAZR^XL80OD:4CMIB0>EKCK90OA\6;BMNILRSMM;?7NA]E^EFJ@TF\@EESD@IO69@V@GSMM;0H?5KC39GM3=CAH6;2:5KI@>24;1<L@K7=<08;EKB8449?2NBM1?<>69GMD:6<730HDO31483:2=CAH6:9384DHC?5;0<L@K7>384DHC?7;0<L@K78384DHC?1;0<L@K7:384DHC?3;0<L@K74384DHC?=;0<L@H7<394DH@?55803MCI0<?17:FJF9756>1OEO2>3?58@LD;9=4<7IGM<07=3>BNJ5;=2:5KIC>23;1<L@H7=508;EKA84?9>2NBN1?17:FJF9476>1OEO2=1?58@LD;:;4<7IGM<31=3>BNJ58?2:5KIC>11;1<L@H7>;08;EKA8719?2NBN1<7>69GMG:517<0HDL32?58@LD;;9427IGM<2394;1<L@H7?<09;EKA86813MCI0909;EKA80813MCI0;09;EKA82813MCI0509;EKA8<803MC[M1>19:FJTD:6294<7IG_A=3=3>BNXK6;2:5KIQ@?5;1<L@ZI0?06;EKSF95=87=0HD^M<2<5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;1<LFK7=>08;EMB842912NDM1?::1<4?AIF48?5:6J@A=3=2>BHI585:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75394DN@\V@A03ME[M1>19:FLTD:6294<7IA_A=3=3>BHXK6;2:5KOQ@?5;1<LFZI0?06;EMSF95=87=0HB^M<2<0?@HF;2OENo5JN^G@GZTBIMi0ICQJCB]TVLRB:2L9>6H75:DBHVC53ON87KJL4:DGG@5<NMZ?7KJ_E59E@WC33OO;<<5H3:EM@4=N:2C;>6G>2:K16>O402CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@0?LHQ:2FB>6B@6:NLEACC?2FDKDMNL59OQQ733E__>95CUU17?ISS<?1GYY:PD79OQQ2XD<1F_JAA5:OV\F_d3DkacXjrrkljf=JageyZh||inl0?K66:2D:86@>0168J466<2D:<?:4N0200>H68=>0B<>:4:L2432<F8:<86@>0968J46>;2D:=95A1027?K769=1E=<<;;O3271=I98>?7C?>559M54033G;:;95A10:7?K761:1E=?:4N0030>H6:8>0B<<=4:L2662<F88?86@>2468J441<2D:>::4N00;7>H6;=1E=>?<;O377>H6=:1E=;:4N0477>H6?:1E=5=4N0;1?K443G8;?6@=129M675<F;987C<;3:L116=I:?90B?9<;O0;7>H51;1E?>5A3108J04<F>80B4=4N830?K?5;2D2?>5A9518J<343G3=?6@6729M==5<F03m7CLPBTQSMKYWZFZX;6@JTVMQO4=H:2E@=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T7<Zl1YM@L>6^Q2<ZU4>h1YILJPFHPPPf=UMHNT[DJ[H^C`?WCFLV]BHYFPB09P56=TADUHCABFSHMM[FNBKB<0_B[]CD58WWPFDVK<7^\YAM]A0>UTZH>0_^\M4:VZT@553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?012\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4566W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;>R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?02]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3452XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:>S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?6^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2342YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789:T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>>_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1236ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678:UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=:PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0126[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567>VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<:Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?01:\V`urd};9=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0=0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<0<15>S7'nxm"h gbz-gim'{nT|cz}_ckm878592_;#j|i.sd,cf~)keas#jPpovq[goi4:49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce090=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<4<15>S7'nxm"h gbz-gim'{nT|cz}_ckm838592_;#j|i.sd,cf~)keas#jPpovq[goi4>49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce050=0:W3+bta&{l$knv!cmi{+wbXxg~ySoga_103?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\576<]9%l~k }f.e`|+ekcq%yhR~ats]amkY5:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV99<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS9<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P5328Q5)`zo$yj"ilx/aoo})ulVzexQmio]565=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ1582_;#j|i.sd,cf~)keas#jPpovq[goiW18=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01213>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:;=?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2344403\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=?>279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567:;=0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?03312>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:8>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12377413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=:=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45639;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0404?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789?:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r12327><]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<;?>269V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567>;8i7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?014\fab7:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?7358Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678>;9<6[?/fpe*w`(ojr%oaew/sf\tkruWni7<3<?;T2,cw`)zo%lou lljz,vaYwf}xTkn2>>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=0=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`868582_;#j|i.sd,cf~)keas#jPpovq[be;<78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6>2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo181219V4*aun'xm#jmw.bnh|*tcWyd~Ril<6<14>S7'nxm"h gbz-gim'{nT|cz}_fa?<;7a3\:$kh!rg-dg}(ddbr$~iQnup\cfY79o1^<"i}f/pe+be&jf`t"|k_qlwvZadW8;m7X> gsd-vc)`kq$h`fv re]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS>?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_43e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]55c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[27a3\:$kh!rg-dg}(ddbr$~iQnup\cfY?:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo595>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>7:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm793<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8385>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk191279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:?6;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX9;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX?;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP83;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86;2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:66;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>1:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2<>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86?2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:26;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>5:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<28>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8632?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q7249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4567:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>>249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4565:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=><249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4563:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>:249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4561:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>8209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=3=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj949:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf595><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1:1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=7=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj909:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5=5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb161209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=;=65=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ7582_;#j|i.sd,cf~)keas#z|Ppovq[goiW;8;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT??>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ;219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^714>S7'nxm"h gbz-gim'~xT|cz}_ckm[3473\:$kh!rg-dg}(ddbr${Qnup\flhX?;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU3>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR7=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4567:>1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?0005?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789;9;6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0122570<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<?<8;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34546:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?3358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678:89:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012762=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;8<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34535?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>:1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?837X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?0142571<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;<=b:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4561Wkno<?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2342403\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=9>219V4*aun'xm#jmw.bnh|*quWyd~Ril<1<14>S7'nxm"h gbz-gim'~xT|cz}_fa?5;473\:$kh!rg-dg}(ddbr${Qnup\cf:56;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k595>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh090=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm35?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>5:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg919:91^<"i}f/pe+be&jf`t"y}_qlwvZad4149<6[?/fpe*w`(ojr%oaew/vp\tkruWni753?i;T2,cw`)zo%lou lljz,swYwf}xTknQ?1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_03e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]15c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[67a3\:$kh!rg-dg}(ddbr${Qnup\cfY39o1^<"i}f/pe+be&jf`t"y}_qlwvZadW<;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU==k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS:?i;T2,cw`)zo%lou lljz,swYwf}xTknQ71g9V4*aun'xm#jmw.bnh|*quWyd~Ril_805?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4949:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=3=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn692?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?7;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol090=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc939:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij29>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;?78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<9<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo535>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]360=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU:>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]160=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU8>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]760=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU>>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]560=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU<>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef];60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU2>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5979:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=0=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1=1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95>5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5939:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=4=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=191289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9525>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59?9:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q7299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V3996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01211>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01011>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt7899996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01611>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789?996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01411>S7'nxm"h gbz-gim'~xT|cz}_fa\|jt789=996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?01:04>S7'nxm"h gm2-va)`z8$yjzh{/Lov|ZTFEVXOSH@PFVDW644a3\:$kh!rg-dh5(ul&my=#|iwgv,IhsW[KFS_KHOTV\AK76:m1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwa969:m1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwa979:j1^<"i}f/pe+bj7&{n$k?!rguep*erz{Um{kzPsucwaZ65k2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[4523\:$kh!rg-dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\ip~789;7<3=9;T2,cw`)zo%l`= }d.eq5+tao~$ox|}_guepZusi}oTaxv?013?4;74=2_;#j|i.sd,ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[hs89::0<0<6:W3+bta&{l$ka>!re-dv4(un~l#n{}r^dtbqYt|h~nS`{w01228486:11^<"i}f/pe+bj7&{n$k?!rguep*cjx}sTjzh{_g`13>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~Te?h4U1-dvc(un&mg<#|k/fp2*w`pn}%na}zv_guepZoXe|r;<=><0:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXaVg~t=>?000:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7<3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;97827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?6;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz33?0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ;Q#ib1/o26c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW<S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U=]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[2_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY7Y+aj{'gx>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?30?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5979::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7>3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=1=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;<78i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R>Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e7';T=Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V8Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X;Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z2Xff~;<=>>289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|5:5>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp979:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=0=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1=12g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS9W%k`?!m00e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ:Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW?S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U<]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[5_-chu)ez887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1>1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?5;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q9585>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?33?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5929:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T<Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V;Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X:Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z5Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\0Zhh|9:;<<<?;T2,cw`)zo%l`= }d.eqev(u{}y$obc0328Q5)`zo$yj"ic0/pg+btf{'xxx~!lolr26<=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf319V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUbSb|?01205>S7'nxm"h gm2-va)`zhy%~~z|/scn[`kw|pUmnRgPos234575;2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex?:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov261=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}8986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at207?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs<;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz:259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq05<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex:<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw<7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2?>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}979W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8785k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<3<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw33?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:36Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}939:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<7<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0;0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;?7Uyx?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;878j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8485i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=0=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2<>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx783<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4<49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv909:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>4:7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|38?0g?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey050Pru3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=2=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?5;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9499o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;;7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5>5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~793?i;T2,cw`)zo%l`= }d.psjqt(kfex1811g9V4*aun'xm#jb?.sf,vuhsz&idycz37?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=:=5`=R8&myj#|i/fn3*wb(zyd~"m`uov\44c<]9%l~k }f.eo4+tc'{zex!lotlw[47b3\:$kh!rg-dh5(ul&x{by| cnwmpZ46m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY49l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX<8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW<;n7X> gsd-vc)`d9$yh"|nup,gjsi|V<:i6[?/fpe*w`(oe:%~i!}povq+firf}U<=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T4?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?30?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28485:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc9585>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2<>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?0;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64<49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=181239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>4:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;078:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S=<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_002?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[7463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W:8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S9<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_402?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[3463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W>8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S5<;;T2,cw`)zo%l`= }d.psjqt(kfexR``t12356`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8584n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:66:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4;48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp682>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir090<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2:>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<7<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>4:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8=84n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:>6=;0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5;;29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x1?1479V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<0<\MKPX8=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5858=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We0>0;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz34?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>6:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp909<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4>4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~743:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy26>538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=33:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv979<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu4;4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx7?3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Usc2;>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=7=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq838382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;?7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6329>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~171319V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|lj311<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>2:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8785n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:46;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4=49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6>2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko0;0=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi28>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<9<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>::7c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[44a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z77:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX:;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW:8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV>9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU>>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT:?k4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoS:<j;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhR6=e:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQ6399V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;9948;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>2:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;0?0<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:46:=0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcWhno<=>?<5<03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a67896>2>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238384?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=28>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674148;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>::7g<]9%l~k }f.eo4+qu'n}j#if/uqtc)wzfmTjxbc_h11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,twi`Wog`RgPmtz34554<2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[hs89:8=8=;;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?0112<6><]9%l~k }f.eo4+qu'n}j#if/uqtc)wzfmTjxbc_h]nq}678:;3S^Y?339V4*aun'xm#jb?.vp,crgt&nzm"z|f.rqkbYa}efTeR``t12357?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>3:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64849?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2=>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28685;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86?2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W=Ujbi>?0131=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0=0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs484956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw878512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP<P hm0,n57`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV?R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT2\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ1^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX0X(`ez$f?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><1<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>0:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64=49n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<Q?_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S<Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U9Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W:Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y3Whdo<=>?1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu4582_;#j|i.sd,ci6){%l{l}!wrvp+fijx:8o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;878o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;978o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;:78o7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!laspzj`r;;7987X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!hmtz-ch]7U'mf=#c>329V4*aun'xm#jb?.vp,crgt&~y"inf/a0+bkrp'mfW<S!glq-iv543\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%laxv!glY1Y+aj{'gx?>5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</fov|+ajS:W%k`}!mr10?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)`e|r%k`U;]/enw+kt:>1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?30?04?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q95;5>:5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3?6;403\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%}=1=1269V4*aun'xm#jb?.vp,crgt&~y"inf/a0+s7;<78m7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!y1^2\ekb789::>k5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3\5Zgil9:;<<<i;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5Z4Xign;<=>>2g9V4*aun'xm#jb?.vp,crgt&~y"inf/a0+s7X;Vkeh=>?000e?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q9V>Tmcj?01226f=R8&myj#|i/fn3*rt(o~kx"z}{s.pbiZgkefySk{cl^k00>S7'nxm"h gm2-sw)`hy%{~z|/scn[djjgz~Tjxbc_h]nq}67899>7X> gsd-vc)`d9$|~"ixar,twqu(zhgTmac`su]eqijXaVg~t=>?001a?P6(o{l%~k!hl1,tv*apiz$|y} r`o\eikh{}UmyabPi^llp56798UBB[Q?299V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYajVc996[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k1`>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVcTaxv?0121a>S7'nxm"h gm2-sw)`hy%{~z|/scn[cskdVcTaxv?01225a=R8&myj#|i/fn3*rt(zhgT{Qjn^k25c=R8&myj#|i/fn3*rt(zhgT{Qjn^k2[4443\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu310>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|;8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{3368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr3:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by;=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp3433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d;?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov;61=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}3956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8585k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw31?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:56Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}959:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=[wr512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<5<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs793<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;=7Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:16;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu191_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir050=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~414T~y<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4949m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv979:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>1:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|33?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey090=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5?5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:16;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?3;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<9<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1712e9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{622R|{1g9V4*aun'xm#jb?.vp,suhsz&idycz30?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=3=5c=R8&myj#|i/fn3*rt(yd~"m`uov?6;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9599o1^<"i}f/pe+bj7&~x${}`{r.alqkr;<7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5?5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7:3?i;T2,cw`)zo%l`= xr.usjqt(kfex1911g9V4*aun'xm#jb?.vp,suhsz&idycz38?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=;=5`=R8&myj#|i/fn3*rt(yd~"m`uov\44c<]9%l~k }f.eo4+qu'~zex!lotlw[47b3\:$kh!rg-dh5(pz&}{by| cnwmpZ46m2_;#j|i.sd,ci6){%||cz}/bmvjqY49l1^<"i}f/pe+bj7&~x${}`{r.alqkrX<8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW<;n7X> gsd-vc)`d9$|~"ynup,gjsi|V<:i6[?/fpe*w`(oe:%{!xpovq+firf}U<=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T4<k4U1-dvc(un&mg<#y}/vrmpw)dg|dS4<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64149>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=171209V4*aun'xm#jb?.vp,suhsz&idyczPd0]364=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q<209V4*aun'xm#jb?.vp,suhsz&idyczPd0]764=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y2:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U=><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q8209V4*aun'xm#jb?.vp,suhsz&idyczPd0];64=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y>:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6;2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<31?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18785:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:595>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2;>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?1;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54?49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>191239V4*aun'xm#jb?.vp,suhsz&idyczPd3>;:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;178:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S=<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_002?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[7463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W:8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S9<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_402?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[3463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W>8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S5<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_801?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f08585:2_;#j|i.sd,ci6){%||cz}/bmvjqYc;5;5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>2=>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1?7;453\:$kh!rg-dh5(pz&}{by| cnwmpZb44=49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo?1;1239V4*aun'xm#jb?.vp,suhsz&idyczPd2>5:74<]9%l~k }f.eo4+qu'~zex!lotlw[a5;?7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn8050=2:W3+bta&{l$ka>!ws-ttkru'je~byQk3=;=64=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y7:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U:><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q=209V4*aun'xm#jb?.vp,suhsz&idyczPd2]064=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y3:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U>><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q9209V4*aun'xm#jb?.vp,suhsz&idyczPd2]464=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y?:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U2>95Z0.eqb+ta'nf;"z| wqlwv*eh}g~Tbbz?01323>S7'nxm"h mdo,`jssW`6;2<64U1-dvc(un&gna"j`uu]j8469911^<"i}f/pe+hcj'me~xRg310<2<>S7'nxm"h mdo,`jssW`6:>3?7;T2,cw`)zo%fi`!kotv\m9746820Y=!hrg,qb*kbe&ndyyQf<06=5==R8&myj#|i/lgn+air|Vc7=80>8:W3+bta&{l$ahc dnww[l:6>7;37X> gsd-vc)jmd%ocxzPi=34:4><]9%l~k }f.ofi*bh}}Ub0<61199V4*aun'xm#`kb/emvpZo;904:;6[?/fpe*w`(elg$hb{{_h>2:4><]9%l~k }f.ofi*bh}}Ub0?>1199V4*aun'xm#`kb/emvpZo;:84:46[?/fpe*w`(elg$hb{{_h>16;7?3\:$kh!rg-nah)cg|~Te1<<>0:8Q5)`zo$yj"cjm.flqqYn4;>5=55Z0.eqb+ta'dof#iazt^k?608602_;#j|i.sd,i`k(lfSd2=6?3;?P6(o{l%~k!bel-gkprXa58<2<64U1-dvc(un&gna"j`uu]j87>9911^<"i}f/pe+hcj'me~xRg328<23>S7'nxm"h mdo,`jssW`692<64U1-dvc(un&gna"j`uu]j8669911^<"i}f/pe+hcj'me~xRg330<2<>S7'nxm"h mdo,`jssW`68>3?7;T2,cw`)zo%fi`!kotv\m9546820Y=!hrg,qb*kbe&ndyyQf<26=5==R8&myj#|i/lgn+air|Vc7?80>7:W3+bta&{l$ahc dnww[l:468=0Y=!hrg,qb*kbe&ndyyQf<5<23>S7'nxm"h mdo,`jssW`6>2<94U1-dvc(un&gna"j`uu]j8386?2_;#j|i.sd,i`k(lfSd28>058Q5)`zo$yj"cjm.flqqYn414:;6[?/fpe*w`(elg$hb{{_h>::40<]9%l~k }f.ofi*bh}}UbS=?9;T2,cw`)zo%fi`!kotv\mZ76?2_;#j|i.sd,i`k(lfSdQ>0058Q5)`zo$yj"cjm.flqqYnW8;:;6[?/fpe*w`(elg$hb{{_h]2641<]9%l~k }f.ofi*bh}}UbS<=>7:W3+bta&{l$ahc dnww[lY6<8=0Y=!hrg,qb*kbe&ndyyQf_0723>S7'nxm"h mdo,`jssW`U::<94U1-dvc(un&gna"j`uu]j[416?2_;#j|i.sd,i`k(lfSdQ>8058Q5)`zo$yj"cjm.flqqYnW83::6[?/fpe*w`(elg$hb{{_h]152=R8&myj#|i/lgn+air|VcT>=?8;T2,cw`)zo%fi`!kotv\mZ469>1^<"i}f/pe+hcj'me~xRgP2334?P6(o{l%~k!bel-gkprXaV88=:5Z0.eqb+ta'dof#iazt^k\61703\:$kh!rg-nah)cg|~TeR<:169V4*aun'xm#`kb/emvpZoX:?;<7X> gsd-vc)jmd%ocxzPi^0452=R8&myj#|i/lgn+air|VcT>5?8;T2,cw`)zo%fi`!kotv\mZ4>9?1^<"i}f/pe+hcj'me~xRgP3058Q5)`zo$yj"cjm.flqqYnW:::;6[?/fpe*w`(elg$hb{{_h]0541<]9%l~k }f.ofi*bh}}UbS><>7:W3+bta&{l$ahc dnww[lY4;8=0Y=!hrg,qb*kbe&ndyyQf_2623>S7'nxm"h mdo,`jssW`U89<84U1-dvc(un&gna"j`uu]j[1713\:$kh!rg-nah)cg|~TeR;>6:W3+bta&{l$ahc dnww[lY19?1^<"i}f/pe+hcj'me~xRgP7048Q5)`zo$yj"cjm.flqqYnW1;=7X> gsd-vc)jmd%ocxzPi^;2=>S7'nxm"h mdo,`jssWdof0=0>a:W3+bta&{l$ahc dnww[hcj48:5=l5Z0.eqb+ta'dof#iazt^ofi97668k0Y=!hrg,qb*kbe&ndyyQbel>26;7f3\:$kh!rg-nah)cg|~Tahc312<2e>S7'nxm"h mdo,`jssWdof0<:11`9V4*aun'xm#`kb/emvpZkbe5;>2<o4U1-dvc(un&gna"j`uu]nah:6>7;j7X> gsd-vc)jmd%ocxzPmdo?5286i2_;#j|i.sd,i`k(lfS`kb<0:=5d=R8&myj#|i/lgn+air|Vgna1?6>0;8Q5)`zo$yj"cjm.flqqYjmd6:2<o4U1-dvc(un&gna"j`uu]nah:587;j7X> gsd-vc)jmd%ocxzPmdo?6486i2_;#j|i.sd,i`k(lfS`kb<30=5d=R8&myj#|i/lgn+air|Vgna1<<>0c8Q5)`zo$yj"cjm.flqqYjmd6983?n;T2,cw`)zo%fi`!kotv\i`k;:<4:m6[?/fpe*w`(elg$hb{{_lgn87099h1^<"i}f/pe+hcj'me~xRcjm=04:4g<]9%l~k }f.ofi*bh}}Ufi`2=8?3b?P6(o{l%~k!bel-gkprXelg7>40>9:W3+bta&{l$ahc dnww[hcj4;4:m6[?/fpe*w`(elg$hb{{_lgn86699h1^<"i}f/pe+hcj'me~xRcjm=12:4g<]9%l~k }f.ofi*bh}}Ufi`2<2?3b?P6(o{l%~k!bel-gkprXelg7?>0>a:W3+bta&{l$ahc dnww[hcj4:>5=l5Z0.eqb+ta'dof#iazt^ofi9526830Y=!hrg,qb*kbe&ndyyQbel>0:4?<]9%l~k }f.ofi*bh}}Ufi`2;>0;8Q5)`zo$yj"cjm.flqqYjmd6>2<74U1-dvc(un&gna"j`uu]nah:16830Y=!hrg,qb*kbe&ndyyQbel>4:4?<]9%l~k }f.ofi*bh}}Ufi`27>0;8Q5)`zo$yj"cjm.flqqYjmd622::4U1-dvc(un&gna"imm/eaib(`jdmj"cijcb,aib)edbUfi`Qheogqeqiu'kgei lsup,vdkkgfzP<P }al,q+v5Xffceey }al-gtwgj&mz:4u=9.scn5g=R8&myj#|i/lgn+qkwW{kfSjPeo3`?P6(o{l%~k!bel-wiuYuidUyhRka1058Q5)`zo$yj"|nm^pfcjssWld:h6[?/fpe*w`(zz~i`f!}d^pppZgtzlm9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh<<?;T2,cw`)zo%yylck.pg[wusWhyyijQk20a8Q5)`zo$yj"||tcnh+wbXzz~Tobcm1e9V4*aun'xm#}{bmi,vaYu{}Uhc`l>1d9V4*aun'xm#}{bmi,vaYu{}Uyij2?>0g8Q5)`zo$yj"||tcnh+wbXzz~T~hi31?3f?P6(o{l%~k!}su`oo*tcW{ySkh<3<2`>S7'nxm"h rrvahn)ulVxxxR|jg^22`>S7'nxm"h rrvahn)ulVxxxR|jg^32`>S7'nxm"h rrvahn)ulVxxxR|jg^02`>S7'nxm"h rrvahn)pzVxxxRo|rde14>S7'nxm"h rrvahn)pzVxxxRo|rde\`4473\:$kh!rg-qwqdkc&}yS}{_`qqabYc:8i0Y=!hrg,qb*tt|kf`#z|Prrv\gjke9m1^<"i}f/pe+wusjea${Q}su]`khd69l1^<"i}f/pe+wusjea${Q}su]qab:768o0Y=!hrg,qb*tt|kf`#z|Prrv\v`a;97;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W9;o7X> gsd-vc)u{}hgg"y}_sqw[wc`W8k0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF7>QBI:1\IOm4WSKWAZKHLLUJo6Y]IUG\IJBBWKn0[_G[E^UJ@QNXIm1\^DZJ_VKGPMYE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEih4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrkljf=fddexxRkbpu{a?djjgz~Tjxbc6:`bgnswl2hjof{_lcqo`t43jf`h6jnt`]`kphs 9#o7io{a^alqkr/9 n0hlzn_bmvjq.5!m1omyoPcnwmp-5.l2njxlQlotlw,1/c3mkmRm`uov+1,b<lh~jSnaznu*5-a=ci}kTob{at)5*`>bf|hUhcx`{(9+g?agsiVidycz'9(d8`drfWje~by26:1<4?adn|lxy:6jfn)2*2>bnf!;";6jfn)33-2=cag":=$94dhl+57/03mce$<=&7:fjj-73!>1oec&>5(58`lh/9?#<7iga(05*2>bnf!8":6jfn)1*2>bnf!>":6jfn)7*2>bnf!<":6jfn)5*2>bnf!2":6jfn);*2>bnf5:5;6jfn=33:2=cag6:=394dhl?57803mce0<=17:fjj9736>1oec2>5?58`lh;9?427iga<0594;1<l`d7=:09;ekm84813mce0?09;ekm86813mce0909;ekm80813mce0;09;ekm82813mce0509;ekm8<803me~x%>&7:flqq.6!11ocxz'11+;?air|!;:%55kotv+57/?3me~x%?<)99gkpr/9=#37iazt)36-==cg|~#=;'7;emvp-70!11ocxz'19+;?air|!;2%:5kotv+6,><lf$?>&8:flqq.59 20hb{{(30*<>bh}}"9?$64dnww,72.02ndyy&=5(:8`jss ;<"46j`uu*13,><lf$?6&8:flqq.51 =0hb{{(2+;?air|!9;%55kotv+74/?3me~x%==)99gkpr/;:#37iazt)17-==cg|~#?8'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?:8`jss4:;546j`uu>06;><lf0>=18:flqq:4<7k0hb{{<2794;><lf0>;17:flqq:46>1ocxz34?58`jss4<4<7iazt=4=3>bh}}6<2:5kotv?<;1<lf0407;dfjb7h`l<1na}zv2g9emciXoldn~lz`r^t5[4*'P`fbbu.LOSG#C`hbzh~d~-?=.03`?coagVrgbuQ:13z02Z4ddbU:4ya<62c8bl`hWqfetR;>2y15[7ekcV;3xb=9,gkekZabflxjxb|Pv7]2(KIIM%DDBH:?3:djbjYdgrT9<<w37]1gimX91~d?;"iigm\c`hbzh~d~Rx9_0.xgZnf{VcexRmck<2/gZnf{Vyyy3?,b]q`Z`umx7: nQjn``oaZtt|Vyrbn3>,b]kevYulVnjxlQlotlw95*dWmceSzgkti?2<)eX`hyT{Qkauc\gjsi|4:'oRhzlm]ehdatW{yS{oc=1.`[lkwdlgnbyo{inl\p|vb5;&hSx}j_doaaabblVxnk0>#c^uq[ctby4;'oR{|e^flqqYu{}7: nQznegqbiipWee|1="l_icp[jpbzofd{0>#c^rqaiiflVfjxh`ly<2/gZbh}}U|eizg=24/gZktofdTzlb21-a\vaYcmy~c18?=,b]fvwiuW`dainzfoo]w}uc:8%iTdl}Puoffvcjh4:'oRfns^coijusWog`0?#c^jbwZtt|4;'oRjnt`]`kphsW~coxe3>0-a\lduX{Ujof3?,b]vw`Ybkj7; nQlololjZekgja6<!mPws]bgnYkg~7; nQ}d^rmpwYpam~c14"l_tqf[cqa|VnjxlQlotlw95*dWakxSlbborv\ahvsq4:'oR|k_ecweZeh}g~T{dj{h<;/gZiqm{lgczQcov?3(fYpzVzexQxievk946+kVzycjQjmqvz[qwm4:'oRy}_ecweZeh}g~T{dj{h<33(fYwzlfdmiQ}efq>5)eXlfS}{_wco95*dWakxSio{a^alqkr:8%iT|ah_gwohZrozlycSckhaug\rdj:99;= nQxr^fftqn:998? nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUdk|h^cpw`tsWkg19"l_icp[sgkam7; nQrne\bpjkW}byi~fParqfvqYqie7:<<9#cnoskkci|Vdjah3iigm\|ihW<;9t>8P2bnh[4>sg:<'oRxnlhf\hjq:8%iT|gb_gkekZr~xl7:gu?7,b]svjaXn|fgSywe<3/gZkbefxrSywe<3/y60<n`ldSubax^726}51W;iggR?7tn15[coagVmnbh|ntnp\r3Y6WqyS<:4ftno`>oiblihog{espg?lhmmj~xndzjrs58mkrXkea37cilbtko`==h~lxm`byn;qplcZcjx}s:86~}of]fiur~W}byi~f'0(37?uthoVof|ywPtipfwm.6!8>0|ah_dosp|Ys`{oxd%<&159svjaXmdzuRzgrdqk,6/6<2zycjQjmqvz[qnumzb#8$?;;qplcZcjx}sTxe|jsi*6-42<x{elShctx]wlwct`!<"=95rne\ahvsqV~c~h}g(6+20>vugnUna}zv_ujqavn/0 ;=7}|`g^gntqX|axne27:1<2f>vugnUna}zv_ujqavnXizyn~y&?)0`8twi`Wlg{xtQ{hsgplZgt{lx$<'>b:rqkbYbey~rSyf}erj\evubz}"9%<l4psmd[`kw|pUdk|h^cpw`ts :#:n6~}of]fiur~W}byi~fParqfvq.3!8h0|ah_dosp|Ys`{oxdRo|sdpw,0/6j2zycjQjmqvz[qnumzbTm~}jru*5-4d<x{elShctx]wlwct`Vkxh|{(6+2f>vugnUna}zv_ujqavnXizyn~y&7)0f8twi`Wlg{xtQ{hsgplZgt{lx054?>0`8twi`Wlg{xtQ{hsgplZhboh~n$='>b:rqkbYbey~rSyf}erj\j`af|l":%<l4psmd[`kw|pUdk|h^lfcdrb ;#:n6~}of]fiur~W}byi~fPndebp`.4!8h0|ah_dosp|Ys`{oxdR`jg`vf,1/6j2zycjQjmqvz[qnumzbTbhintd*6-4d<x{elShctx]wlwct`Vdnklzj(7+2f>vugnUna}zv_ujqavnXflmjxh&8)0`8twi`Wlg{xtQ{hsgplZhboh~n$5'>d:rqkbYbey~rSyf}erj\j`af|l636=06;qplcZ`rde;87}|`g^dvhiYs`{oxd%>&129svjaXn|fgSyf}erj+5,743yxdkRhzlm]wlwct`!8"=>5rne\bpjkW}byi~f'3(30?uthoVl~`aQ{hsgpl-2.9:1{~biPftno[qnumzb#9$?<;qplcZ`rdeUdk|h)4*56=wzfmTjxbc_ujqavn/? ;87}|`g^dvhiYs`{oxd%6&129svjaXn|fgSyf}erj+=,723yxdkRhzlm]wlwct`531<3?n;qplcZ`rdeUdk|h^cpw`ts 9#:m6~}of]eqijX|axneQnsrgqp-7.9h1{~biPftno[qnumzbTm~}jru*1-4g<x{elSk{cl^vkv`uoWhyxiz'3(3b?uthoVl~`aQ{hsgplZgt{lx$9'>a:rqkbYa}efTxe|jsi]bwvcu|!?"=l5rne\bpjkW}byi~fParqfvq.1!8k0|ah_gwohZrozlycSl}|esv+3,7f3yxdkRhzlm]wlwct`Vkxh|{(9+2e>vugnUmyabPtipfwmYf{zoyx%7&1b9svjaXn|fgSyf}erj\evubz}626=0>a:rqkbYa}efTxe|jsi]mabgsm!:"=l5rne\bpjkW}byi~fPndebp`.6!8k0|ah_gwohZrozlycSckhaug+6,7f3yxdkRhzlm]wlwct`Vdnklzj(2+2e>vugnUmyabPtipfwmYimnki%:&1`9svjaXn|fgSyf}erj\j`af|l">%<o4psmd[cskdV~c~h}g_ogdeqc/> ;j7}|`g^dvhiYs`{oxdR`jg`vf,2/6i2zycjQiumn\pmtb{aUeijo{e):*5d=wzfmTjxbc_ujqavnXflmjxh&6)0a8twi`Wog`Rzgrdqk[kc`i}o757>16:pg[fjl991yhRjnt`]`kphs 9#:<6|k_ecweZeh}g~#=$??;sf\`drfWje~by&=)028vaYci}kTob{at)1*55=ulVnjxlQlotlw,1/682xoSio{a^alqkr/= ;;7jPd`vb[firf}"=%<>4re]geqgXkfex%9&119q`Zbf|hUhcx`{(9+24>tcWmkmRm`uov?4;753{nThlzn_bmvjq:?294>7jPeo48vaYu{}90~~z8;r`jp`tu<2yyy:4tswf=>sillxm`by:;wcoma0<{Ujof84ws]`hn773~xThlzn_bmvjq.7!8:0{Qkauc\gjsi|!;"==5xr^fbpdYdg|d$?'>0:uq[agsiVidycz'3(33?rtXlh~jSnaznu*7-46<{UomyoPcnwmp-3.991|~Rjnt`]`kphs ?#:<6y}_ecweZeh}g~#;$??;vp\`drfWje~by&7)028swYci}kTob{at);*57=pzVnjxlQlotlw8<<76<1|~Rka6:uq[wusuIJ{oi64@Az2>C<328qX8k4:048;>455j?ih6>=:48ym0=2=92d?4849;%6;6?20k2wX8i4:048;>455j?ih6>=:489P57e==8:1<7?<2c4`g?54==30_9j550294?74:k<ho7=<55c8`06?290:6<u\4g8640<?2899n;ml:2160<=q\82h6=4>:082g5}T<o0><847:011f3ed2:9>845+467957b<^=286?uz12495>s6;>0;7p*>8182=>d2810;6>h53;1eM20;2P?97<t19825?{#90;19=64$5:2>0603`?>j7>5;n6;3?6=3f?8=7>5;h740?6=3f?;o7>5;n732?6=3`?=47>5;h755?6=3f>o57>5$0:1>1`d3g;3=7>4;n6g<?6=,82969hl;o3;5?7<3f>o;7>5$0:1>1`d3g;3=7<4;n6g2?6=,82969hl;o3;5?5<3f>o97>5$0:1>1`d3g;3=7:4;n6g0?6=,82969hl;o3;5?3<3f>o>7>5$0:1>1`d3g;3=784;n6g5?6=,82969hl;o3;5?1<3f>o<7>5$0:1>1`d3g;3=764;n6`b?6=,82969hl;o3;5??<3f>hi7>5$0:1>1`d3g;3=7o4;n6``?6=,82969hl;o3;5?d<3f>ho7>5$0:1>1`d3g;3=7m4;n6`f?6=,82969hl;o3;5?b<3f>hm7>5$0:1>1`d3g;3=7k4;n6`=?6=,82969hl;o3;5?`<3f>h;7>5$0:1>1`d3g;3=7??;:m7g3<72-;3>7:ic:l2<4<6921d8n;50;&2<7<3nj1e=5?51398k1e3290/=5<54ga8j4>628907b:l3;29 4>52=lh7c?71;37?>i3k;0;6)?72;6eg>h6080:965`4b394?"60;0?jn5a193953=<g=i;6=4+19090ce<f82:6<94;n6ab?6=,82969hl;o3;5?7?32e?nh4?:%3;6?2ak2d:4<4>9:9l0a`=83.:4?4;fb9m5=7=9h10c9jj:18'5=4=<oi0b<6>:0`8?j2cl3:1(<6=:5d`?k7?93;h76a;db83>!7?:3>mo6`>8082`>=h<mh1<7*>8387bf=i91;1=h54o5fb>5<#91818km4n0:2>4`<3f>o?7>5$0:1>1`d3g;3=7<?;:m7g=<72-;3>7:ic:l2<4<5921d8oj50;&2<7<3nj1e=5?52398k1dd290/=5<54ga8j4>62;907d:n0;29 4>52=h:7c?71;28?l2>n3:1(<6=:5`2?k7?93;07d:6e;29 4>52=h:7c?71;08?l2>l3:1(<6=:5`2?k7?93907d:6c;29 4>52=h:7c?71;68?l2>j3:1(<6=:5`2?k7?93?07d:6a;29 4>52=h:7c?71;48?l2>13:1(<6=:5`2?k7?93=07d:n8;29 4>52=h:7c?71;:8?l2f?3:1(<6=:5`2?k7?93307d:n6;29 4>52=h:7c?71;c8?l2f=3:1(<6=:5`2?k7?93h07d:n4;29 4>52=h:7c?71;a8?l2f;3:1(<6=:5`2?k7?93n07d:n2;29 4>52=h:7c?71;g8?l2f93:1(<6=:5`2?k7?93l07d:68;29 4>52=h:7c?71;33?>o31>0;6)?72;6a5>h6080:=65f54194?=e<>>1<7?50;2xL1143-;2=7:84:m23c<722wih54?:083>5}O<>90(<7>:e:8ka1=831vn9:50;c5>4>328i;wE:83:X71?71s;31>54=a;f9e?d=k3;36<?52c8f>a<b2821>o4=9;32>f<e2h09m7<7:|&2=4<28k1/854:089'0`<28h1/=:l516g8m042290/=5<55348j4>62910e8<;:18'5=4==;<0b<6>:098m044290/=5<55348j4>62;10e8<=:18'5=4==;<0b<6>:298m046290/=5<55348j4>62=10e8<?:18'5=4==;<0b<6>:498m07a290/=5<55348j4>62?10e8?j:18'5=4==;<0b<6>:698m07c290/=5<55348j4>62110e8>j:188m0072900e88<:188k0012900e8?m:18'5=4==8i0b<6>:198m07f290/=5<550a8j4>62810e8?6:18'5=4==8i0b<6>:398m07?290/=5<550a8j4>62:10e8?8:18'5=4==8i0b<6>:598m071290/=5<550a8j4>62<10e8?::18'5=4==8i0b<6>:798m073290/=5<550a8j4>62>10e8?<:18'5=4==8i0b<6>:998m03a2900c8?>:188m04a290/=5<55228j4>62910e8<j:18'5=4==::0b<6>:098m04c290/=5<55228j4>62;10e8<l:18'5=4==::0b<6>:298m04e290/=5<55228j4>62=10e8<n:18'5=4==::0b<6>:498m04>290/=5<55228j4>62?10e8<7:18'5=4==::0b<6>:698m040290/=5<55228j4>62110c968:188k1?3290/=5<54878j4>62910c97<:18'5=4=<0?0b<6>:098k1?5290/=5<54878j4>62;10c97>:18'5=4=<0?0b<6>:298k1?7290/=5<54878j4>62=10c96i:18'5=4=<0?0b<6>:498k1>b290/=5<54878j4>62?10c96k:18'5=4=<0?0b<6>:698k1>d290/=5<54878j4>62110c96m:18'5=4=<0?0b<6>:898k0562900e89;:188m05e290/=5<552a8j4>62910e8=n:18'5=4==:i0b<6>:098m05>290/=5<552a8j4>62;10e8=7:18'5=4==:i0b<6>:298m050290/=5<552a8j4>62=10e8=9:18'5=4==:i0b<6>:498m052290/=5<552a8j4>62?10e8=;:18'5=4==:i0b<6>:698m054290/=5<552a8j4>62110e8==:18'5=4==:i0b<6>:898k06d2900c8>9:188m00?2900e966:188m021290/=5<55558j4>62910e8:::18'5=4====0b<6>:098m023290/=5<55558j4>62;10e8:<:18'5=4====0b<6>:298m025290/=5<55558j4>62=10e8:>:18'5=4====0b<6>:498m027290/=5<55558j4>62?10e8=i:18'5=4====0b<6>:698m05b290/=5<55558j4>62110e8=k:18'5=4====0b<6>:898m036290/=5<55408j4>62910e8;?:18'5=4==<80b<6>:098m02a290/=5<55408j4>62;10e8:j:18'5=4==<80b<6>:298m02c290/=5<55408j4>62=10e8:l:18'5=4==<80b<6>:498m02e290/=5<55408j4>62?10e8:n:18'5=4==<80b<6>:698m02>290/=5<55408j4>62110e8:7:18'5=4==<80b<6>:898k1>12900e8>i:188m1>f2900e89::188k0052900c888:188m0062900c9j6:18'5=4=<oi0b<6>:198k1b?290/=5<54ga8j4>62810c9j8:18'5=4=<oi0b<6>:398k1b1290/=5<54ga8j4>62:10c9j::18'5=4=<oi0b<6>:598k1b3290/=5<54ga8j4>62<10c9j=:18'5=4=<oi0b<6>:798k1b6290/=5<54ga8j4>62>10c9j?:18'5=4=<oi0b<6>:998k1ea290/=5<54ga8j4>62010c9mj:18'5=4=<oi0b<6>:`98k1ec290/=5<54ga8j4>62k10c9ml:18'5=4=<oi0b<6>:b98k1ee290/=5<54ga8j4>62m10c9mn:18'5=4=<oi0b<6>:d98k1e>290/=5<54ga8j4>62o10c9m8:18'5=4=<oi0b<6>:028?j2d>3:1(<6=:5d`?k7?93;:76a;c483>!7?:3>mo6`>80826>=h<j>1<7*>8387bf=i91;1=>54o5a0>5<#91818km4n0:2>42<3f>h>7>5$0:1>1`d3g;3=7?:;:m7g4<72-;3>7:ic:l2<4<6>21d8n>50;&2<7<3nj1e=5?51698k1da290/=5<54ga8j4>628207b:me;29 4>52=lh7c?71;3:?>i3lo0;6)?72;6eg>h6080:m65`4eg94?"60;0?jn5a19395g=<g=no6=4+19090ce<f82:6<m4;n6gg?6=,82969hl;o3;5?7c32e?ho4?:%3;6?2ak2d:4<4>e:9l0ag=83.:4?4;fb9m5=7=9o10c9j<:18'5=4=<oi0b<6>:328?j2d03:1(<6=:5d`?k7?938:76a;be83>!7?:3>mo6`>80816>=h<ki1<7*>8387bf=i91;1>>54i5c3>5<#91818o?4n0:2>5=<a=3m6=4+19090g7<f82:6<54i5;f>5<#91818o?4n0:2>7=<a=3o6=4+19090g7<f82:6>54i5;`>5<#91818o?4n0:2>1=<a=3i6=4+19090g7<f82:6854i5;b>5<#91818o?4n0:2>3=<a=326=4+19090g7<f82:6:54i5c;>5<#91818o?4n0:2>==<a=k<6=4+19090g7<f82:6454i5c5>5<#91818o?4n0:2>d=<a=k>6=4+19090g7<f82:6o54i5c7>5<#91818o?4n0:2>f=<a=k86=4+19090g7<f82:6i54i5c1>5<#91818o?4n0:2>`=<a=k:6=4+19090g7<f82:6k54i5;;>5<#91818o?4n0:2>46<3`>2;7>5$0:1>1d63g;3=7?>;:k7<=<722e>9n4?:%3;6?32m2d:4<4?;:m61g<72-;3>7;:e:l2<4<632e>9l4?:%3;6?32m2d:4<4=;:m61<<72-;3>7;:e:l2<4<432e>954?:%3;6?32m2d:4<4;;:m612<72-;3>7;:e:l2<4<232e>9;4?:%3;6?32m2d:4<49;:m610<72-;3>7;:e:l2<4<032e>994?:%3;6?32m2d:4<47;:m637<72-;3>7;83:l2<4<732e>;<4?:%3;6?30;2d:4<4>;:m635<72-;3>7;83:l2<4<532e>:k4?:%3;6?30;2d:4<4<;:m62`<72-;3>7;83:l2<4<332e>:i4?:%3;6?30;2d:4<4:;:m62f<72-;3>7;83:l2<4<132e>:o4?:%3;6?30;2d:4<48;:m62d<72-;3>7;83:l2<4<?32e>:44?:%3;6?30;2d:4<46;:m657<722c><i4?::m7=3<722c>9>4?::m620<722h?;k4?:083>5}#90;1h55G46g8L1143fn<6=44}c6;4?6=93:1<v*>908731=O<>o0D99<;n34b?6=3th9m?4?:583>5}#90;1h>5G46g8L1143-o=6:5f4783>>o283:17d?73;29?j7?<3:17pl;6183>6<729q/=4?5d19K02c<@==87E:<;%300?31<2.n:7<4i5494?=n=90;66a>8583>>{e<?h1<7=50;2x 4?62m:0D99j;I647>N3;2.:?94:659'a3<53`>=6=44i4294?=h91>1<75rb57e>5<4290;w)?61;f3?M20m2B?;>5G429'562==?>0(h852:k72?6=3`?;6=44o0:7>5<<uk>=57>55;294~"6180o86F;7d9K025<@=90(<=;:447?l212900e9950;9j15<722c:4>4?::m2<1<722wi8;o50;194?6|,83:6i>4H55f?M20;2B??6*>358621=#m?097d:9:188m06=831d=5:50;9~f13b290>6=4?{%3:5?b33A><i6F;729K06=#9:>19;:4i5494?=n<>0;66g:0;29?l7?;3:17b?74;29?xd3>10;694?:1y'5<7=l:1C8:k4H550?!c12>1b8;4?::k64?6=3`;3?7>5;n3;0?6=3th?::4?:583>5}#90;1h>5G46g8L1143-o=6:5f4783>>o283:17d?73;29?j7?<3:17pl;6783>1<729q/=4?5d29K02c<@==87)k9:69j03<722c><7>5;h3;7?6=3f;387>5;|`734<72=0;6=u+1839`6=O<>o0D99<;%g5>2=n<?0;66g:0;29?l7?;3:17b?74;29?xd3?90;694?:1y'5<7=l:1C8:k4H550?!c12>1b8;4?::k64?6=3`;3?7>5;n3;0?6=3th9=;4?:583>5}#90;1h<5G46g8L1143-o=6?5f4783>>o3k3:17d;?:188k4>32900qo<>5;290?6=8r.:5<4k1:J73`=O<>90(h852:k72?6=3`>h6=44i4294?=h91>1<75rb337>5<3290;w)?61;f2?M20m2B?;>5+e781?l212900e9m50;9j15<722e:494?::a645=83>1<7>t$0;2>a7<@==n7E:83:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd59;0;694?:1y'5<7=l81C8:k4H550?!c12;1b8;4?::k7g?6=3`?;6=44o0:7>5<<uk8:=7>54;294~"6180o=6F;7d9K025<,l<1>6g;6;29?l2d2900e8>50;9l5=2=831vn???:187>5<7s-;2=7j>;I64a>N3?:1/i;4=;h65>5<<a=i1<75f5183>>i60=0;66sm21d94?2=83:p(<7>:e38L11b3A><?6*j6;08m10=831b8n4?::k64?6=3f;387>5;|`14`<72=0;6=u+1839`4=O<>o0D99<;%g5>7=n<?0;66g;c;29?l372900c<6;:188yg7e<3:187>50z&2=4<c92B?;h5G4618 `0=:2c?:7>5;h6`>5<<a<:1<75`19694?=zj8h86=4;:183!7>93n:7E:8e:J736=#m?097d:9:188m1e=831b9=4?::m2<1<722wi=o<50;694?6|,83:6i?4H55f?M20;2.n:7<4i5494?=n<j0;66g:0;29?j7?<3:17pl>b083>1<729q/=4?5d09K02c<@==87)k9:39j03<722c?o7>5;h73>5<<g82?6=44}c3a4?6=<3:1<v*>908g5>N3?l1C8:=4$d496>o3>3:17d:l:188m06=831d=5:50;9~f4ga290?6=4?{%3:5?b63A><i6F;729'a3<53`>=6=44i5a94?=n=90;66a>8583>>{e9ho1<7:50;2x 4?62m;0D99j;I647>"b>380e9850;9j0f<722c><7>5;n3;0?6=3th:mi4?:583>5}#90;1h<5G46g8L1143-o=6?5f4783>>o3k3:17d;?:188k4>32900qo?nc;290?6=8r.:5<4k1:J73`=O<>90(h852:k72?6=3`>h6=44i4294?=h91>1<75rb341>5<3290;w)?61;f2?M20m2B?;>5+e781?l212900e9m50;9j15<722e:494?::a637=83>1<7>t$0;2>a7<@==n7E:83:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5>90;694?:1y'5<7=l81C8:k4H550?!c12;1b8;4?::k7g?6=3`?;6=44o0:7>5<<uk8>j7>54;294~"6180o=6F;7d9K025<,l<1>6g;6;29?l2d2900e8>50;9l5=2=831vn?;j:187>5<7s-;2=7j>;I64a>N3?:1/i;4=;h65>5<<a=i1<75f5183>>i60=0;66sm24f94?2=83:p(<7>:e38L11b3A><?6*j6;08m10=831b8n4?::k64?6=3f;387>5;|`11f<72=0;6=u+1839`4=O<>o0D99<;%g5>7=n<?0;66g;c;29?l372900c<6;:188yg42j3:187>50z&2=4<c92B?;h5G4618 `0=:2c?:7>5;h6`>5<<a<:1<75`19694?=zj;?j6=4;:183!7>93n:7E:8e:J736=#m?097d:9:188m1e=831b9=4?::m2<1<722wi=km50;694?6|,83:6i?4H55f?M20;2.n:7<4i5494?=n<j0;66g:0;29?j7?<3:17pl>fc83>1<729q/=4?5d09K02c<@==87)k9:39j03<722c?o7>5;h73>5<<g82?6=44}c3ee?6=<3:1<v*>908g5>N3?l1C8:=4$d496>o3>3:17d:l:188m06=831d=5:50;9~f4`>290?6=4?{%3:5?b63A><i6F;729'a3<53`>=6=44i5a94?=n=90;66a>8583>>{e9o21<7:50;2x 4?62m;0D99j;I647>"b>380e9850;9j0f<722c><7>5;n3;0?6=3th:j:4?:583>5}#90;1h<5G46g8L1143-o=6?5f4783>>o3k3:17d;?:188k4>32900qo?i6;290?6=8r.:5<4k1:J73`=O<>90(h852:k72?6=3`>h6=44i4294?=h91>1<75rb0d6>5<3290;w)?61;f2?M20m2B?;>5+e781?l212900e9m50;9j15<722e:494?::a5c2=83>1<7>t$0;2>a7<@==n7E:83:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5=90;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<o0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<l0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<m0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<j0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<k0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<h0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<00;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<10;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5<>0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6mh0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m00;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m10;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m>0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m?0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m<0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m=0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m:0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6m;0;694?:1y'5<7=l81C8:k4H550?M243-;887;94:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd6l10;694?:1y'5<7=l81C8:k4H550?!c12;1b8;4?::k7g?6=3`?;6=44o0:7>5<<uk;o;7>54;294~"6180o=6F;7d9K025<,l<1>6g;6;29?l2d2900e8>50;9l5=2=831vn<j9:187>5<7s-;2=7j>;I64a>N3?:1/i;4=;h65>5<<a=i1<75f5183>>i60=0;66sm1e794?2=83:p(<7>:e38L11b3A><?6*j6;08m10=831b8n4?::k64?6=3f;387>5;|`2`1<72=0;6=u+1839`4=O<>o0D99<;%g5>7=n<?0;66g;c;29?l372900c<6;:188yg7c;3:187>50z&2=4<c92B?;h5G4618 `0=:2c?:7>5;h6`>5<<a<:1<75`19694?=zj8n96=4;:183!7>93n:7E:8e:J736=#m?097d:9:188m1e=831b9=4?::m2<1<722wi=i?50;694?6|,83:6i?4H55f?M20;2.n:7<4i5494?=n<j0;66g:0;29?j7?<3:17pl>d183>1<729q/=4?5d09K02c<@==87)k9:39j03<722c?o7>5;h73>5<<g82?6=44}c00g?6=<3:1<v*>908g5>N3?l1C8:=4$d496>o3>3:17d:l:188m06=831d=5:50;9~f75e290?6=4?{%3:5?b63A><i6F;729'a3<53`>=6=44i5a94?=n=90;66a>8583>>{e::k1<7:50;2x 4?62m;0D99j;I647>"b>380e9850;9j0f<722c><7>5;n3;0?6=3th9?44?:583>5}#90;1h<5G46g8L1143-o=6?5f4783>>o3k3:17d;?:188k4>32900qo<<8;290?6=8r.:5<4k1:J73`=O<>90(h852:k72?6=3`>h6=44i4294?=h91>1<75rb314>5<3290;w)?61;f2?M20m2B?;>5+e781?l212900e9m50;9j15<722e:494?::a660=83>1<7>t$0;2>a7<@==n7E:83:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd5;<0;694?:1y'5<7=l81C8:k4H550?!c12;1b8;4?::k7g?6=3`?;6=44o0:7>5<<uk8887>54;294~"6180o=6F;7d9K025<,l<1>6g;6;29?l2d2900e8>50;9l5=2=831vn?=<:187>5<7s-;2=7j>;I64a>N3?:1/i;4=;h65>5<<a=i1<75f5183>>i60=0;66sm2e;94?3=83:p(<7>:e08L11b3A><?6*j6;08m10=831b8:4?::k7g?6=3`?;6=44o0:7>5<<uk8o47>55;294~"6180o>6F;7d9K025<,l<1>6g;6;29?l202900e9m50;9j15<722e:494?::a6a1=83?1<7>t$0;2>a4<@==n7E:83:&f2?4<a=<1<75f4683>>o3k3:17d;?:188k4>32900qo<k6;291?6=8r.:5<4k2:J73`=O<>90(h852:k72?6=3`><6=44i5a94?=n=90;66a>8583>>{e:m?1<7;50;2x 4?62m80D99j;I647>"b>380e9850;9j02<722c?o7>5;h73>5<<g82?6=44}c0g0?6==3:1<v*>908g6>N3?l1C8:=4$d496>o3>3:17d:8:188m1e=831b9=4?::m2<1<722wi>i<50;794?6|,83:6i<4H55f?M20;2.n:7<4i5494?=n<>0;66g;c;29?l372900c<6;:188yg4c93:197>50z&2=4<c<2B?;h5G4618 `0=?2c?:7>5;h64>5<<a<:1<75f19194?=h91>1<75rb3f0>5<2290;w)?61;f1?M20m2B?;>5+e781?l212900e9950;9j0f<722c><7>5;n3;0?6=3th9o;4?:283>5}#90;18:j4H55f?M20;2c?57>5;h31e?6=3f;<h7>5;|`1g0<72:0;6=u+183902b<@==n7E:83:k7=?6=3`;9m7>5;n34`?6=3th9nn4?:583>5}#90;1=>;4H55f?M20;2c?57>5;h71>5<<a82j6=44o05g>5<<uk8h87>53;294~"6180?;i5G46g8L1143`>26=44i00b>5<<g8=o6=44}c0ae?6=<3:1<v*>908270=O<>o0D99<;h6:>5<<a<81<75f19c94?=h9>n1<75rb3a0>5<4290;w)?61;64`>N3?l1C8:=4i5;94?=n9;k1<75`16f94?=zj;h36=4;:183!7>93;896F;7d9K025<a=31<75f5383>>o60h0;66a>7e83>>{e:j81<7=50;2x 4?62==o7E:8e:J736=n<00;66g>2`83>>i6?m0;66sm2c494?2=83:p(<7>:016?M20m2B?;>5f4883>>o2:3:17d?7a;29?j70l3:17pl=c083>6<729q/=4?546f8L11b3A><?6g;9;29?l75i3:17b?8d;29?xd5j=0;694?:1y'5<7=9:?0D99j;I647>o313:17d;=:188m4>f2900c<9k:188yg4d83:1?7>50z&2=4<3?m1C8:k4H550?l2>2900e<<n:188k41c2900qo<m2;290?6=8r.:5<4>349K02c<@==87d:6:188m04=831b=5o50;9l52b=831vn?li:180>5<7s-;2=7:8d:J73`=O<>90e9750;9j57g=831d=:j50;9~f7d7290?6=4?{%3:5?74=2B?;h5G4618m1?=831b9?4?::k2<d<722e:;i4?::a6gc=8391<7>t$0;2>11c3A><i6F;729j0<<722c:>l4?::m23a<722wi>lk50;694?6|,83:6<=:;I64a>N3?:1b844?::k66?6=3`;3m7>5;n34`?6=3th9io4?:483>5}#90;1h?5G46g8L1143-o=6?5f4783>>o3?3:17d:l:188m06=831d=5:50;9~f7cf290>6=4?{%3:5?b53A><i6F;729'a3<53`>=6=44i5594?=n<j0;66g:0;29?j7?<3:17pl=e883>0<729q/=4?5d39K02c<@==87)k9:39j03<722c?;7>5;h6`>5<<a<:1<75`19694?=zj;o36=4::183!7>93n97E:8e:J736=#m?097d:9:188m11=831b8n4?::k64?6=3f;387>5;|`1a2<72<0;6=u+1839`7=O<>o0D99<;%g5>7=n<?0;66g;7;29?l2d2900e8>50;9l5=2=831vn?k9:186>5<7s-;2=7j=;I64a>N3?:1/i;4=;h65>5<<a==1<75f4b83>>o283:17b?74;29?xd5m<0;684?:1y'5<7=l;1C8:k4H550?!c12;1b8;4?::k73?6=3`>h6=44i4294?=h91>1<75rb3g7>5<2290;w)?61;f1?M20m2B?;>5+e781?l212900e9950;9j0f<722c><7>5;n3;0?6=3th9i>4?:483>5}#90;1h?5G46g8L1143-o=6?5f4783>>o3?3:17d:l:188m06=831d=5:50;9~f711290?6=4?{%3:5?b43A><i6F;729'a3<03`>=6=44i4294?=n9191<75`19694?=zj;=<6=4;:183!7>93n87E:8e:J736=#m?0<7d:9:188m06=831b=5=50;9l5=2=831vn?9>:187>5<7s-;2=7j>;I64a>N3?:1/i;4=;h65>5<<a=i1<75f5183>>i60=0;66sm26294?2=83:p(<7>:e38L11b3A><?6*j6;08m10=831b8n4?::k64?6=3f;387>5;|`047<72:0;6=u+183902b<@==n7E:83:k7=?6=3`;9m7>5;n34`?6=3th8<o4?:283>5}#90;18:j4H55f?M20;2c?57>5;h31e?6=3f;<h7>5;|`1b3<72=0;6=u+1839563<@==n7E:83:k7=?6=3`?96=44i0:b>5<<g8=o6=44}c13e?6=;3:1<v*>90873a=O<>o0D99<;h6:>5<<a88j6=44o05g>5<<uk8m97>54;294~"6180:?85G46g8L1143`>26=44i4094?=n91k1<75`16f94?=zj::26=4<:183!7>93><h6F;7d9K025<a=31<75f13c94?=h9>n1<75rb3d7>5<3290;w)?61;301>N3?l1C8:=4i5;94?=n=;0;66g>8`83>>i6?m0;66sm31:94?5=83:p(<7>:55g?M20m2B?;>5f4883>>o6:h0;66a>7e83>>{e:o91<7:50;2x 4?6289>7E:8e:J736=n<00;66g:2;29?l7?i3:17b?8d;29?xd48>0;6>4?:1y'5<7=<>n0D99j;I647>o313:17d?=a;29?j70l3:17pl=f383>1<729q/=4?51278L11b3A><?6g;9;29?l352900e<6n:188k41c2900qo=?6;297?6=8r.:5<4;7e9K02c<@==87d:6:188m44f2900c<9k:188yg4a93:187>50z&2=4<6;<1C8:k4H550?l2>2900e8<50;9j5=g=831d=:j50;9~f66229086=4?{%3:5?20l2B?;h5G4618m1?=831b=?o50;9l52b=831vn?h?:187>5<7s-;2=7?<5:J73`=O<>90e9750;9j17<722c:4l4?::m23a<722wi?=:50;194?6|,83:699k;I64a>N3?:1b844?::k26d<722e:;i4?::a6``=83>1<7>t$0;2>4523A><i6F;729j0<<722c>>7>5;h3;e?6=3f;<h7>5;|`046<72:0;6=u+183902b<@==n7E:83:k7=?6=3`;9m7>5;n34`?6=3th9ih4?:583>5}#90;1=>;4H55f?M20;2c?57>5;h71>5<<a82j6=44o05g>5<<uk8nh7>54;294~"6180:?85G46g8L1143`>26=44i4094?=n91k1<75`16f94?=zj:;<6=4;:183!7>93n:7E:8e:J736=#m?097d:9:188m1e=831b9=4?::m2<1<722wi?<h50;694?6|,83:6i?4H55f?M20;2.n:7<4i5494?=n<j0;66g:0;29?j7?<3:17pl<1d83>1<729q/=4?5d09K02c<@==87)k9:39j03<722c?o7>5;h73>5<<g82?6=44}c12`?6=<3:1<v*>908g5>N3?l1C8:=4$d496>o3>3:17d:l:188m06=831d=5:50;9~f67d290?6=4?{%3:5?b63A><i6F;729'a3<53`>=6=44i5a94?=n=90;66a>8583>>{e;8h1<7:50;2x 4?62m;0D99j;I647>"b>380e9850;9j0f<722c><7>5;n3;0?6=3th8=l4?:583>5}#90;1h<5G46g8L1143-o=6?5f4783>>o3k3:17d;?:188k4>32900qo=>9;290?6=8r.:5<4k1:J73`=O<>90(h852:k72?6=3`>h6=44i4294?=h91>1<75rb23;>5<3290;w)?61;f2?M20m2B?;>5+e781?l212900e9m50;9j15<722e:494?::a740=83>1<7>t$0;2>a7<@==n7E:83:&f2?4<a=<1<75f4b83>>o283:17b?74;29?xd4:h0;684?:1y'5<7=l;1C8:k4H550?!c12;1b8;4?::k73?6=3`>h6=44i4294?=h91>1<75rb3;6>5<3290;w)?61;301>N3?l1C8:=4i5;94?=n=;0;66g>8`83>>i6?m0;66sm28694?2=83:p(<7>:016?M20m2B?;>5f4883>>o2:3:17d?7a;29?j70l3:17pl=9283>1<729q/=4?51278L11b3A><?6g;9;29?l352900e<6n:188k41c2900qo<62;290?6=8r.:5<4>349K02c<@==87d:6:188m04=831b=5o50;9l52b=831vn?7>:187>5<7s-;2=7?<5:J73`=O<>90e9750;9j17<722c:4l4?::m23a<722wi>5<50;694?6|,83:6<=:;I64a>N3?:1b844?::k66?6=3`;3m7>5;n34`?6=3th94<4?:583>5}#90;1=>;4H55f?M20;2c?57>5;h71>5<<a82j6=44o05g>5<<uk83<7>54;294~"6180:?85G46g8L1143`>26=44i4094?=n91k1<75`16f94?=zj;=m6=4;:183!7>93;896F;7d9K025<a=31<75f5383>>o60h0;66a>7e83>>{e:>o1<7:50;2x 4?6289>7E:8e:J736=n<00;66g:2;29?l7?i3:17b?8d;29?xd4jm0;684?:1y'5<7=l;1C8:k4H550?!c12;1b8;4?::k73?6=3`>h6=44i4294?=h91>1<75rb2`a>5<2290;w)?61;f1?M20m2B?;>5+e781?l212900e9950;9j0f<722c><7>5;n3;0?6=3th8nn4?:483>5}#90;1h95G46g8L1143-o=6:5f4783>>o3?3:17d;?:188m4>42900c<6;:188yg5em3:197>50z&2=4<c:2B?;h5G4618 `0=:2c?:7>5;h64>5<<a=i1<75f5183>>i60=0;66sm3cd94?3=83:p(<7>:e08L11b3A><?6*j6;08m10=831b8:4?::k7g?6=3`?;6=44o0:7>5<<uk9h>7>55;294~"6180o>6F;7d9K025<,l<1>6g;6;29?l202900e9m50;9j15<722e:494?::a7f6=83?1<7>t$0;2>a4<@==n7E:83:&f2?4<a=<1<75f4683>>o3k3:17d;?:188k4>32900qo=l1;291?6=8r.:5<4k2:J73`=O<>90(h852:k72?6=3`><6=44i5a94?=n=90;66a>8583>>{e;j91<7;50;2x 4?62m80D99j;I647>"b>380e9850;9j02<722c?o7>5;h73>5<<g82?6=44}c1`0?6==3:1<v*>908g6>N3?l1C8:=4$d496>o3>3:17d:8:188m1e=831b9=4?::m2<1<722wi?i?50;794?6|,83:6i<4H55f?M20;2.n:7<4i5494?=n<>0;66g;c;29?l372900c<6;:188yg5dn3:197>50z&2=4<c<2B?;h5G4618 `0=?2c?:7>5;h64>5<<a<:1<75f19194?=h91>1<75rb2f3>5<2290;w)?61;f1?M20m2B?;>5+e781?l212900e9950;9j0f<722c><7>5;n3;0?6=3th8h?4?:483>5}#90;1h?5G46g8L1143-o=6?5f4783>>o3?3:17d:l:188m06=831d=5:50;9~f6b4290>6=4?{%3:5?b53A><i6F;729'a3<53`>=6=44i5594?=n<j0;66g:0;29?j7?<3:17pl<d783>0<729q/=4?5d39K02c<@==87)k9:39j03<722c?;7>5;h6`>5<<a<:1<75`19694?=zj:n?6=4::183!7>93n97E:8e:J736=#m?097d:9:188m11=831b8n4?::k64?6=3f;387>5;|`0`0<72<0;6=u+1839`7=O<>o0D99<;%g5>7=n<?0;66g;7;29?l2d2900e8>50;9l5=2=831vn>j8:186>5<7s-;2=7j=;I64a>N3?:1/i;4=;h65>5<<a==1<75f4b83>>o283:17b?74;29?xd4l10;684?:1y'5<7=l;1C8:k4H550?!c12;1b8;4?::k73?6=3`>h6=44i4294?=h91>1<75rb2ce>5<2290;w)?61;f1?M20m2B?;>5+e781?l212900e9950;9j0f<722c><7>5;n3;0?6=3th8mh4?:483>5}#90;1h?5G46g8L1143-o=6?5f4783>>o3?3:17d:l:188m06=831d=5:50;9~f6d7290>6=4?{%3:5?b53A><i6F;729'a3<53`>=6=44i5594?=n<j0;66g:0;29?j7?<3:17pl<ab83>0<729q/=4?5d39K02c<@==87)k9:39j03<722c?;7>5;h6`>5<<a<:1<75`19694?=zj:ki6=4::183!7>93n97E:8e:J736=#m?097d:9:188m11=831b8n4?::k64?6=3f;387>5;|`0ea<72<0;6=u+1839`7=O<>o0D99<;%g5>7=n<?0;66g;7;29?l2d2900e8>50;9l5=2=831vn>o6:186>5<7s-;2=7j=;I64a>N3?:1/i;4=;h65>5<<a==1<75f4b83>>o283:17b?74;29?xd4i10;684?:1y'5<7=l=1C8:k4H550?!c12>1b8;4?::k73?6=3`?;6=44i0:0>5<<g82?6=44}c1be?6==3:1<v*>908g6>N3?l1C8:=4$d496>o3>3:17d:8:188m1e=831b9=4?::m2<1<722wi?l950;794?6|,83:6i:4H55f?M20;2.n:794i5494?=n<>0;66g:0;29?l7?;3:17b?74;29?xd41k0;6>4?:1y'5<7=<>n0D99j;I647>o313:17d?=a;29?j70l3:17pl<9`83>6<729q/=4?546f8L11b3A><?6g;9;29?l75i3:17b?8d;29?xd4190;694?:1y'5<7=9:?0D99j;I647>o313:17d;=:188m4>f2900c<9k:188yg5>13:1?7>50z&2=4<3?m1C8:k4H550?l2>2900e<<n:188k41c2900qo=7e;290?6=8r.:5<4>349K02c<@==87d:6:188m04=831b=5o50;9l52b=831vn>77:180>5<7s-;2=7:8d:J73`=O<>90e9750;9j57g=831d=:j50;9~f6>d290?6=4?{%3:5?74=2B?;h5G4618m1?=831b9?4?::k2<d<722e:;i4?::a7<1=8391<7>t$0;2>11c3A><i6F;729j0<<722c:>l4?::m23a<722wi?5o50;694?6|,83:6<=:;I64a>N3?:1b844?::k66?6=3`;3m7>5;n34`?6=3th85;4?:283>5}#90;18:j4H55f?M20;2c?57>5;h31e?6=3f;<h7>5;|`0<=<72=0;6=u+1839563<@==n7E:83:k7=?6=3`?96=44i0:b>5<<g8=o6=44}c1:1?6=;3:1<v*>90873a=O<>o0D99<;h6:>5<<a88j6=44o05g>5<<uk93:7>54;294~"6180:?85G46g8L1143`>26=44i4094?=n91k1<75`16f94?=zj:3?6=4<:183!7>93><h6F;7d9K025<a=31<75f13c94?=h9>n1<75rb2:7>5<3290;w)?61;301>N3?l1C8:=4i5;94?=n=;0;66g>8`83>>i6?m0;66sm38194?5=83:p(<7>:55g?M20m2B?;>5f4883>>o6:h0;66a>7e83>>{e;181<7:50;2x 4?6289>7E:8e:J736=n<00;66g:2;29?l7?i3:17b?8d;29?xd41;0;6>4?:1y'5<7=<>n0D99j;I647>o313:17d?=a;29?j70l3:17pl<8183>1<729q/=4?51278L11b3A><?6g;9;29?l352900e<6n:188k41c2900qo=jc;291?6=8r.:5<4k2:J73`=O<>90(h852:k72?6=3`><6=44i5a94?=n=90;66a>8583>>{e;lh1<7;50;2x 4?62m80D99j;I647>"b>380e9850;9j02<722c?o7>5;h73>5<<g82?6=44}c1fe?6==3:1<v*>908g6>N3?l1C8:=4$d496>o3>3:17d:8:188m1e=831b9=4?::m2<1<722wi?h750;794?6|,83:6i<4H55f?M20;2.n:7<4i5494?=n<>0;66g;c;29?l372900c<6;:188yg5b03:197>50z&2=4<c:2B?;h5G4618 `0=:2c?:7>5;h64>5<<a=i1<75f5183>>i60=0;66sm3d594?3=83:p(<7>:e08L11b3A><?6*j6;08m10=831b8:4?::k7g?6=3`?;6=44o0:7>5<<uk9n:7>55;294~"6180o>6F;7d9K025<,l<1>6g;6;29?l202900e9m50;9j15<722e:494?::a7`3=83?1<7>t$0;2>a4<@==n7E:83:&f2?4<a=<1<75f4683>>o3k3:17d;?:188k4>32900qo=j4;291?6=8r.:5<4k2:J73`=O<>90(h852:k72?6=3`><6=44i5a94?=n=90;66a>8583>>{e;l91<7;50;2x 4?62m80D99j;I647>"b>380e9850;9j02<722c?o7>5;h73>5<<g82?6=44}c100?6=<3:1<v*>908270=O<>o0D99<;h6:>5<<a<81<75f19c94?=h9>n1<75rb216>5<3290;w)?61;301>N3?l1C8:=4i5;94?=n=;0;66g>8`83>>i6?m0;66sm32494?2=83:p(<7>:016?M20m2B?;>5f4883>>o2:3:17d?7a;29?j70l3:17pl<3683>1<729q/=4?51278L11b3A><?6g;9;29?l352900e<6n:188k41c2900qo=<8;290?6=8r.:5<4>349K02c<@==87d:6:188m04=831b=5o50;9l52b=831vn>:8:187>5<7s-;2=7?<5:J73`=O<>90e9750;9j17<722c:4l4?::m23a<722wi?9650;694?6|,83:6<=:;I64a>N3?:1b844?::k66?6=3`;3m7>5;n34`?6=3th8844?:583>5}#90;1=>;4H55f?M20;2c?57>5;h71>5<<a82j6=44o05g>5<<uk9?m7>54;294~"6180:?85G46g8L1143`>26=44i4094?=n91k1<75`16f94?=zj:>i6=4;:183!7>93;896F;7d9K025<a=31<75f5383>>o60h0;66a>7e83>>{e;<n1<7:50;2x 4?6289>7E:8e:J736=n<00;66g:2;29?l7?i3:17b?8d;29?xd4=l0;694?:1y'5<7=9:?0D99j;I647>o313:17d;=:188m4>f2900c<9k:188yg52n3:187>50z&2=4<6;<1C8:k4H550?l2>2900e8<50;9j5=g=831d=:j50;9~f607290?6=4?{%3:5?74=2B?;h5G4618m1?=831b9?4?::k2<d<722e:;i4?::a737=83>1<7>t$0;2>4523A><i6F;729j0<<722c>>7>5;h3;e?6=3f;<h7>5;|`035<72=0;6=u+1839`4=O<>o0D99<;%g5>7=n<?0;66g;c;29?l372900c<6;:188yg5093:187>50z&2=4<c92B?;h5G4618 `0=:2c?:7>5;h6`>5<<a<:1<75`19694?=zj:<h6=4::183!7>93n97E:8e:J736=#m?097d:9:188m11=831b8n4?::k64?6=3f;387>5;|`76c<72<0;6=u+1839`0=O<>o0D99<;%g5>7=n<?0;66g;7;29?l372900e<6::188k4>32900qo:=e;291?6=8r.:5<4k5:J73`=O<>90(h852:k72?6=3`><6=44i4294?=n91?1<75`19694?=zj=8o6=4::183!7>93n>7E:8e:J736=#m?097d:9:188m11=831b9=4?::k2<0<722e:494?::a07e=83?1<7>t$0;2>a3<@==n7E:83:&f2?4<a=<1<75f4683>>o283:17d?75;29?j7?<3:17pl;2c83>0<729q/=4?5d49K02c<@==87)k9:39j03<722c?;7>5;h73>5<<a82>6=44o0:7>5<<uk>9m7>55;294~"6180o96F;7d9K025<,l<1>6g;6;29?l202900e8>50;9j5=3=831d=5:50;9~f14?290>6=4?{%3:5?b23A><i6F;729'a3<53`>=6=44i5594?=n=90;66g>8483>>i60=0;66sm43594?3=83:p(<7>:e78L11b3A><?6*j6;08m10=831b8:4?::k64?6=3`;397>5;n3;0?6=3th?>;4?:483>5}#90;1h85G46g8L1143-o=6?5f4783>>o3?3:17d;?:188m4>22900c<6;:188yg25=3:197>50z&2=4<c=2B?;h5G4618 `0=:2c?:7>5;h64>5<<a<:1<75f19794?=h91>1<75rb507>5<2290;w)?61;f6?M20m2B?;>5+e781?l212900e9950;9j15<722c:484?::m2<1<722wi8?=50;794?6|,83:6i;4H55f?M20;2.n:7<4i5494?=n<>0;66g:0;29?l7?=3:17b?74;29?xd3:;0;684?:1y'5<7=l<1C8:k4H550?!c12;1b8;4?::k73?6=3`?;6=44i0:6>5<<g82?6=44}c615?6==3:1<v*>908g1>N3?l1C8:=4$d496>o3>3:17d:8:188m06=831b=5;50;9l5=2=831vn9<?:186>5<7s-;2=7j:;I64a>N3?:1/i;4=;h65>5<<a==1<75f5183>>o60<0;66a>8583>>{e<8l1<7;50;2x 4?62m?0D99j;I647>"b>380e9850;9j02<722c><7>5;h3;1?6=3f;387>5;|`75a<72<0;6=u+1839`0=O<>o0D99<;%g5>7=n<?0;66g;7;29?l372900e<6::188k4>32900qo:>c;291?6=8r.:5<4k5:J73`=O<>90(h852:k72?6=3`><6=44i4294?=n91?1<75`19694?=zj=;i6=4::183!7>93n>7E:8e:J736=#m?097d:9:188m11=831b9=4?::k2<0<722e:494?::a04g=83?1<7>t$0;2>a3<@==n7E:83:&f2?4<a=<1<75f4683>>o283:17d?75;29?j7?<3:17pl;1883>0<729q/=4?5d49K02c<@==87)k9:39j03<722c?;7>5;h73>5<<a82>6=44o0:7>5<<uk>:47>55;294~"6180o96F;7d9K025<,l<1>6g;6;29?l202900e8>50;9j5=3=831d=5:50;9~f170290>6=4?{%3:5?b23A><i6F;729'a3<53`>=6=44i5594?=n=90;66g>8483>>i60=0;66sm40494?3=83:p(<7>:e78L11b3A><?6*j6;08m10=831b8:4?::k64?6=3`;397>5;n3;0?6=3th?=84?:483>5}#90;1h85G46g8L1143-o=6?5f4783>>o3?3:17d;?:188m4>22900c<6;:188yg26<3:197>50z&2=4<c=2B?;h5G4618 `0=:2c?:7>5;h64>5<<a<:1<75f19794?=h91>1<75rb516>5<2290;w)?61;f6?M20m2B?;>5+e781?l212900e9950;9j15<722c:484?::m2<1<722wi8>:50;794?6|,83:6i;4H55f?M20;2.n:7<4i5494?=n<>0;66g:0;29?l7?=3:17b?74;29?xd3;:0;684?:1y'5<7=l<1C8:k4H550?!c12;1b8;4?::k73?6=3`?;6=44i0:6>5<<g82?6=44}c606?6==3:1<v*>908g1>N3?l1C8:=4$d496>o3>3:17d:8:188m06=831b=5;50;9l5=2=831vn9=>:186>5<7s-;2=7j:;I64a>N3?:1/i;4=;h65>5<<a==1<75f5183>>o60<0;66a>8583>>{e<::1<7;50;2x 4?62m?0D99j;I647>"b>380e9850;9j02<722c><7>5;h3;1?6=3f;387>5;|`76<<72<0;6=u+1839`0=O<>o0D99<;%g5>7=n<?0;66g;7;29?l372900e<6::188k4>32900qo:>e;291?6=8r.:5<4k5:J73`=O<>90(h852:k72?6=3`><6=44i4294?=n91?1<75`19694?=zj=;86=4::183!7>93n>7E:8e:J736=#m?097d:9:188m11=831b9=4?::k2<0<722e:494?::a044=83?1<7>t$0;2>a3<@==n7E:83:&f2?4<a=<1<75f4683>>o283:17d?75;29?j7?<3:17pl;6e83>6<729q/=4?513d8L11b3A><?6*j6;3b?lbf2900eil50;9l52b=831vn98=:180>5<7s-;2=7?=f:J73`=O<>90(h851`9j`d<722con7>5;n34`?6=3th9=54?:283>5}#90;1=?h4H55f?M20;2.n:7?j;hfb>5<<amh1<75`16f94?=zj8h=6=4<:183!7>93;9j6F;7d9K025<,l<1=h5fd`83>>ocj3:17b?8d;29?xd6i;0;6>4?:1y'5<7=9;l0D99j;I647>"b>3;n7djn:188mad=831d=:j50;9~f4g629086=4?{%3:5?75n2B?;h5G4618 `0=9l1bhl4?::kgf?6=3f;<h7>5;|`2e5<72:0;6=u+183957`<@==n7E:83:&f2?7b3`nj6=44ie`94?=h9>n1<75rb0;e>5<4290;w)?61;31b>N3?l1C8:=4$d495`=nlh0;66gkb;29?j70l3:17pl>9d83>6<729q/=4?513d8L11b3A><?6*j6;3f?lbf2900eil50;9l52b=831vn<7k:180>5<7s-;2=7?=f:J73`=O<>90(h851d9j`d<722con7>5;n34`?6=3th:5n4?:283>5}#90;1=?h4H55f?M20;2.n:7?j;hfb>5<<amh1<75`16f94?=zj83i6=4<:183!7>93;9j6F;7d9K025<,l<1=h5fd`83>>ocj3:17b?8d;29?xd61h0;6>4?:1y'5<7=9;l0D99j;I647>"b>3;n7djn:188mad=831d=:j50;9~f4?>29086=4?{%3:5?75n2B?;h5G4618 `0=9l1bhl4?::kgf?6=3f;<h7>5;|`2==<72:0;6=u+183957`<@==n7E:83:&f2?7b3`nj6=44ie`94?=h9>n1<75rb0;4>5<4290;w)?61;31b>N3?l1C8:=4$d495`=nlh0;66gkb;29?j70l3:17pl>9783>6<729q/=4?513d8L11b3A><?6*j6;3f?lbf2900eil50;9l52b=831vn<7::180>5<7s-;2=7?=f:J73`=O<>90(h851d9j`d<722con7>5;n34`?6=3th:594?:283>5}#90;1=?h4H55f?M20;2.n:7?j;hfb>5<<amh1<75`16f94?=zj8386=4<:183!7>93;9j6F;7d9K025<,l<1=h5fd`83>>ocj3:17b?8d;29?xd61;0;6>4?:1y'5<7=9;l0D99j;I647>"b>3;n7djn:188mad=831d=:j50;9~f712290?6=4?{%3:5?7482B?;h5G4618 `0=km1bhl4?::kgf?6=3`nh6=44o05g>5<<uk8:m7>54;294~"6180:?=5G46g8L1143-o=6?;4iec94?=nlk0;66gkc;29?j70l3:17pl>b983>1<729q/=4?51228L11b3A><?6*j6;06?lbf2900eil50;9j`f<722e:;i4?::a625=83?1<7>t$0;2>4563A><i6F;729'a3<6j2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm20a94?3=83:p(<7>:012?M20m2B?;>5+e7814>oci3:17djm:188mae=831bhi4?::m23a<722wi=oo50;794?6|,83:6<=>;I64a>N3?:1/i;4=0:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e:>31<7;50;2x 4?6289:7E:8e:J736=#m?09>6gka;29?lbe2900eim50;9j`a<722e:;i4?::a63b=83>1<7>t$0;2>4573A><i6F;729'a3<5k2com7>5;hfa>5<<ami1<75`16f94?=zj;;n6=4<:183!7>93;9j6F;7d9K025<,l<1=h5fd`83>>ocj3:17b?8d;29?xd6jj0;6>4?:1y'5<7=9;l0D99j;I647>"b>3;n7djn:188mad=831d=:j50;9~f747290?6=4?{%3:5?7482B?;h5G4618 `0=:<1bhl4?::kgf?6=3`nh6=44o05g>5<<uk;ii7>54;294~"6180:?=5G46g8L1143-o=6?;4iec94?=nlk0;66gkc;29?j70l3:17pl=2383>0<729q/=4?51238L11b3A><?6*j6;03?lbf2900eil50;9j`f<722coh7>5;n34`?6=3th:o=4?:483>5}#90;1=>?4H55f?M20;2.n:7<?;hfb>5<<amh1<75fdb83>>ocl3:17b?8d;29?xd3=j0;694?:1y'5<7=9::0D99j;I647>"b>3?:7djn:188mad=831bhn4?::m23a<722wi>;m50;794?6|,83:6<=>;I64a>N3?:1/i;4>3:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e:0l1<7=50;2x 4?6288m7E:8e:J736=#m?09?6gka;29?lbe2900c<9k:188yg4?k3:1?7>50z&2=4<6:o1C8:k4H550?!c12;90eio50;9j`g<722e:;i4?::a73d=83?1<7>t$0;2>4563A><i6F;729'a3<5<2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm34794?3=83:p(<7>:012?M20m2B?;>5+e7810>oci3:17djm:188mae=831bhi4?::m23a<722wi?9<50;794?6|,83:6<=>;I64a>N3?:1/i;4=4:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e;?k1<7;50;2x 4?6289:7E:8e:J736=#m?0986gka;29?lbe2900eim50;9j`a<722e:;i4?::a702=83?1<7>t$0;2>4563A><i6F;729'a3<5<2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm35394?3=83:p(<7>:012?M20m2B?;>5+e7810>oci3:17djm:188mae=831bhi4?::m23a<722wi>4k50;794?6|,83:6<=>;I64a>N3?:1/i;4=4:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e:1h1<7;50;2x 4?6289:7E:8e:J736=#m?0986gka;29?lbe2900eim50;9j`a<722e:;i4?::a73?=83?1<7>t$0;2>4563A><i6F;729'a3<5<2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm34194?3=83:p(<7>:012?M20m2B?;>5+e7810>oci3:17djm:188mae=831bhi4?::m23a<722wi?9>50;794?6|,83:6<=>;I64a>N3?:1/i;4=4:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e:0n1<7;50;2x 4?6289:7E:8e:J736=#m?0986gka;29?lbe2900eim50;9j`a<722e:;i4?::a6=g=83?1<7>t$0;2>4563A><i6F;729'a3<5<2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm37:94?3=83:p(<7>:012?M20m2B?;>5+e7810>oci3:17djm:188mae=831bhi4?::m23a<722wi?8<50;794?6|,83:6<=>;I64a>N3?:1/i;4=4:kge?6=3`ni6=44iea94?=nlm0;66a>7e83>>{e;:l1<7;50;2x 4?6289:7E:8e:J736=#m?0986gka;29?lbe2900eim50;9j`a<722e:;i4?::a6<e=83?1<7>t$0;2>4563A><i6F;729'a3<5<2com7>5;hfa>5<<ami1<75fde83>>i6?m0;66sm29;94?3=83:p(<7>:012?M20m2B?;>5+e7810>oci3:17djm:188mae=831bhi4?::m23a<722wi?:=50;694?6|,83:6<=?;I64a>N3?:1/i;4le:kge?6=3`ni6=44iea94?=h9>n1<75rb24f>5<3290;w)?61;304>N3?l1C8:=4$d49g`=nlh0;66gkb;29?lbd2900c<9k:188yg4f<3:187>50z&2=4<6;91C8:k4H550?!c12jo0eio50;9j`g<722coo7>5;n34`?6=3th8::4?:583>5}#90;1=>>4H55f?M20;2.n:784iec94?=nlk0;66gkc;29?j70l3:17pl<5083>1<729q/=4?51228L11b3A><?6*j6;48mag=831bho4?::kgg?6=3f;<h7>5;|`07`<72=0;6=u+1839566<@==n7E:83:&f2?0<amk1<75fdc83>>ock3:17b?8d;29?xd51k0;684?:1y'5<7=9:;0D99j;I647>"b>38?7djn:188mad=831bhn4?::kg`?6=3f;<h7>5;|`1<=<72<0;6=u+1839567<@==n7E:83:&f2?433`nj6=44ie`94?=nlj0;66gkd;29?j70l3:17pl=2483>6<729q/=4?513d8L11b3A><?6*j6;00?lbf2900eil50;9l52b=831vn<m<:180>5<7s-;2=7?=f:J73`=O<>90(h85229j`d<722con7>5;n34`?6=3th9>54?:583>5}#90;1=>>4H55f?M20;2.n:7?i;hfb>5<<amh1<75fdb83>>i6?m0;66sm1b494?2=83:p(<7>:013?M20m2B?;>5+e782b>oci3:17djm:188mae=831d=:j50;9~f61329086=4?{%3:5?75n2B?;h5G4618 `0=9;1bhl4?::kgf?6=3f;<h7>5;|`1fa<72;0;6=u+183957c<@==n7E:83:&f2?753`nj6=44o05g>5<<uk8in7>52;294~"6180:>h5G46g8L1143-o=6<<4iec94?=h9>n1<75rb3`:>5<5290;w)?61;31a>N3?l1C8:=4$d4957=nlh0;66a>7e83>>{e:k=1<7<50;2x 4?6288n7E:8e:J736=#m?0:>6gka;29?j70l3:17pl=b483>7<729q/=4?513g8L11b3A><?6*j6;31?lbf2900c<9k:188yg4e;3:1>7>50z&2=4<6:l1C8:k4H550?!c12880eio50;9l52b=831vn?l>:181>5<7s-;2=7?=e:J73`=O<>90(h85139j`d<722e:;i4?::a6d`=8381<7>t$0;2>44b3A><i6F;729'a3<6:2com7>5;n34`?6=3th85<4?:383>5}#90;1=?k4H55f?M20;2.n:7?=;hfb>5<<g8=o6=44}c1;b?6=:3:1<v*>90826`=O<>o0D99<;%g5>44<amk1<75`16f94?=zj:2o6=4=:183!7>93;9i6F;7d9K025<,l<1=?5fd`83>>i6?m0;66sm39`94?4=83:p(<7>:00f?M20m2B?;>5+e7826>oci3:17b?8d;29?xd4000;6?4?:1y'5<7=9;o0D99j;I647>"b>3;97djn:188k41c2900qo=77;296?6=8r.:5<4>2d9K02c<@==87)k9:008mag=831d=:j50;9~f6>229096=4?{%3:5?75m2B?;h5G4618 `0=9;1bhl4?::m23a<722wi?5=50;094?6|,83:6<<j;I64a>N3?:1/i;4>2:kge?6=3f;<h7>5;|`0<4<72;0;6=u+183957c<@==n7E:83:&f2?753`nj6=44o05g>5<<uk8h;7>52;294~"6180:>h5G46g8L1143-o=6<<4iec94?=h9>n1<75rb2;`>5<5290;w)?61;31a>N3?l1C8:=4$d4957=nlh0;66a>7e83>>{e:ok1<7=50;2x 4?6288m7E:8e:J736=#m?09?6gka;29?lbe2900c<9k:188yg4aj3:1?7>50z&2=4<6:o1C8:k4H550?!c12;90eio50;9j`g<722e:;i4?::a6ce=8391<7>t$0;2>44a3A><i6F;729'a3<5;2com7>5;hfa>5<<g8=o6=44}c0e`?6=;3:1<v*>90826c=O<>o0D99<;%g5>75<amk1<75fdc83>>i6?m0;66sm2gg94?5=83:p(<7>:00e?M20m2B?;>5+e7817>oci3:17djm:188k41c2900qo<if;297?6=8r.:5<4>2g9K02c<@==87)k9:318mag=831bho4?::m23a<722wi?=>50;194?6|,83:6<<i;I64a>N3?:1/i;4=3:kge?6=3`ni6=44o05g>5<<uk9;=7>53;294~"6180:>k5G46g8L1143-o=6?=4iec94?=nlk0;66a>7e83>>{e:o=1<7=50;2x 4?6288m7E:8e:J736=#m?09?6gka;29?lbe2900c<9k:188yg55m3:197>50z&2=4<6;81C8:k4H550?!c12m<0eio50;9j`g<722coo7>5;hfg>5<<g8=o6=44}c11`?6==3:1<v*>908274=O<>o0D99<;%g5>77<amk1<75fdc83>>ock3:17djk:188k41c2900qo=85;297?6=8r.:5<4>2g9K02c<@==87)k9:008mag=831bho4?::m23a<722wi>k750;094?6|,83:6<<6;I64a>N3?:1bh44?::m23a<722wi?;h50;094?6|,83:6<<6;I64a>N3?:1bh44?::m23a<722wi??m50;794?6|,83:6<=<;I64a>N3?:1/i;4=1:kge?6=3`ni6=44iea94?=nlm0;66a>2c83>>{e:;>1<7850;2x 4?628997E:8e:J736=#m?09:6gka;29?lbe2900eim50;9j`a<722e:>o4?::m23a<722wi=n<50;494?6|,83:6<==;I64a>N3?:1/i;4=6:kge?6=3`ni6=44iea94?=nlm0;66a>2c83>>i6?m0;66sm44c94?>0290;wE:83:&2=4<60?1Q884n{8813?`=9?0:87?l:02952<6=3;o6p*>2987?!bb2<1/hk4:;%g3>0=#m80>7)k=:49'a6<23-o?685+cc8`b>"b?3?0(h655:&f=?3<,lk196*jb;78 `e==2.nh7;4$dg91>"bn3?0(k>55:&e5?3<,o8196*i3;78 c2==2.m97;4$g491>"a?3?0(k655:&e=?3<,ok196*ib;78 ce==2.mh7;4$gg91>"an3?0(<>?:49'557==2.:<?4:;%337?3<,8:?685+11791>"68?0>7)??7;78 46?2<1/==755:&24d<23-;;n7;4$02`>0=#99n196*>0d86?!77n3?0(<??:49'547==2.:=?4:;%327?3<,8;?685+10791>"69?0>7)?>7;78 47?2<1/=<755:&25d<23-;:n7;4$03`>0=#98n196*>1d86?!76n3?0(<<?:49'577==2.:>?4:;%317?3<,88?685+13791>"6:?0>7)?=7;18 4>e2=80(h;55:&2<a<43-><47?77:&73<<60>1e5h4?;oa3>5=i9121=?5a19;961=i<>k1=?5a46`961=#kj0hj6*>8d87?l2f2900e9l50;9j020=831bol4?::k;<?6=,82965l4n0:2>6=<a83;6=44i2594?"60;08:6`>8083?>o4=3:1(<6=:248j4>62810e>:50;&2<7<4>2d:4<4=;:k07?6=,8296>84n0:2>6=<a:81<7*>83802>h6080?76g<1;29 4>52:<0b<6>:498m66=83.:4?4<6:l2<4<132c9j7>5$0:1>60<f82:6:54i3g94?"60;08:6`>808;?>o5l3:1(<6=:248j4>62010e9?50;&2<7<382d:4<4?;:k0b?6=,82969>4n0:2>4=<a:o1<7*>83874>h6080976g<d;29 4>52=:0b<6>:298m6e=83.:4?4;0:l2<4<332c8n7>5$0:1>16<f82:6854i2c94?"60;0?<6`>8085?>o413:1(<6=:528j4>62>10e>650;&2<7<382d:4<47;:k6e?6=,8296874n0:2>5=<a<21<7*>8386=>h6080:76g:7;29 4>52<30b<6>:398m00=83.:4?4:9:l2<4<432c>97>5$0:1>0?<f82:6954i4694?"60;0>56`>8086?>o1;3:1(<6=:4;8j4>62?10e;<50;&2<7<212d:4<48;:k55?6=,8296874n0:2>==<a?:1<7*>8386=>h6080276g:f;29 4>52<30b<6>:`98m0c=83.:4?4:9:l2<4<e32c>h7>5$0:1>0?<f82:6n54i4a94?"60;0>56`>808g?>o2j3:1(<6=:4;8j4>62l10e8=50;&2<7<212d:4<4i;:k;3?6=,8296584n0:2>5=<a1?1<7*>838;2>h6080:76am8;29 4>52k=0b<6>:198kg0=83.:4?4m7:l2<4<632ei87>5$0:1>g1<f82:6?54oc194?"60;0i;6`>8080?>ie:3:1(<6=:c58j4>62=10co?50;&2<7<e?2d:4<4:;:ma4?6=,8296o94n0:2>3=<ghl1<7*>838a3>h6080<76ane;29 4>52k=0b<6>:998kdb=83.:4?4m7:l2<4<>32ejo7>5$0:1>g1<f82:6l54o``94?"60;0i;6`>808a?>if13:1(<6=:c58j4>62j10cl650;&2<7<e?2d:4<4k;:mb3?6=,8296o94n0:2>`=<gh<1<7*>838a3>h6080m76an5;29 4>52k=0b<6>:028?jg3290/=5<5b69m5=7=9810cl=50;&2<7<e?2d:4<4>2:9le7<72-;3>7l8;o3;5?7432ej=7>5$0:1>g1<f82:6<:4;nc3>5<#9181n:5a193950=<gkl1<7*>838a3>h6080::65`bd83>!7?:3h<7c?71;34?>iel3:1(<6=:c58j4>628207bll:18'5=4=j>1e=5?51898kgd=83.:4?4m7:l2<4<6i21dnl4?:%3;6?d03g;3=7?m;:ma=?6=,8296o94n0:2>4e<3fh>6=4+1909f2=i91;1=i54o`c94?"60;0i;6`>8082a>=h1o0;6)?72;`4?k7?93;m76al8;29 4>52j=0b<6>:198kf0=83.:4?4l7:l2<4<632eh97>5$0:1>f1<f82:6?54ob694?"60;0h;6`>8080?>od13:17d?7f;29?l20?3:17d9k:18'5=4=?j1e=5?50:9j3g<72-;3>79l;o3;5?7<3`=26=4+19093f=i91;1>65f7983>!7?:3=h7c?71;18?l10290/=5<57b9m5=7=<21b;;4?:%3;6?1d3g;3=7;4;h56>5<#9181;n5a19392>=n?=0;6)?72;5`?k7?93=07d9<:18'5=4=?j1e=5?58:9j37<72-;3>79l;o3;5??<3`=:6=4+19093f=i91;1m65f7183>!7?:3=h7c?71;`8?l0b290/=5<57b9m5=7=k21b:i4?:%3;6?1d3g;3=7j4;h4`>5<#9181;n5a1939a>=n>k0;6)?72;5`?k7?93l07d8n:18'5=4=?j1e=5?51198m3?=83.:4?48c:l2<4<6921b:54?:%3;6?1d3g;3=7?=;:k53?6=,8296:m4n0:2>45<3`<=6=4+19093f=i91;1=954i7794?"60;0<o6`>80821>=n0=0;6)?72;5`?k7?93;=76g73;29 4>52>i0b<6>:058?l>5290/=5<57b9m5=7=9110e5?50;&2<7<0k2d:4<4>9:9j<5<72-;3>79l;o3;5?7f32c<j7>5$0:1>2e<f82:6<l4;h5f>5<#9181;n5a19395f=<a>k1<7*>8384g>h6080:h65f6g83>!7?:3=h7c?71;3f?>o1<3:1(<6=:6a8j4>628l07d6l:18'5=4=0k1e=5?50:9j<d<72-;3>76m;o3;5?7<3`226=4+1909<g=i91;1>65`9583>!7?:3387c?71;28?j?5290/=5<5929m5=7=921d5<4?:%3;6??43g;3=7<4;n;3>5<#91815>5a19397>=h0o0;6)?72;;0?k7?93>07b6j:18'5=4=1:1e=5?55:9l=a<72-;3>77<;o3;5?0<3f3h6=4+1909=6=i91;1;65`9c83>!7?:3387c?71;:8?j?f290/=5<5929m5=7=121d544?:%3;6??43g;3=7o4;n;;>5<#91815>5a1939f>=h1>0;6)?72;;0?k7?93i07b79:18'5=4=1:1e=5?5d:9l=0<72-;3>77<;o3;5?c<3f2o6=4+1909=6=i91;1j65`c283>!7?:3i97c?71;28?je6290/=5<5c39m5=7=921v8;i:185[32n279;84kb:?136<ci279;44kd:?12a<ck279:n4ka:p10b=83=nwS:66:\622=Y=??0R969;_756>X2981U9;84^431?[2><2T?5>5Q4808Z1?63W>2<6P;8g9]0=c<V=2o7S:7c:\7<g=Y=>80R89>;_744>X2>o1U9;k4^44g?[31k2T>:o5Q57c8Z00>3W?>o6P:5c9]10g<V<?27S;:8:\612=Y=<<0R8;:;_760>;3?o0o;63;68864>;3=l0><63;69864>;3>>0><63;67864>;3?80><63;71864>;5jj0>>63=b`866>;5j10>>63=b7866>;5j=0>>63=b3866>;5j90>>63=ad866>;5mm0:4l52287917=::0>19?52281917=::0819?52283917=::1819?52293917=::1:19?5226d917=::>o19?52382917=:;1o19?5239a917=:;1k19?5239:917=:;1<19?52396917=:;1819?52392917=:;:>19?52327917=:;:<19?52325917=:;:219?52355917=:;=219?5235;917=:;=k19?5235`917=:;<n19?5234g917=:;<l19?52372917=:;?;19?5244c9<==:<<k1=5h4=57b>11034>>m79k;<66e?1e34>>m796;<66e?1?34>>m798;<66e?1134>>m79:;<66e?1334>>m79<;<66e?1534>>m79>;<66e?1734>>m78j;<66e?0c34>>m78l;<66e?0e34>>m78n;<66e?0>34>>m787;<66e?0034>>m789;<66e?0234>>m76;;<66e?>434>>m76=;<66e?>634>>m76?;<66e?1a34>>m79j;<66e?1f34>>m78i;<66e?0334>>m76l;<66e?>f34>>m766;|q02f<72;qU8594=24`>4>33ty>:<4?:2y]137<5=<26<6<;<66a?7?;2wx>:950;0xZ061348<;7?74:p122=839pR89;;<140?bf349<97jn;|q616<72?2pR8;<;<0b6?2134>=<7:9;<66b?2134>>i7:9;<65<?2134>=;7:9;<652?21348::7:9;<021?21348:87:9;<027?21348:>7:9;<025?21348:<7:9;<03b?21348;i7:9;<056?21348==7:9;<054?21348>j7:9;<06a?21348>h7:9;<06g?21348>n7:9;<06e?21348><7:9;<07b?21348?i7:9;<07`?21348?o7:9;<07f?21348?m7:9;<07=?21348?47:9;<073?2134;o47:9;<3g3?2134;o:7:9;<3g1?2134;o87:9;<3g7?2134;o>7:9;<3g5?2134;o<7:9;<0g=?21348o47:9;<0g3?21348o:7:9;<0g1?21348o87:9;<0g6?21348o=7:9;<0g7?21348nn7:9;<0fe?21348n57:9;<0f<?21348n;7:9;<0f2?21348n97:9;<0f0?21348n?7:9;<042?21348<;7:9;<045?21348<<7:9;<61b?2134>9i7:9;<61`?2134>9o7:9;<61f?2134>9m7:9;<61<?2134>9;7:9;<612?2134>997:9;<610?2134>9?7:9;<616?2134>9=7:9;<614?2134>:j7:9;<62`?2134>:o7:9;<62f?2134>:m7:9;<62=?2134>:47:9;<623?2134>::7:9;<621?2134>:87:9;<601?2134>887:9;<607?2134>8>7:9;<605?2134>8<7:9;<61=?2134>:i7:9;<627?2134>:>7:9;<66e?2e3ty8;<4?:3y]15e<5:=:6<6;;|q62=<72?8pR887;<65f?2134>=57:9;<65e?2134><=7:9;<644?2134;i87:9;<3a7?2134;i>7:9;<3a5?2134;i<7:9;<3bb?2134;ji7:9;<3b`?2134;jo7:9;<3eg?2134;mn7:9;<3ee?2134;m57:9;<3e<?2134;m;7:9;<3e2?2134;m97:9;<3e0?2134;nm7:9;<3f=?2134;n47:9;<3f3?2134;n:7:9;<3f1?2134;n87:9;<3f7?2134;n>7:9;<00g?213488n7:9;<00e?21348857:9;<00<?213488;7:9;<002?21348897:9;<000?213488?7:9;<123?21349:j7:9;<12a?21349:h7:9;<12g?21349:n7:9;<12e?21349:57:9;<12<?21349::7:9;<11e?21349ih7:9;<1af?21349io7:9;<1aa?21349ij7:9;<1`6?21349h<7:9;<1`5?21349h?7:9;<1`0?21349o=7:9;<1`b?21349o<7:9;<1g6?21349o?7:9;<1g2?21349o87:9;<1g1?21349o;7:9;<1g<?21349jj7:9;<1ba?21349i<7:9;<1bg?21349jn7:9;<1b`?21349j57:9;<1b<?21349jm7:9;<1b3?21349no7:9;<1ff?21349nm7:9;<1f=?21349n47:9;<1f3?21349n:7:9;<1f1?21349n87:9;<1f7?21349<<7:9;<145?21349=o7:9;<66e?2f3ty8>l4?:3y]167<5:8j6<6;;|q0b3<72;qU8i74=53a>4>33ty8j84?:3y]0a><5=;j6<6;;|q0b1<72;qU8i94=53:>4>33ty8j>4?:3y]0a0<5=;36<6;;|q0b7<72;qU8i;4=534>4>33ty8j<4?:3y]0a2<5=;=6<6;;|q0b5<72;qU8i<4=536>4>33ty8ik4?:3y]0a7<5=;?6<6;;|q754<72;qU8i>4=516>4>33ty?==4?:3y]0f`<5=9?6<6;;|q74c<72;qU8nk4=510>4>33ty?<h4?:3y]0fb<5=996<6;;|q74a<72;qU8nm4=512>4>33ty?<n4?:3y]0fd<5=9;6<6;;|q74g<72;qU8no4=50:>4>33ty?<94?:3y]0f?<5=;n6<6;;|q0b<<72;qU8n94=530>4>33ty8ih4?:3y]0f0<5=;96<6;;|q74d<72;qU8n;4=50e>4>33ty?<44?:3y]0f2<5=8n6<6;;|q74=<72;qU8n=4=50g>4>33ty?<:4?:3y]0f4<5=8h6<6;;|q743<72;qU8n?4=50a>4>33ty?<84?:3y]0f6<5=8j6<6;;|q746<72;qU8oh4=50;>4>33ty?<?4?:3y]0gc<5=8<6<6;;|q744<72;qU8ih4=505>4>33ty?<=4?:3y]0ac<5=8>6<6;;|q0bc<72;qU8ij4=507>4>33ty8jh4?:3y]0ae<5=886<6;;|q0ba<72;qU8il4=501>4>33ty8jn4?:3y]0ag<5=8:6<6;;|q0bg<72;qU8i=4=503>4>33ty8jl4?:3y]0f><5=;m6<6;;|q0b=<72;qU8oj4=53g>4>33ty8j:4?:3y]0ge<5=;h6<6;;|q7f7<72;qU8l>4=57b>=1<uz>i<7>52z\7=c=:<<k19l5rs5ce>5<5sW>2i63;5`86<>{t<ho1<7<t^5;g?822i3?<7p};ae83>7}Y<0i019;n:448yv2fk3:1>vP;9c9>00g==<1v9om:181[2>i27?9l4:4:p0dg=838pR976;<66e?043ty?no4?:3y]0d><5=?j6;<4}r6ae?6=:rT?m:5244c9<0=z{=h26=4={_6b2>;3=h0==6s|4c:94?4|V=k>70::a;43?xu3j>0;6?uQ4`68913f2<l0q~:m6;296~X3i:1688o55d9~w1d22909wS:n2:?71d<2l2wx8o:50;0xZ1g634>>m7;l;|q7f6<72;qU8464=57b>0d<uz>j57>52z\7=2=:<<k19>5rs01:>5<ds4>3<7?8f:?1f`<31279mh4;9:?1a`<2:279ii4;9:?1=4<31279;h4;9:?0=7<312784=4;9:?071<312788:4;9:?01a<312wx>l=50;0x97g52<:01?o;:05g?xu3><0;6<6t=3c1>4>434>=47?74:?1`<<3k279h54;c:?1`2<3k279h;4;c:?1`0<3k279h94;c:?1`7<3k279h<4>829>6a5=<j16>hl54b9>6`g=<j16>h754b9>6`>=<j16>h954b9>6`0=<j16>h;54b9>6`2=<j16>h=54b9>620=91901?98:0:0?84093>h70<80;6`?xu5i;0;69u22`095=2<5;=86ij4=57`>ag<5;<h6il4}r66b?6=;r7?:=4:0:?71c<60=1688k5469~w1072909w0:90;3;0>;3>;0om6s|47c94?5|5=<i68>4=54:>11<5=<j6<6;;|q72g<72;q68;l51968910c2mk0q~::e;297~;3=o0><63;5d82<1=:<?81ho5rs54:>5<4s4>=57?74:?72d<2827?:i4kb:p037=83>p1987:0:0?821?3;3?63;6782<6=:<?81=:j4}r650?6=:?q68;95196897712=i01??::5a897732=i01??<:5a897752=i01??>:5a897772=i01?>i:5a8976b2=i01?8=:5a897062=i01?8?:5a8973a2=i01?;j:5a8973c2=i01?;l:5a8973e2=i01?;n:5a897372=i01?:i:5a8972b2=i01?:k:5a8972d2=i01?:m:5a8972f2=i01?:6:5a8972?2=i01?:8:5a894b?2=i01<j8:5a894b12=i01<j::5a894b32=i01<j<:5a894b52=i01<j>:5a894b72=i0q~:93;2962}:<?<1=5:4=50e>4>234>9i7?75:?76a<60<168?m51978914e282>70:=a;3;1>;3:10:485243595=3<5=8=6<6:;<611?7?=27?>94>849>075=91?019<=:0:6?82593;3963;2182<0=:<8l1=5;4=53g>4>234>:o7?75:?75g<60<168<o51978917>282>70:>8;3;1>;39>0:485240495=3<5=;>6<6:;<620?7?=27??84>849>062=91?019=<:0:6?824:3;3963;3082<0=:<::1=5;4=50:>4>234>:i7?75:?756<60<168<<51978913d2mh019;n:0;3?xu3>j0;6>u246395=5<5==;6<6<;<65`?70l2wx8;h50;1082093;3863<1687g>;49o0?o63<1d87g>;49m0?o63<1b87g>;49k0?o63<1`87g>;4900?o63<1987g>;49?0?o63<be87g>;4jk0?o63<bb82<6=:;ko18n523cd90f=:;j818n523b290f=:;j;18n523b190f=:;j>18n523e390f=:;jl1=5=4=2f3>1e<5:n969m4=2f0>1e<5:n=69m4=2f7>1e<5:n>69m4=2f4>1e<5:n369m4=2ce>1e<5:kn69m4=2`3>1e<5:kh69m4=2ca>1e<5:ko69m4=2c:>1e<5:k36<6<;<1be?2d349j;7?73:?0af<3k278io4;c:?0ad<3k278i44;c:?0a=<3k278i:4;c:?0a3<3k278i84;c:?0a1<3k278i>4;c:p03c=838jw0:80;3;0>;6j=0?o63>b287g>;6j;0?o63>b087g>;6j90?o63>ag87g>;6il0?o63>ae87g>;6ij0?o63>fb87g>;6nk0?o63>f`87g>;6n00?o63>f987g>;6n>0?o63>f787g>;6n<0?o63>f587g>;6mh0?o63>e887g>;6m10?o63>e687g>;6m?0?o63>e487g>;6m=0?o63>e287g>;6m;0?o63=3b87g>;5;k0?o63=3`87g>;5;00?o63=3987g>;5;>0?o63=3787g>;5;<0?o63=3587g>;5;:0?o63<2`87g>;4?90?o63<7087g>;4>j0?o6s|24;94?3|5;;=68>4=341>4>3348:47jm;<02e?bf348:o7jk;|q14a<72:q6><85196897?a2mk01?6l:ec8yv46?3:1>v3=14864>;5910:;i5rs32`>5<4s48:97?74:?1=`<cj2794o4kb:p64?=838p1??;:428977f28=o7p}=0c83>6}::8>1=5:4=3;f>ab<5;2i6ij4}r02f?6=>r79=>4:0:?15f<6?m16><k5dc9>676=lh16>?<5db9>672=lm1v?>n:180846;3;3863=9e8gf>;50h0on6s|20f94?4|5;;968>4=33f>41c3ty9<44?:2y>644=91>01?7k:ef897>f2mn0q~<>f;296~;5980><63=21823a=z{;:36=4<{<025?7?<2795n4kb:?1<<<cj2wx>??50;0x97772<:01?<=:05g?xu58>0;6>u220295=2<5;3h6ij4=3::>ab<uz89?7>52z?14c<28279>84>7e9~w7612908w0<?f;3;0>;51k0on63=898gf>{t:;=1<7<t=32f>06<5;836<9k;|q140<72:q6>=k5196897?e2mn01?67:ef8yv7a;3:19v3>b5864>;6nj0:49521c49`g=:9k21hl521cc9`a=z{8ki6=4:{<3a0?7?<278:o4ka:?010<ci2788?4ka:?1b2<cj2wx=o;50;0x94d42<:01<l9:05g?xu6ih0;68u21c195=2<5:<i6im4=276>ae<5:>96im4=222>ad<uz;i;7>52z?2f7<2827:n54>7e9~w4g>290>w0?m2;3;0>;4>h0om63<558ge>;4<80om63<018gf>{t9k31<78t=0`2>06<58hj6<9k;<3ag?be34;ii7jn;<3`4?bd34;h>7jk;|q2e=<72<q6=o?51968960f2mi01>;;:ea896262mi01?hi:e`8yv7ej3:1>v3>b1864>;6jj0:;i5rs0c4>5<2s4;i<7?74:?02<<ci2789>4ka:?005<ci279jh4kb:p5gb=838p1<oi:42894db28=o7p}>a783>0}:9hl1=5:4=24:>ae<5:?86im4=263>ae<5;lo6il4}r3ab?6=:r7:mh4:0:?2g5<6?m1v<o::18687fm3;3863<698ge>;4=;0om63<3g8ge>;5nj0on6s|1b394?4|58ko68>4=0a0>41c3ty:m94?:4y>5db=91>01>87:ea896352mi01>=i:ea897`e2mh0q~?l5;296~;6ij0><63>c7823a=z{8k86=4:{<3bg?7?<278::4ka:?014<ci278?h4ka:?1bd<cj2wx>9850;0x97052<:01?;?:0:7?xu5<<0;6?u2273915=::=l1=5:4}r06<?6=<r79:<4>859>64>=lh16><o5dc9>64e=lj1v?:;:18184183?;70<;e;3;0>{t:<=1<7=t=343>4>3348:m7jl;<02g?be3ty98>4?:3y>60`==916>9j51968yv42>3:1>v3=5g82<1=::8i1hl5rs361>5<5s48>i7;?;<07g?7?<2wx>8;50;7x973b282?70<>e;fb?84583ni70<=2;fg?845<3nh7p}=4083>7}::<n19=5225`95=2<uz8>87>54z?11a<60=16>?>5db9>674=lh16>?:5dc9~w7272909w0<:c;73?843i3;386s|24194?5|5;?h6<6;;<016?be348987jn;|q17c<72;q6>8l5519>61?=91>0q~<:2;297~;5=k0:49522379`d=::;21ho5rs31f>5<5s48>m7;?;<07<?7?<2wx>8?50;0x973f282?70<=8;fb?xu6m80;6?u21ga915=:9lk1=5:4}r3f4?6=:r7:jo4:0:?2a<<60=1v<h=:18787aj3;3863>b78ge>;6j10on63>b`8gg>{t9ml1<7<t=0db>06<58o36<6;;|q2b4<72:q6=ko5196894d?2mi01<ln:e`8yv7cm3:1>v3>f8864>;6m>0:495rs0d3>5<5s4;m57?74:?2fd<ci2wx=ij50;0x94`?2<:01<k9:0:7?xu6mo0;68u21g:95=2<58hh6io4=0`f>ad<58i;6ij4=0a1>ae<uz;oo7>52z?2b2<2827:i84>859~w4cb290?w0?i7;3;0>;6jl0oo63>c18ge>;6k;0on6s|1e`94?4|58l=68>4=0g7>4>33ty:ii4?:2y>5c0=91>01<m?:e`894e52mk0q~?ka;296~;6n<0><63>e282<1=z{8oh6=4<{<3e1?7?<27:o>4ka:?2g3<cj2wx=i750;0x94`32<:01<k=:0:7?xu6mk0;6?u21g695=2<58i=6io4}r006?6=:r799=4:0:?17f<60=1v?=>:181843n3?;70<<b;3;0>{t:::1<7<t=36f>06<5;9j6<6;;|q16c<72;q6>9j5519>66?=91>0q~<=e;296~;5<j0><63=3982<1=z{;8o6=4={<07f?373488;7?74:p67e=838p1?:n:4289751282?7p}=2c83>7}::=319=5222795=2<uz89m7>52z?10=<28279?94>859~w74>2909w0<;7;73?844;3;386s|22f94?7|5;><6<6;;|q2gc<72;q6=ho5519>5a>=91>0q~?le;296~;6m00><63>d682<1=z{8io6=4={<3f<?3734;o:7?74:p5fe=838p1<k8:42894b2282?7p}>cc83>7}:9l<19=521e695=2<uz;hm7>52z?2a0<2827:h>4>859~w4e>2909w0?j4;73?87c:3;386s|1b:94?4|58o868>4=0f2>4>33ty:o:4?:3y>5`4==916=i>51968yv4b:3:19v3>d9864>;5mk0:49521809`d=::1i1ho5244c904=z{8lo6=4={<3g3?3734;2>7?8d:p5cc=838p1<j9:42894?428=o7p}>fg83>7}:9m?19=52186952b<uz8;<7>52z?2`1<2827:584>7e9~w7662909w0?k3;73?87>>3;<h6s|21094?4|58n968>4=0;4>41c3ty9<>4?:3y>5a7==916=46516f8yv47<3:1>v3>d1864>;6100:;i5rs2g1>5<3s488o7;?;<1fg?7?<27:5l4ka:?71d<4?2wx>;=50;0x975e2<:01<7n:05g?xu5>=0;6?u222c915=:90h1=:j4}r051?6=:r79?44:0:?2=f<6?m1v?89:18184403?;70?6d;34`>{t:?=1<7<t=314>06<583n6<9k;|q12=<72;q6>>85519>5<`=9>n0q~<99;296~;5;<0><63>a1823a=z{;<j6=4={<000?3734;j=7?8d:p63d=838p1?=<:42894g528=o7p}=eb83>43|5;n26994=3f;>11<5;n<6994=3f5>11<5;n>6994=3f7>11<5;n96994=3f2>11<5;n86994=3ga>11<5;oj6994=3g:>11<5;o36994=3g4>11<5;o=6994=3g6>11<5;o?6994=3g0>11<5=?h6im4=34`>41c348j87jm;|q1ea<72;q6>i75519>6f0=9>n0q~<k0;290~;5l00:49522d`915=::0l1ho522b59`d=z{;kh6=4={<0g<?37348h97?8d:p6f`=83>p1?j7:0:7?84bi3?;70<6e;fb?84el3nj7p}=ac83>7}::m=19=522b6952b<uz8hi7>54z?1`2<60=16>h75519>6<c=lj16>ol5d`9~w7gf2909w0<k6;73?84d;3;<h6s|2bf94?2|5;n=6<6;;<0f<?373482h7jn;<0a=?bf3ty9m44?:3y>6a3==916>n<516f8yv4dk3:18v3=d482<1=::l=19=5228f9`f=::k=1hl5rs3c;>5<5s48o87;?;<0`5?70l2wx>nl50;6x97b3282?70<j6;73?84>k3nj70<m5;fb?xu5i?0;6?u22e0915=::kl1=:j4}r0`=?6=<r79h?4>859>6`2==916>4l5d`9>6g7=lh1v?o::18184c93?;70<me;34`>{t:j21<7:t=3f2>4>3348n?7;?;<0:f?bd348jj7jn;|q1e2<72;q6>i=5519>6f6=9>n0q~<la;290~;5l:0:49522d7915=::0i1hn522c19`d=z{;hh6=4={<0`2?2>348io7?8d:p6f1=838p1?m9:00b?84d?3;<h6s|2cc94?5|5;i>6974=3``>1?<5;hj6<9k;|q1fa<72:q6>n;513c897dd282j70<md;34`>{t:k21<7=t=3a7>1?<5;hj6974=3`;>41c3ty9no4?:2y>6f2=9;k01?ln:0:b?84ej3;<h6s|2c494?5|5;i86974=3`;>1?<5;h=6<9k;|q1f<<72:q6>n=513c897d?282j70<m9;34`>{t:k>1<7=t=3a1>1?<5;h=6974=3`7>41c3ty9n:4?:2y>6f4=9;k01?l9:0:b?84e?3;<h6s|2c094?5|5;i:6974=3`7>1?<5;h96<9k;|q1f0<72:q6>n?513c897d3282j70<m5;34`>{t:k:1<7=t=3a3>1?<5;h96974=3`3>41c3ty9n>4?:2y>6f6=9;k01?l=:0:b?84e;3;<h6s|2`g94?5|5;hm6974=3`3>1?<5;kn6<9k;|q1f4<72:q6>oh513c897d7282j70<m1;34`>{t:hl1<7=t=3`f>44f348ji7?7a:?1ec<6?m1v?k>:18684bi3;3863>928ge>;61;0on63=8c8ge>;3=h08j6s|2d294?3|5;o26<6;;<3:0?bf34;2?7jm;<0;f?bd34>>m7=j;|q1`c<72<q6>h65196894?22mk01<7;:e`897>f2mk019;n:2f8yv4cm3:19v3=e682<1=:90<1hl521879`g=::1k1hn5244c97f=z{;no6=4:{<0f2?7?<27:5:4ka:?2=3<cj279444ka:?71d<4j2wx>im50;7x97c2282?70?68;fb?87>?3ni70<79;f`?822i39j7p}=dc83>0}::l>1=5:4=0;:>ag<58336il4=3:;>ag<5=?j6>74}r0ge?6=<r79i>4>859>5<?=lk16>565db9>00g=;11v?97:180840>3?;70<87;73?84013;<h6s|26494?4|5;==6<6;;<04=?bf3ty9;?4?:3y>627==916>:=516f8yv41n3:1:v3=7082<1=::>?1hn522619`f=::>31hn5227f9`d=::?i1hi5rs357>5<5s48<<7;?;<041?70l2wx>;k50;4x9717282?70<85;fb?840;3ni70<89;fa?841l3ni70<9c;f`?xu5n?0;6?u231090<=::o<1=:j4}r0e3?6=:r78<?4>2`9>6c1=9>n0q~==0;296~;48;0:;i52305915=z{;l>6=4<{<13f?2>348m:7:6;<0e1?70l2wx?=?50;1x966e288j70<i6;3;e>;4880:;i5rs20:>5<5s49;n7?8d:?05c<282wx?nj50;7x97`12<801>j8:0:7?85bj3?;70=;2;fg?85793nj7p}=f583>6}:;9k184522g790<=::o>1=:j4}r134?6=;r78<l4>2`9>6c3=91k01>>?:05g?xu4:10;6?u231c952b<5:;n68>4}r1`g?6==r79j84:2:?0`3<60=16?ho5519>717=lk16?=>5d`9~w7`42908w0=?9;6:?84a<3>270<i3;34`>{t:ol1<7=t=22:>44f348m87?7a:?1bc<6?m1v><8:18185713;<h63<1e864>{t;jh1<7;t=3d7>04<5:n>6<6;;<1f=?37349?=7jk;<0eb?bf3ty9j?4?:2y>75>=<016>k=5489>6c4=9>n0q~<ie;297~;4810:>l522g195=g<5;ln6<9k;|q063<72;q6?=6516f8967d2<:0q~=la;291~;5n:0>>63<d582<1=:;l219=523529`g=::oo1hl5rs3d2>5<4s49;;7:6;<0e6?2>348m=7?8d:p6cb=839p1>>8:00b?84a:3;3m63=fe823a=z{:8>6=4={<133?70l278=o4:0:p7f?=83?p1?h=:40896b4282?70=j7;73?85383no70<id;fb?xu5n90;6>u231490<=::o;184522g2952b<uz8mo7>53z?043<6:h16>k?519c897`d28=o7p}<2583>7}:;9<1=:j4=23b>06<uz9h47>55z?1b4<2:278h?4>859>7`0==916?>h5dc9>6ce=lh1v?ki:180857=3>270<i0;6:?84bn3;<h6s|2g`94?5|5::>6<<n;<0e4?7?i279jo4>7e9~w6442909w0=?5;34`>;4900><6s|3b594?3|5;l;68<4=2f2>4>3349n97;?;<10b?bc348mn7jn;|q1a`<72:q6?=:5489>6``=<016>hk516f8yv4ai3:1?v3<05826d=::ll1=5o4=3db>41c3ty8>?4?:3y>752=9>n01>?7:428yv5d>3:19v3=eg866>;4l90:49523d6915=:;:o1ho522gc9`d=z{;oo6=4<{<137?2>348ni7:6;<0f`?70l2wx>k650;1x9664288j70<je;3;e>;5n00:;i5rs202>5<5s49;?7?8d:?053<282wx?::50;0b84bl3?970=md;64?85ej3><70=mc;64?85em3><70=mf;64?85d:3><70=l0;64?85d93><70=l3;64?85d<3><70=k1;64?85dn3><70=k0;64?85c:3><70=k3;64?85c>3><70=k4;64?85c=3><70=k7;64?85c03><70=nf;64?85fm3><70=m0;64?85fk3><70=nb;64?85fl3><70=n9;64?85f03><70=na;64?85f?3><70=jc;64?85bj3><70=ja;64?85b13><70=j8;64?85b?3><70=j6;64?85b=3><70=j4;64?85b;3><70=85;34`>{t;9i1<7<t=234>4>33499h7jn;|q050<72;q6?<h51968964c2mi0q~=>4;296~;49l0:495233a9`a=z{:;86=4={<12`?7?<278>n4kc:p744=838p1>?l:0:7?855k3ni7p}<1083>7}:;8h1=5:4=20`>ag<uz9:<7>52z?05d<60=16??j5dc9~w66a2909w0=>9;3;0>;4:l0om6s|31g94?4|5:;36<6;;<11a?be3ty8<i4?:3y>740=91>01><j:ea8yv55n3:1?v3<2`873>;4>j0?;63<6g823a=z{:8i6=4={<11e?373499h7?8d:p6<6=838p1?7::5;897?328=o7p}=9`83>7}::0?1=5o4=3;e>41c3ty9m<4?:3y>6<3=9>n01?o;:ec8yv4?n3:1>v3=9587=>;51:0:;i5rs3;:>5<5s48287?7a:?1=`<6?m1v?6j:18184>;3>270<62;34`>{t:021<7<t=3;0>4>f3482h7?8d:p6=b=838p1?7=:5;897?628=o7p}=9683>7}::081=5o4=3;`>41c3ty95;4?:3y>6<7=91k01?7m:05g?xu5?m0;6?u229090<=::1;1=:j4}r0;3?6=:r794?4>8`9>6=e=9>n0q~<n0;296~;50;0:;i522`69`f=z{;=h6=4={<0;5?2>3483<7?8d:p6=0=838p1?6>:0:b?84?j3;<h6s|26`94?4|5;2;6974=35e>41c3ty9484?:3y>6=6=91k01?6n:05g?xu5?h0;6?u226d90<=::>o1=:j4}r0;0?6=:r79;k4>8`9>6=?=9>n0q~<73;296~;5?l0:4l5229:952b<uz92j7>54z?0fa<28278m44>859>73>=lm16?5;5d`9~w6d42908w0=md;3;0>;4l80><63<538g`>{t;0n1<7:t=2`a>06<5:k<6<6;;<153?bd3493=7jn;|q0f4<72:q6?ol5196896ea2<:01>;>:ea8yv5>m3:18v3<bb864>;4i10:49523759`g=:;191hl5rs2`1>5<4s49io7?74:?0`5<282789<4kb:p7d6=83>p1>lj:42896gf282?70=98;fa?85??3nj7p}<b583>6}:;ko1=5:4=2f1>06<5:?96il4}r1b5?6=<r78nk4:0:?0eg<60=16?;75de9>7=?=lh1v>l::18085en3;3863<d2864>;4=:0oh6s|3`694?2|5:i968>4=2cf>4>3349=m7jm;<1;b?bf3ty8n54?:2y>7f4=91>01>j9:42896332mh0q~=n2;290~;4k90><63<ab82<1=:;?31ho5239`9`d=z{:h=6=4<{<1`4?7?<278h94:0:?016<cj2wx?l=50;6x96e62<:01>ok:0:7?851i3no70=7d;fb?xu4j>0;6>u23b395=2<5:n>68>4=277>ab<uz9j97>54z?0g6<28278mk4>859>73d=lm16?4?5d`9~w6d>2908w0=l3;3;0>;4l>0><63<548g`>{t;h<1<7:t=2a7>06<5:h;6<6;;<15f?be3492o7jn;|q0fd<72:q6?n:5196896b?2<:01>;::e`8yv5d=3:18v3<cg82<1=:;l919=5232g9`f=::o31h45rs2af>5<3s49o47?74:?0af<282788?4kb:?1b2<ci2wx?:k50;0x96ga2<:01>7n:05g?xu4?m0;6?u23`g915=:;031=:j4}r14b?6=:r78n=4:0:?0=g<6?m1v>9m:18185fk3?;70=67;34`>{t;>k1<7<t=2ca>06<5:3=6<9k;|q03f<72;q6?lj5519>7<>=9>n0q~=88;296~;4i00><63<95823a=z{:=<6=4={<1b<?373492?7?8d:p72?=838p1>on:42896?228=o7p}<7783>7}:;h=19=52380952b<uz92<7>52z?0=g<312785=4>7e9~w6?d2909w0=6b;31e>;41j0:;i5rs2:f>5<4s492m7:6;<1:4?2>3493i7?8d:p7<7=839p1>7n:00b?85>83;3m63<90823a=z{:2h6=4<{<1:=?2>3493i7:6;<1;g?70l2wx?5h50;1x96?>288j70=7e;3;e>;40o0:;i5rs2:b>5<4s49247:6;<1;g?2>3493m7?8d:p7=b=839p1>77:00b?85?k3;3m63<8e823a=z{:236=4<{<1:3?2>3493m7:6;<1;<?70l2wx?5l50;1x96?0288j70=7a;3;e>;40k0:;i5rs2:5>5<4s492:7:6;<1;<?2>3493:7?8d:p7=?=839p1>79:00b?85?03;3m63<88823a=z{:2?6=4<{<1:1?2>3493:7:6;<1;0?70l2wx?5950;1x96?2288j70=76;3;e>;40>0:;i5rs2:1>5<4s49287:6;<1;0?2>3493>7?8d:p7=3=839p1>7;:00b?85?<3;3m63<84823a=z{:2;6=4<{<1:7?2>3493>7:6;<1;4?70l2wx?5=50;1x96?4288j70=72;3;e>;40:0:;i5rs2:2>5<4s492>7?=a:?0<5<60h16?5?516f8yv5b93:18v3<ec82<1=:90h1hl5218c9`g=:<<k1?85rs2g3>5<3s49nm7?74:?2=f<ci27:5o4kb:?71d<4<2wx?ih50;6x96c>282?70?6d;fb?87>k3ni70::a;10?xu4ll0;69u23d:95=2<583n6io4=0;g>ad<5=?j6><4}r1g`?6=<r78i:4>859>5<`=lh16=4k5dc9>00g=;81v>jl:18785b>3;3863>a18ge>;61o0on63;5`804>{t;mh1<7:t=2g6>4>334;j=7jn;<3b4?be34>>m7<i;|q0`d<72=q6?h:5196894g52mk01<o>:e`8913f2;o0q~=k9;297~;4m:0:49521`09`g=:<<k1>i5rs21:>5<5s49887?7a:?07`<6?m1v>=?:181854<3;<h63<3487=>{t;:k1<7<t=216>4>f3498j7?8d:p767=838p1>=::05g?854>3>27p}<3c83>7}:;:<1=5o4=263>41c3ty8??4?:3y>760=9>n01>=8:5;8yv54k3:1>v3<3682<d=:;=;1=:j4}r107?6=:r78?:4>7e9>76>=<01v>=k:18185403;3m63<43823a=z{:?=6=4={<10<?70l278;>4kc:p71e=838p1>:8:0:b?85293;<h6s|35194?4|5:><6<9k;<17<?2>3ty88i4?:3y>71>=91k01>;=:05g?xu4<=0;6?u235:952b<5:>26974}r17a?6=:r78844>8`9>705=9>n0q~=;5;296~;4<00:;i5235c90<=z{:>m6=4={<17e?7?i278994>7e9~w6212909w0=;a;34`>;4<k0?56s|34294?4|5:>i6<6n;<161?70l2wx?8950;1x962e28=o70=83;fb?851m3nh7p}<6383>7}:;<n1=5o4=244>41c3ty8944?:3y>70b=9>n01>;j:5;8yv51;3:1>v3<5d82<d=:;?21=:j4}r16e?6=:r789h4>7e9>70`=<01v>8;:181852n3;3m63<68823a=z{:?i6=4={<16b?70l278:=4;9:p733=838p1>8?:0:b?851i3;<h6s|34a94?4|5:<;6<9k;<155?2>3ty8:;4?:3y>737=91k01>8m:05g?xu4=10;6?u2373952b<5:<n6io4}r146?6=;r78;=4:0:?034<28278;>4>7e9~w617290?w0=80;3;0>;4?=0on63<748gf>;4>o0o56s|37f94?4|5:<h68>4=24f>41c3ty?9i4?:37x914a2==019<j:558914c2==019<l:558914e2==019<n:558914?2==019<8:55891412==019<::55891432==019<<:55891452==019<>:55891472==019?i:558917c2==019?l:558917e2==019?n:558917>2==019?7:55891702==019?9:55891722==019?;:55891522==019=;:55891542==019==:55891562==019=?:558914>2==019?j:55891742==019?=:558970c28=o7p};5383>7}:<;l19=5244c9g==z{=?:6=4={<61a?3734>>m7l7;|q715<72;q68?j5519>00g=j?1v9:i:181825k3?;70::a;`7?xu3<l0;6?u243`915=:<<k1n>5rs56g>5<5s4>9m7;?;<66e?d53ty?8o4?:3y>07>==91688o5b09~w12f2909w0:=7;73?822i3h;7p};4883>7}:<;<19=5244c9ec=z{=>36=4={<611?3734>>m7m9;|q702<72;q68?:5519>00g=il1v9:9:181825;3?;70::a;cg?xu3<<0;6?u2430915=:<<k1mn5rs567>5<5s4>9=7;?;<66e?ge3ty?8>4?:3y>076==91688o5a89~w1252909w0:>f;73?822i3k37p};4183>7}:<8n19=5244c9e2=z{=9m6=4={<62g?3734>>m7o9;|q77`<72;q68<l5519>00g=k<1v9=k:181826i3?;70::a;c6?xu3;j0;6?u240;915=:<<k1m95rs51a>5<5s4>:47;?;<66e?g43ty??l4?:3y>041==91688o5a39~w15>2909w0:>6;73?822i3k:7p};3983>7}:<8?19=5244c9e5=z{=9<6=4={<620?3734>>m7li;|q71<<72;q68>;5519>00g=jl1v9;7:181824<3?;70::a;a7?xu3=>0;6?u2421915=:<<k1ni5rs575>5<5s4>8>7;?;<66e?dd3ty?984?:3y>067==91688o5bc9~w1332909w0:<0;73?822i3hj7p};5283>7}:<;319=5244c9f<=z{=>h6=4={<62a?3734>>m7l:;|q704<72;q68<=5519>00g=ih1v9=9:181826:3?;70::a;;e?xu3=k0;6?u244a952b<5=?j6no4}r1f`?6==r78;>4kb:?02`<cj278;94>7e9>00g=<><019;n:b;8yv71:3:1>v3=248gf>;5:=0:>o5rs04g>5<5s4;h?7jm;<3`6?75j2wx>?850;0x974?2mi01?<;:05g?xu6k=0;6?u21b49`f=:9j81=:j4}r11g?6=:r78>h4kd:?06f<6:k1v<=7:181855m3;<h63<2e8g`>{z{<<:6=4={_755>;3<3?==6*;73822c=z{<<36=4={_75<>;3<3?=46*;738235=z{<?86=4={_767>;3<3?>?6*;738234=z{=h96=4={_6b4>;3<3>j<6*;738201=z{=h;6=4={_6:b>;3<3>2j6*;73820c=z{=km6=4={_6:a>;3<3>2i6*;73821<=z{=kn6=4={_6:`>;3<3>2h6*;73821g=z{=ko6=4={_6:g>;3<3>2o6*;73821f=z{=kh6=4={_6:f>;3<3>2n6*;73821a=z{=ki6=4={_6:e>;3<3>2m6*;73821c=z{=kj6=4={_6:=>;3<3>256*;738225=z{=hi6=4={_6b<>;3<3>j46*;738224=z{=hj6=4={_6b3>;3<3>j;6*;738226=z{=h26=4={_6b2>;3<3>j:6*;738221=z{=h36=4={_6b1>;3<3>j96*;738220=z{=h<6=4={_6b0>;3<3>j86*;738223=z{=h=6=4={_6b7>;3<3>j?6*;738222=z{=h>6=4={_6b6>;3<3>j>6*;73822==z{=h?6=4={_6b5>;3<3>j=6*;73822<=z{=h86=4={_6:<>;3<3>246*;73822d=z{=k26=4={_6:3>;3<3>2;6*;73822g=z{<=?6=4={_740>;3<3?<86*;73822f=z{<?m6=4={_76b>;3<3?>j6*;73822`=z{=lo6=4={_6g=>;3<3>o56*;738237=z{=li6=4={_6g<>;3<3>o46*;738236=z{=lj6=4={_6g3>;3<3>o;6*;738231=z{=l26=4={_6g2>;3<3>o:6*;738230=z{=l36=4={_6g1>;3<3>o96*;738233=z{=l<6=4={_6g0>;3<3>o86*;738232=z{=l>6=4={_6g6>;3<3>o>6*;73823==z{=l?6=4={_6g5>;3<3>o=6*;73823<=z{=l86=4={_6g4>;3<3>o<6*;73823d=z{=l96=4={_6`b>;3<3>hj6*;73827d=z{=l:6=4={_6`a>;3<3>hi6*;73827g=z{=l;6=4={_6``>;3<3>hh6*;73827f=z{=om6=4={_6`g>;3<3>ho6*;73827a=z{=on6=4={_6`f>;3<3>hn6*;73827`=z{=oo6=4={_6`e>;3<3>hm6*;73827c=z{=oh6=4={_6`=>;3<3>h56*;738205=z{=oj6=4={_6`3>;3<3>h;6*;738204=z{=o26=4={_6`2>;3<3>h:6*;738207=z{=o36=4={_6`1>;3<3>h96*;738206=z{=o<6=4={_6`0>;3<3>h86*;738200=z{=o=6=4={_6`7>;3<3>h?6*;738203=z{=o>6=4={_6`6>;3<3>h>6*;738202=z{=o?6=4={_6`5>;3<3>h=6*;73820==z{=o86=4={_6`4>;3<3>h<6*;73820<=z{=o96=4={_6ab>;3<3>ij6*;73820d=z{=o:6=4={_6aa>;3<3>ii6*;73820g=z{<:?6=4={_6gb>;3<3>oj6*;73820f=z{<:86=4={_6ga>;3<3>oi6*;73820a=z{<:96=4={_6g`>;3<3>oh6*;73820`=z{<::6=4={_6gg>;3<3>oo6*;738215=z{<:;6=4={_6gf>;3<3>on6*;738214=z{=lm6=4={_6ge>;3<3>om6*;738217=z{=ln6=4={_6g7>;3<3>o?6*;738216=z{=l=6=4={_6`<>;3<3>h46*;738211=z{=oi6=4={_6a`>;3<3>ih6*;738210=z{=o;6=4={_6ag>;3<3>io6*;738213=z{<:h6=4={_73g>;3<3?;o6*;738212=z{=2<6=4={_6;3>;3<3>3;6*;73821==z{<:=6=4={_732>;3<3?;:6*;73821d=z{<9:6=4={_705>;3<3?8=6*;73821`=zugko57>52zJ736=zfhnj6=4={I647>{iimh1<7<tH550?xhflj0;6?uG4618ykgcl3:1>vF;729~jdbb2909wE:83:mea`=838pD99<;|lba5<72;qC8:=4}ocf5?6=:rB?;>5rn`g1>5<5sA><?6saad194?4|@==87p`ne583>7}O<>90qcoj5;296~N3?:1vblk9:181M20;2wemh950;0xL1143tdji54?:3yK025<ugkn57>52zJ736=zfhoj6=4={I647>{iilh1<7<tH550?xhfmj0;6?uG4618ykgbl3:1>vF;729~jdcb2909wE:83:me``=838pD99<;|lbb5<72;qC8:=4}oce5?6=:rB?;>5rn`d1>5<5sA><?6saag194?4|@==87p`nf583>7}O<>90qcoi5;296~N3?:1vblh9:181M20;2wemk950;0xL1143tdjj54?:3yK025<ugkm57>52zJ736=zfhlj6=4={I647>{iioh1<7<tH550?xhfnj0;6?uG4618ykgal3:1>vF;729~jd`b2909wE:83:mec`=838pD99<;|la45<72;qC8:=4}o`35?6=:rB?;>5rnc21>5<5sA><?6sab1194?4|@==87p`m0583>7}O<>90qcl?5;296~N3?:1vbo>9:181M20;2wen=950;0xL1143tdi<54?:3yK025<ugh;57>52zJ736=zfk:j6=4={I647>{ij9h1<7<tH550?xhe8j0;6?uG4618ykd7l3:1>vF;729~jg6b2909wE:83:mf5`=838pD99<;|la55<72;qC8:=4}o`25?6=:rB?;>5rnc31>5<5sA><?6sab0194?4|@==87p`m1583>7}O<>90qcl>5;296~N3?:1vbo?9:181M20;2wen<950;0xL1143td2=l4?:0yK025<ug3>57>51zJ736=zf0?j6=4>{I647>{i1<h1<7?tH550?xh>=j0;6<uG4618yk?2l3:1=vF;729~j<3b290:wE:83:m=0`=83;pD99<;|l:25<728qC8:=4}o;55?6=9rB?;>5rn841>5<6sA><?6sa97194?7|@==87p`66583>4}O<>90qc795;295~N3?:1vb489:182M20;2we5;950;3xL1143td2:54?:0yK025<ug3=57>51zJ736=zf0<j6=4>{I647>{i1?h1<7?tH550?xh>>j0;6<uG4618yk?1l3:1=vF;729~j<0b290:wE:83:m=3`=83;pD99<;|l:35<728qC8:=4}o;45?6=9rB?;>5rn851>5<6sA><?6sa96194?7|@==87p`67583>4}O<>90qc785;295~N3?:1vb499:182M20;2we5:950;3xL1143td2;54?:0yK025<ug3<57>51zJ736=zf0=j6=4>{I647>{i1>h1<7?tH550?xh>?j0;6<uG4618yk?0l3:1=vF;729~j<1b290:wE:83:m=2`=83;pD99<;|l:<5<728qC8:=4}o;;5?6=9rB?;>5rn8:1>5<6sA><?6sa99194?7|@==87p`68583>4}O<>90qc775;295~N3?:1vb469:182M20;2we55950;3xL1143td2454?:0yK025<ug3357>51zJ736=zf02j6=4>{I647>{i11h1<7?tH550?xh>0j0;6<uG4618yk??l3:1=vF;729~j<>b290:wE:83:m==`=83;pD99<;|l:=5<728qC8:=4}o;:5?6=9rB?;>5rn8;1>5<6sA><?6sa98194?7|@==87p`69583>4}O<>90qc765;295~N3?:1vb479:182M20;2we54950;3xL1143td2554?:0yK025<ug3257>51zJ736=zf03j6=4>{I647>{i10h1<7?tH550?xh>1j0;6<uG4618yk?>l3:1=vF;729~j<?b290:wE:83:m=<`=83;pD99<;|l:e5<728qC8:=4}o;b5?6=9rB?;>5rn8c1>5<6sA><?6sa9`194?7|@==87p`6a583>4}O<>90qc7n5;295~N3?:1vb4o9:182M20;2we5l950;3xL1143td2m54?:0yK025<ug3j57>51zJ736=zf0kj6=4>{I647>{i1hh1<7?tH550?xh>ij0;6<uG4618yk?fl3:1=vF;729~j<gb290:wE:83:m=d`=83;pD99<;|l:f5<728qC8:=4}o;a5?6=9rB?;>5rn8`1>5<6sA><?6sa9c194?7|@==87p`6b583>4}O<>90qc7m5;295~N3?:1vb4l9:182M20;2we5o950;3xL1143td2n54?:0yK025<ug3i57>51zJ736=zf0hj6=4>{I647>{i1kh1<7?tH550?xh>jj0;6<uG4618yk?el3:1=vF;729~j<db290:wE:83:m=g`=83;pD99<;|l:g5<728qC8:=4}o;`5?6=9rB?;>5rn8a1>5<6sA><?6sa9b194?7|@==87p`6c583>4}O<>90qc7l5;295~N3?:1vb4m9:182M20;2we5n950;3xL1143td2o54?:0yK025<ug3h57>51zJ736=zf0ij6=4>{I647>{i1jh1<7?tH550?xh>kj0;6<uG4618yk?dl3:1=vF;729~j<eb290:wE:83:m=f`=83;pD99<;|l:`5<728qC8:=4}o;g5?6=9rB?;>5rn8f1>5<6sA><?6sa9e194?7|@==87p`6d583>4}O<>90qc7k5;295~N3?:1vb4j9:182M20;2we5i950;3xL1143td2h54?:0yK025<ug3o57>51zJ736=zf0nj6=4>{I647>{i1mh1<7?tH550?xh>lj0;6<uG4618yk?cl3:1=vF;729~j<bb290:wE:83:m=a`=83;pD99<;|l:a5<728qC8:=4}o;f5?6=9rB?;>5rn8g1>5<6sA><?6sa9d194?7|@==87p`6e583>4}O<>90qc7j5;295~N3?:1vb4k9:182M20;2we5h950;3xL1143td2i54?:0yK025<ug3n57>51zJ736=zf0oj6=4>{I647>{i1lh1<7?tH550?xh>mj0;6<uG4618yk?bl3:1=vF;729~j<cb290:wE:83:m=``=83;pD99<;|l:b5<728qC8:=4}o;e5?6=9rB?;>5rn8d1>5<6sA><?6sa9g194?7|@==87p`6f583>4}O<>90qc7i5;295~N3?:1vb4h9:182M20;2we5k950;3xL1143td2j54?:0yK025<ug3m57>51zJ736=zf0lj6=4>{I647>{i1oh1<7?tH550?xh>nj0;6<uG4618yk?al3:1=vF;729~j<`b290:wE:83:m=c`=83;pD99<;|lb45<728qC8:=4}oc35?6=9rB?;>5rn`21>5<6sA><?6saa1194?7|@==87p`n0583>4}O<>90qco?5;295~N3?:1vbl>9:182M20;2wem=950;3xL1143tdj<54?:0yK025<ugk;57>51zJ736=zfh:j6=4>{I647>{ii9h1<7?tH550?xhf8j0;6<uG4618ykg7l3:1=vF;729~jd6b290:wE:83:me5`=83;pD99<;|lb55<728qC8:=4}oc25?6=9rB?;>5rn`31>5<6sA><?6saa0194?7|@==87p`n1583>4}O<>90qco>5;295~N3?:1vbl?9:182M20;2wem<950;3xL1143tdj=54?:0yK025<ugk:57>51zJ736=zfh;j6=4>{I647>{ii8h1<7?tH550?xhf9j0;6<uG4618ykg6l3:1=vF;729~jd7b290:wE:83:me4`=83;pD99<;|lb65<728qC8:=4}oc15?6=9rB?;>5rn`01>5<6sA><?6saa3194?7|@==87p`n2583>4}O<>90qco=5;295~N3?:1vbl<9:182M20;2wem?950;3xL1143tdj>54?:0yK025<ugk957>51zJ736=zfh8j6=4>{I647>{ii;h1<7?tH550?xhf:j0;6<uG4618ykg5l3:1=vF;729~jd4b290:wE:83:me7`=83;pD99<;|lb75<728qC8:=4}oc05?6=9rB?;>5rn`11>5<6sA><?6saa2194?7|@==87p`n3583>4}O<>90qco<5;295~N3?:1vbl=9:182M20;2wem>950;3xL1143tdj?54?:0yK025<ugk857>51zJ736=zfh9j6=4>{I647>{ii:h1<7?tH550?xhf;j0;6<uG4618ykg4l3:1=vF;729~jd5b290:wE:83:me6`=83;pD99<;|lb05<728qC8:=4}oc75?6=9rB?;>5rn`61>5<6sA><?6saa5194?7|@==87p`n4583>4}O<>90qco;5;295~N3?:1vbl:9:182M20;2wem9950;3xL1143tdj854?:0yK025<ugk?57>51zJ736=zfh>j6=4>{I647>{ii=h1<7?tH550?xhf<j0;6<uG4618ykg3l3:1=vF;729~jd2b290:wE:83:me1`=83;pD99<;|lb15<728qC8:=4}oc65?6=9rB?;>5rn`71>5<6sA><?6saa4194?7|@==87p`n5583>4}O<>90qco:5;295~N3?:1vbl;9:182M20;2wem8950;3xL1143tdj954?:0yK025<ugk>57>51zJ736=zfh?j6=4>{I647>{ii<h1<7?tH550?xhf=j0;6<uG4618ykg2l3:1=vF;729~jd3b290:wE:83:me0`=83;pD99<;|lb25<728qC8:=4}oc55?6=9rB?;>5rn`41>5<6sA><?6saa7194?7|@==87p`n6583>4}O<>90qco95;295~N3?:1vbl89:182M20;2wem;950;3xL1143tdj:54?:0yK025<ugk=57>51zJ736=zfh<j6=4>{I647>{ii?h1<7?tH550?xhf>j0;6<uG4618ykg1l3:1=vF;729~jd0b290:wE:83:me3`=83;pD99<;|lb35<728qC8:=4}oc45?6=9rB?;>5rn`51>5<6sA><?6saa6194?7|@==87p`n7583>4}O<>90qco85;295~N3?:1vbl99:182M20;2wem:950;3xL1143tdj;54?:0yK025<ugk<57>51zJ736=zfh=j6=4>{I647>{ii>h1<7?tH550?xhf?j0;6<uG4618ykg0l3:1=vF;729~jd1b290:wE:83:me2`=83;pD99<;|lb<5<728qC8:=4}oc;5?6=9rB?;>5rn`:1>5<6sA><?6saa9194?7|@==87p`n8583>4}O<>90qco75;295~N3?:1vbl69:182M20;2wem5950;3xL1143tdj454?:0yK025<ugk357>51zJ736=zfh2j6=4>{I647>{ii1h1<7?tH550?xhf0j0;6<uG4618ykg?l3:1=vF;729~jd>b290:wE:83:me=`=83;pD99<;|lb=5<728qC8:=4}oc:5?6=9rB?;>5rn`;1>5<6sA><?6saa8194?7|@==87p`n9583>4}O<>90qco65;295~N3?:1vbl79:182M20;2wem4950;3xL1143tdj554?:0yK025<ugk257>51zJ736=zfh3j6=4>{I647>{ii0h1<7?tH550?xhf1j0;6<uG4618ykg>l3:1=vF;729~jd?b290:wE:83:me<`=83;pD99<;|lbe5<728qC8:=4}ocb5?6=9rB?;>5rn`c1>5<6sA><?6saa`194?7|@==87p`na583>4}O<>90qcon5;295~N3?:1vblo9:182M20;2weml950;3xL1143tdjm54?:0yK025<ugkj57>51zJ736=zfhkj6=4>{I647>{iihh1<7?tH550?xhfij0;6<uG4618ykgfl3:1=vF;729~jdgb290:wE:83:med`=83;pD99<;|lbf5<728qC8:=4}oca5?6=9rB?;>5rn``1>5<6sA><?6saac194?7|@==87p`nb583>4}O<>90qcom5;295~N3?:1vbll9:182M20;2wemo950;3xL1143tdjn54?:0yK025<ugki57>51zJ736=zfhhj6=4>{I647>{iikh1<7?tH550?xhfjj0;6<uG4618ykgel3:1=vF;729~jddb290:wE:83:meg`=83;pD99<;|lbg5<728qC8:=4}oc`5?6=9rB?;>5rn`a1>5<6sA><?6saab194?7|@==87p`nc583>4}O<>90qcol5;295~N3?:1vblm9:182M20;2wemn950;3xL1143tdjo54?:0yK025<ugkh57>51zJ736=zfhij6=4>{I647>{iijh1<7?tH550?xhfkj0;6<uG4618ykgdl3:1=vF;729~jdeb290:wE:83:mef`=83;pD99<;|lb`5<728qC8:=4}ocg5?6=9rB?;>5rn`f1>5<6sA><?6saae194?7|@==87p`nd583>4}O<>90qcok5;295~N3?:1vblj9:182M20;2wemi950;3xL1143tdjh54?:0yK025<utwvLMMtce:9<a44i0:;qMNM{1CDU}zHI +$45640<,[o}e~g`n;"2*726&;$:,)?40493456712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123454<80;0=>5>.130?46=AGZ^X7OKDSC?=?699l1:<7GAPTV9twi`Wog`Rzgrdqk8<<76;?0==4FNQWW>uthoVl~`aQ{hsgplZgt{lx044?>37855<NFY__6}|`g^dvhiYs`{oxdR`jg`vf8<<76830==4@UURVP?bf|hUhcx`{<883:4e<990DYY^ZT;uq[agsiVidycz39;2=57=6>3CE\XZ5DHC?50<76890=;4@UURVP?BHI5;>6=0>2:3;>LHW]]0oec2>7;2=5>433;98?<?42;KMTPR=L@ZJ0<4?>0086?IR\Y__6IA_A=394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFH7?<4?>06873<H]]Z^X7j`uu>01?69l29x>=>?ff662(363=>08=HI1097>LHW]]0OE]L33;2=57=32F__\XZ5DNRA86<7681=>6864:4:=32<09:;866J8@38=1=>8939748;;845=44<13CE\XZ5AEFQF9>=87;n744FNQWW>uthoVof|ywPtipfwm:?29499675IORVP?vugnUna}zv_ujqavnXizyn~y27:1<11>?=AGZ^X7~}of]fiur~W}byi~fPndebp`:?294:n675OTVSQQ<ulVnjxlQlotlw8=<76;1J>55NDEPB858?3HNO^L2>>99B@ATF4;437LJKR@>0:==FLMXJ0907;@FGVD:2611JHI\N<7<;?DBCZH6<255NDEPB8=8f3HNO^L26:1<;?DBCZH62255NDEPA858?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<2l5NDEPA8=<7611JHI\M<9<0?DJK12KXUCMPRDE0?GS502H^_RGAFN38G7=DM880OEKLK^NJG@HTMV^R\H<4CH68GIMF<2IGGO=4CMP:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II?4D39GG7=CA?1OEL2?>69GMD:687=0HDO310<4?AOF4885;6JFA=30:2=CAH6:8374DHC?50<76>1OEL2>5?48@LG;97<0HDO32?48@LG;;7<0HDO34?48@LG;=7<0HDO36?48@LG;?7<0HDO38?48@LG;17<0HDL30?58@LD;994<7IGM<03=3>BNJ5;92:5KIC>27;1<L@H7=908;EKA8439?2NBN1?9>69GMG:6?7=0HDL319<4?AOE4835:6JFB=3=3>BNJ58;2:5KIC>15;1<L@H7>?08;EKA8759?2NBN1<;>69GMG:5=7=0HDL327<4?AOE4;=5;6JFB=0;:2=CAK695384DH@?6;1<L@H7?=06;EKA867=87=0HDL330<5?AOE4:4=7IGM<5<5?AOE4<4=7IGM<7<5?AOE4>4=7IGM<9<5?AOE404<7IG_A=2==>BNXH6:6=08;EKSE979?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>556J@A=36>5803MEJ0<;16:FLE979>2NDM1<16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;1<LFH7=808;EMA8409?2NDN1?8>69GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1<LFH7>>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?<LFH7?<4?>69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2==>BHXH6:6=08;EMSE979?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA86843LDJ?6KABc9FJZCDKVXNMIm4EO]FGFYPZ@^N>6H=2:D;1>@FDZO97KJ<;GF@0>@CKL90JI^;;GFSA1=AL[O?7KH7009D7>AIL81B>6G?2:K26>O5:2C846GAIUR\45><AGC_\R>>8:KMMQVX8;20ECG[P^20<>OIA]ZT<964IOKWTZ6202CEEY^P07:8MKOSXV:<56GAIUQWEQC03@DBXR>?7:KMMQY79>1BBDZP0358MKOSW99<7D@FT^273>OIA]U;9:5FNHV\431<AGC_S=98;HLJPZ6??2CEEYQ?969JJLRX8H=0ECG[_1@4?LHN\V:H;6GAIU]3@2=NF@^T<H94IOKW[5@03@DBXR??7:KMMQY69>1BBDZP1358MKOSW89<7D@FT^373>OIA]U:9:5FNHV\531<AGC_S<98;HLJPZ7??2CEEYQ>969JJLRX9H=0ECG[_0@4?LHN\V;H;6GAIU]2@2=NF@^T=H94IOKW[4@03@DBXR<?7:KMMQY59>1BBDZP2358MKOSW;9<7D@FT^073>OIA]U99:5FNHV\631<AGC_S?98;HLJPZ4??2CEEYQ=969JJLRX:H=0ECG[_3@4?LHN\V8H;6GAIU]1@2=NF@^T>H94IOKW[7@03@DBXR=?7:KMMQY49>1BBDZP3358MKOSW:9<7D@FT^173>OIA]U89:5FNHV\731<AGC_S>98;HLJPZ5??2CEEYQ<969JJLRX;H=0ECG[_2@4?LHN\V9H;6GAIU]0@2=NF@^T?H94IOKW[6@13@DBXRO9;HLJPZD43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__8;5CUU6\@0=J[NEE96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O226>H6<2D:<=:4N0220>H68;>0B<><4:L2412<F8:>86@>0768J460<2D:<5:4N02:7>H69=1E=<>;;O3251=I988?7C?>359M54233G;:995A1047?K76?=1E=<6;;O32=6=I9;>0B<<?4:L2642<F88986@>2268J443<2D:>8:4N0050>H6:>>0B<<73:L271=I9:;87C?;3:L216=I9?>0B<8;3:L236=I9190B<7=;O00?K47;2D9=>5A2318J7543G8??6@=529M635<F;=87C<73:L1=7=I;:1E?=<4N408J24<F090B4?<;O;17>H>;:1E59=4N870?K?1;2D2;>5A9918J<?a3GHTNX]_IO]SVJVT?2DNXZA]K09L6>IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL90\_K>;P38V`=UIDH::R]>8^Q02d=UMHNTJD\\Tb9QADBX_@N_DROl;SGB@ZQNL]BTN<5\129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPD11?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=>PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0122[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567:VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<>Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?016\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4562W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;:R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?06]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3456XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89::S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?2^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2346YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789>T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>:_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1232ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678>UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=6PRdqvhq7592_;#j|i.sd,cf~)keas#jPpovq[goi4949=6[?/fpe*w`(ojr%oaew/sf\tkruWkce0<0=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<3<15>S7'nxm"h gbz-gim'{nT|cz}_ckm868592_;#j|i.sd,cf~)keas#jPpovq[goi4=49=6[?/fpe*w`(ojr%oaew/sf\tkruWkce080=1:W3+bta&{l$knv!cmi{+wbXxg~ySoga<7<15>S7'nxm"h gbz-gim'{nT|cz}_ckm828592_;#j|i.sd,cf~)keas#jPpovq[goi4149<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS=<?;T2,cw`)zo%lou lljz,vaYwf}xTnd`P1328Q5)`zo$yj"ilx/aoo})ulVzexQmio]165=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ5582_;#j|i.sd,cf~)keas#jPpovq[goiW=8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT9?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ9219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^514>S7'nxm"h gbz-gim'{nT|cz}_ckm[=413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=7:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq45679;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0004?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;:>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123671<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<??=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4564:>1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?3305?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789>9;6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}0127570<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<8<8;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34536:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?63:8Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678?;:>:5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123274e3\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8Pbef363=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;;?94U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos23427582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<14>S7'nxm"h gbz-gim'{nT|cz}_fa?0;473\:$kh!rg-dg}(ddbr$~iQnup\cf:26;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm38?3e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]35c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[47a3\:$kh!rg-dg}(ddbr$~iQnup\cfY59o1^<"i}f/pe+be&jf`t"|k_qlwvZadW:;m7X> gsd-vc)`kq$h`fv re]sjqtXojU?=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS8?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ91g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_63e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb];63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>;:73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT<?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\573<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT>?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT4?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:?6;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U3>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[}iu89:<><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1?1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=0=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj959:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5>5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1;1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=4=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj919:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf525><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb171219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^314>S7'nxm"h gbz-gim'~xT|cz}_ckm[7473\:$kh!rg-dg}(ddbr${Qnup\flhX;;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR;=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_703?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\376<]9%l~k }f.e`|+ekcq%|~R~ats]amkY?:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV39:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012362=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;<<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34575?2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>>1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8<7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?010263=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;??94U1-dvc(un&mht#mcky-tvZvi|{UiecQwos234645>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>;269V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567<88=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01713>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:>=?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos23434?3\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=8>1358Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678?89n6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}0125[gbc8;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0604?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt789=:>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0=0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm31?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>1:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg959:91^<"i}f/pe+be&jf`t"y}_qlwvZad4=49<6[?/fpe*w`(ojr%oaew/vp\tkruWni793<?;T2,cw`)zo%lou lljz,swYwf}xTkn29>328Q5)`zo$yj"ilx/aoo})pzVzexQhc=5=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`8=8582_;#j|i.sd,cf~)keas#z|Ppovq[be;17;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU;=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS<?i;T2,cw`)zo%lou lljz,swYwf}xTknQ=1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_23e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]75c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[07a3\:$kh!rg-dg}(ddbr${Qnup\cfY19o1^<"i}f/pe+be&jf`t"y}_qlwvZadW>;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU3=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS4<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8585>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1?1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:56;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi33?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4=49:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=7=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6=2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?3;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol050=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc9?9:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ?249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY6:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ=249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY4:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ;249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY2:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ9249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY0:<1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQ7249V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabY>:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9595>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5929:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=7=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=181289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95=5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g59>9:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=;=6==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<Q;299V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9V?946[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2[34?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P73:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U3>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z?5=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=>=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34575=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=<=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34555=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=:=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34535=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=8=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmPxnp34515=2_;#j|i.sd,cf~)keas#z|Ppovq[beXpfx;<=6<0:W3+bta&{l$ka>!re-dv4(un~l#@czx^PBIZTCWLDTJZH[200e?P6(o{l%~k!hl1,q`*au9'xm{kz Mlw{[WGJW[OLCXZPEO326a=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e=2=6a=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e=3=6f=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^21g>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_016?P6(o{l%~k!hl1,q`*au9'xm{kz ctpq[cqa|VymykPmtz3457;879=7X> gsd-vc)`d9$yh"i}1/pescr(k|xySkyit^qweqcXe|r;<=?30?301>S7'nxm"h gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_lw{45664848:6[?/fpe*w`(oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWds<=>><0<26==R8&myj#|i/fn3*wb(o{;%~kyit.gntqXn~lSkl=7:W3+bta&{l$ka>!re-dv4(un~l#hctx]escrXa;l0Y=!hrg,qb*ak8'xo#j|>.sdtbq)bey~rSkyit^k\ip~789:8<6[?/fpe*w`(oe:%~i!hr0,qbr`s'lg{xtQiwgv\mZkrp9:;<<<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;87827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?5;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz32?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7?3<i;T2,cw`)zo%l`= }d.eqev(u{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.sf,cwgt&{y"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a3+bkrp'mfW>S!glq-iv4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U;]/enw+kt::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7<3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=3=66=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7;:7887X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1=1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?0;4e3\:$kh!rg-dh5(ul&mym~ }suq,g5)q9V:Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X9Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z4Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\7Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1^6\jjr789::>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp969:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|595>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_5[)od;%a<<i;T2,cw`)zo%l`= }d.eqev(u{}y$o<!hmtz-ch]6U'mf#c|2g9V4*aun'xm#jb?.sf,cwgt&{y"m>/fov|+ajS;W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ8Q#ibs/op6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW9S!glq-iv443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95:5>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?31?00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5949::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7?3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1=6=6g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\6Zgil9:;<<<m;T2,cw`)zo%l`= }d.eqev(u{}y$o<!y1^1\ekb789::>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P4^llp567888;7X> gsd-vc)`d9$yh"i}ar,qwqu(kfg{<?>4U1-dvc(un&mg<#|k/fpbw+tt|z%hc`~>289V4*aun'xm#jb?.sf,cwgt&{y"|nm^gntqXnkUb?=5Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYnWfx;<=><1:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVcTc>?01317>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex><;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw072<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu410>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{83;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<3<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;?78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;Yu|;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?4;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<0<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1<12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{682?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;<78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8085i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=4=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc28>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx743<k;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu414T~y?i;T2,cw`)zo%l`= }d.psjqt(kfex1>11g9V4*aun'xm#jb?.sf,vuhsz&idycz31?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=0=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?7;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9299o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;=7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5<5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7;3?i;T2,cw`)zo%l`= }d.psjqt(kfex1611d9V4*aun'xm#jb?.sf,vuhsz&idyczP00g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_03f?P6(o{l%~k!hl1,q`*twf}x$ob{at^02a>S7'nxm"h gm2-va)uxg~y#naznu]05`=R8&myj#|i/fn3*wb(zyd~"m`uov\04c<]9%l~k }f.eo4+tc'{zex!lotlw[07b3\:$kh!rg-dh5(ul&x{by| cnwmpZ06m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY09l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX0;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7<3<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><0<16>S7'nxm"h gm2-va)uxg~y#naznu]g5949:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8682?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?34?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28085:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95<5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<28>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?<;463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W98:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S<<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_302?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[6463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W=8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S8<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_702?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[2463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W18?7X> gsd-vc)`d9$yh"|nup,gjsi|Vddx=>?12d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<1<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>2:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8784n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:46:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4=48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6>2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0;0<f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot28>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rmv<9<0b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>::17<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp9776=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5;58;5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We0<0PIOT\416<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp949<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4:4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~783:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2:>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rbzt=4=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_mww828382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;07>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6229?4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1??>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;<Rv`r=3=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq878382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;;7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6?29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1;1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<7<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?3;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:?6=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz535?=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn7==0=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi2>>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<3<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>0:7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg8185n2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]sea:26;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4?49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6<2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko050=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi26>3g8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_00e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^336`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\67c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[64b3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z25m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY2:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX>;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW>8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV29i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU2?55Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?5584?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=2>>258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674;48;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>0:61<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;090<7:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{miQnde2345:26:=0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcWhno<=>?<7<03>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]b`a67896<2>94U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238=84?2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaYflm:;<=26>3c8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSd==;T2,cw`)zo%l`= xr.etev(`xo$|~}h psmd[cskdVcTaxv?01100>S7'nxm"h gm2-sw)`hy%k}h!wsre+uthoVl~`aQf_lw{4564989?7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZoXe|r;<==>92:8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy23467>WZ];??5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVddx=>?13;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2<>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR:V"jc>.l31b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV<R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT3\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ6^*bkt&dy9?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2?>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28485;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8692?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><2<17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:36;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S=Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U:Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W;Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[1Yffm:;<=?=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs494956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw848512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<3<1=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0>0=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\8T$la< b13d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR;V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP>P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV=R.fop*hu5n2_;#j|i.sd,ci6){%l{l}!wrvp+f4(ods"jcT4\,div(j{;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0=0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>2:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64;49?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2<>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28185j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U;Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W8Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y5Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[6Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]7[dhc89:;=?>4U1-dvc(un&mg<#y}/fubw+qt|z%hc`~>219V4*aun'xm#jb?.vp,crgt&~y"m`mq014>S7'nxm"h gm2-sw)`hy%{~z|/bmnt64c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?4;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?5;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?6;4c3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%hm|vndv?7;543\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%laxv!glY3Y+aj9'g:?>5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</fov|+ajS8W%k`}!mr10?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)`e|r%k`U=]/enw+kt;:1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#jczx/en_6[)ody%a~=<;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-dip~)odQ?Q#ibs/op62=R8&myj#|i/fn3*rt(o~kx"z}{s.ebb+e4';7<3<8;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5979:>1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?32?04?P6(o{l%~k!hl1,tv*apiz$|y} g`d-g6)q9595>:5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3?0;4a3\:$kh!rg-dh5(pz&m|m~ xsuq,cd`)k:%}=R>Paof34566:o1^<"i}f/pe+bj7&~x$kzo|.vqww*afn'i8#{?P1^cm`567888m7X> gsd-vc)`d9$|~"ixar,twqu(ohl%o>!y1^0\ekb789::>k5Z0.eqb+ta'nf;"z| gvcp*rus{&mjj#m</w3\7Zgil9:;<<<i;T2,cw`)zo%l`= xr.etev(p{}y$klh!c2-u5Z2Xign;<=>>2b9V4*aun'xm#jb?.vp,crgt&~y"|nm^coijusWog`Rg<4:W3+bta&{l$ka>!ws-dsdu)z~x#ob_`nnkvrXn|fgSdQbuy2345523\:$kh!rg-dh5(pz&m|m~ xsuq,vdkXiegdyQiumn\mZkrp9:;<<=m;T2,cw`)zo%l`= xr.etev(p{}y$~lcPamolwqYa}efTeR``t12354YNF_U;>55Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]efZo5=2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rg=d:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXe|r;<=>=e:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXe|r;<=>>1e9V4*aun'xm#jb?.vp,vdkX{UnbRg>1g9V4*aun'xm#jb?.vp,vdkX{UnbRg>_000?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by?=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp7433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d??:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov761=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}?986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at707?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs?;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz7259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq?512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=3<l;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;97Uyx?74U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:56;i0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>1:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;=78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4149o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8=8Xz}8j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8585i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=3=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2=>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7?3<n;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[}iu4=49m6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^zlv939:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>5:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|37?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey050=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz535>i5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:>6Vx=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7<3?i;T2,cw`)zo%l`= xr.usjqt(kfex1?11g9V4*aun'xm#jb?.vp,suhsz&idycz32?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=1=5c=R8&myj#|i/fn3*rt(yd~"m`uov?0;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9399o1^<"i}f/pe+bj7&~x${}`{r.alqkr;>7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5=5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~743?i;T2,cw`)zo%l`= xr.usjqt(kfex1711d9V4*aun'xm#jb?.vp,suhsz&idyczP00g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_03f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^02a>S7'nxm"h gm2-sw)pxg~y#naznu]05`=R8&myj#|i/fn3*rt(yd~"m`uov\04c<]9%l~k }f.eo4+qu'~zex!lotlw[07b3\:$kh!rg-dh5(pz&}{by| cnwmpZ06m2_;#j|i.sd,ci6){%||cz}/bmvjqY09l1^<"i}f/pe+bj7&~x${}`{r.alqkrX08o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW0897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><5<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5939:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86=2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?37?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28=85:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9535><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q?209V4*aun'xm#jb?.vp,suhsz&idyczPd0]264=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y5:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U8><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q;209V4*aun'xm#jb?.vp,suhsz&idyczPd0]664=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y1:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U<><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<Q7209V4*aun'xm#jb?.vp,suhsz&idyczPd0]:67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:76;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87=3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<3<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6959:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;6?2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<35?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f18385:2_;#j|i.sd,ci6){%||cz}/bmvjqYc:5=5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?27>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?=;463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W98:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S<<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_302?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[6463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W=8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S8<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_702?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[2463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W18:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S4<=;T2,cw`)zo%l`= xr.usjqt(kfexRj<<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g7979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl:692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi=33?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f08185:2_;#j|i.sd,ci6){%||cz}/bmvjqYc;5?5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>29>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e1?3;453\:$kh!rg-dh5(pz&}{by| cnwmpZb44149>6[?/fpe*w`(oe:%{!xpovq+firf}Uo?171209V4*aun'xm#jb?.vp,suhsz&idyczPd2]364=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y6:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U9><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q<209V4*aun'xm#jb?.vp,suhsz&idyczPd2]764=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y2:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl:U=><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th>Q8209V4*aun'xm#jb?.vp,suhsz&idyczPd2];64=R8&myj#|i/fn3*rt(yd~"m`uov\`6Y>:=1^<"i}f/pe+bj7&~x${}`{r.alqkrXff~;<=?>7:W3+bta&{l$ahc dnww[l:76820Y=!hrg,qb*kbe&ndyyQf<02=5==R8&myj#|i/lgn+air|Vc7=<0>8:W3+bta&{l$ahc dnww[l:6:7;37X> gsd-vc)jmd%ocxzPi=30:4><]9%l~k }f.ofi*bh}}Ub0<:1199V4*aun'xm#`kb/emvpZo;9<4:46[?/fpe*w`(elg$hb{{_h>22;7?3\:$kh!rg-nah)cg|~Te1?8>0:8Q5)`zo$yj"cjm.flqqYn4825=55Z0.eqb+ta'dof#iazt^k?5<86?2_;#j|i.sd,i`k(lfSd2>>0:8Q5)`zo$yj"cjm.flqqYn4;:5=55Z0.eqb+ta'dof#iazt^k?648602_;#j|i.sd,i`k(lfSd2=2?3;?P6(o{l%~k!bel-gkprXa5882<64U1-dvc(un&gna"j`uu]j8729911^<"i}f/pe+hcj'me~xRg324<2<>S7'nxm"h mdo,`jssW`69:3?7;T2,cw`)zo%fi`!kotv\m9406820Y=!hrg,qb*kbe&ndyyQf<3:=5==R8&myj#|i/lgn+air|Vc7>40>7:W3+bta&{l$ahc dnww[l:56820Y=!hrg,qb*kbe&ndyyQf<22=5==R8&myj#|i/lgn+air|Vc7?<0>8:W3+bta&{l$ahc dnww[l:4:7;37X> gsd-vc)jmd%ocxzPi=10:4><]9%l~k }f.ofi*bh}}Ub0>:1199V4*aun'xm#`kb/emvpZo;;<4:;6[?/fpe*w`(elg$hb{{_h>0:41<]9%l~k }f.ofi*bh}}Ub090>7:W3+bta&{l$ahc dnww[l:268=0Y=!hrg,qb*kbe&ndyyQf<7<23>S7'nxm"h mdo,`jssW`6<2<94U1-dvc(un&gna"j`uu]j8=86?2_;#j|i.sd,i`k(lfSd26>048Q5)`zo$yj"cjm.flqqYnW9;=7X> gsd-vc)jmd%ocxzPi^323>S7'nxm"h mdo,`jssW`U:<<94U1-dvc(un&gna"j`uu]j[476?2_;#j|i.sd,i`k(lfSdQ>2058Q5)`zo$yj"cjm.flqqYnW89:;6[?/fpe*w`(elg$hb{{_h]2041<]9%l~k }f.ofi*bh}}UbS<;>7:W3+bta&{l$ahc dnww[lY6>8=0Y=!hrg,qb*kbe&ndyyQf_0523>S7'nxm"h mdo,`jssW`U:4<94U1-dvc(un&gna"j`uu]j[4?6>2_;#j|i.sd,i`k(lfSdQ=169V4*aun'xm#`kb/emvpZoX:9;<7X> gsd-vc)jmd%ocxzPi^0252=R8&myj#|i/lgn+air|VcT>??8;T2,cw`)zo%fi`!kotv\mZ449>1^<"i}f/pe+hcj'me~xRgP2534?P6(o{l%~k!bel-gkprXaV8>=:5Z0.eqb+ta'dof#iazt^k\63703\:$kh!rg-nah)cg|~TeR<8169V4*aun'xm#`kb/emvpZoX:1;<7X> gsd-vc)jmd%ocxzPi^0:53=R8&myj#|i/lgn+air|VcT?<94U1-dvc(un&gna"j`uu]j[666?2_;#j|i.sd,i`k(lfSdQ<1058Q5)`zo$yj"cjm.flqqYnW:8:;6[?/fpe*w`(elg$hb{{_h]0741<]9%l~k }f.ofi*bh}}UbS>:>7:W3+bta&{l$ahc dnww[lY4=8<0Y=!hrg,qb*kbe&ndyyQf_535?P6(o{l%~k!bel-gkprXaV?::6[?/fpe*w`(elg$hb{{_h]553=R8&myj#|i/lgn+air|VcT;<84U1-dvc(un&gna"j`uu]j[=713\:$kh!rg-nah)cg|~TeR7>9:W3+bta&{l$ahc dnww[hcj494:m6[?/fpe*w`(elg$hb{{_lgn84699h1^<"i}f/pe+hcj'me~xRcjm=32:4g<]9%l~k }f.ofi*bh}}Ufi`2>2?3b?P6(o{l%~k!bel-gkprXelg7=>0>a:W3+bta&{l$ahc dnww[hcj48>5=l5Z0.eqb+ta'dof#iazt^ofi97268k0Y=!hrg,qb*kbe&ndyyQbel>22;7f3\:$kh!rg-nah)cg|~Tahc316<2e>S7'nxm"h mdo,`jssWdof0<611`9V4*aun'xm#`kb/emvpZkbe5;22<74U1-dvc(un&gna"j`uu]nah:668k0Y=!hrg,qb*kbe&ndyyQbel>14;7f3\:$kh!rg-nah)cg|~Tahc320<2e>S7'nxm"h mdo,`jssWdof0?<11`9V4*aun'xm#`kb/emvpZkbe5882<o4U1-dvc(un&gna"j`uu]nah:5<7;j7X> gsd-vc)jmd%ocxzPmdo?6086i2_;#j|i.sd,i`k(lfS`kb<34=5d=R8&myj#|i/lgn+air|Vgna1<8>0c8Q5)`zo$yj"cjm.flqqYjmd6943?n;T2,cw`)zo%fi`!kotv\i`k;:04:56[?/fpe*w`(elg$hb{{_lgn8786i2_;#j|i.sd,i`k(lfS`kb<22=5d=R8&myj#|i/lgn+air|Vgna1=>>0c8Q5)`zo$yj"cjm.flqqYjmd68>3?n;T2,cw`)zo%fi`!kotv\i`k;;:4:m6[?/fpe*w`(elg$hb{{_lgn86299h1^<"i}f/pe+hcj'me~xRcjm=16:4?<]9%l~k }f.ofi*bh}}Ufi`2<>0;8Q5)`zo$yj"cjm.flqqYjmd6?2<74U1-dvc(un&gna"j`uu]nah:26830Y=!hrg,qb*kbe&ndyyQbel>5:4?<]9%l~k }f.ofi*bh}}Ufi`28>0;8Q5)`zo$yj"cjm.flqqYjmd632<74U1-dvc(un&gna"j`uu]nah:>6>>0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$hy| r`ookjv\8T$ym` }/r1\jjoia}$ym`!kpscn*av60q9="ob1c9V4*aun'xm#`kb/uos[wgjW{nTic?l;T2,cw`)zo%fi`!{mq]qehYulVoe=<94U1-dvc(un&xjaR|jgnww[`h6l2_;#j|i.sd,vvredb%yhR||t^cpv`a582_;#j|i.sd,vvredb%yhR||t^cpv`aXl88;7X> gsd-vc)u{}hgg"|k_sqw[duumnUo><m4U1-dvc(un&xxxobd/sf\vvrXkfgi=i5Z0.eqb+ta'{ynae re]qwqYdgdh:=h5Z0.eqb+ta'{ynae re]qwqYumn6;2<k4U1-dvc(un&xxxobd/sf\vvrXzlm7=3?j;T2,cw`)zo%yylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR>>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR?>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{ySl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim({UyyQlol`25`=R8&myj#|i/sqwfim({UyyQ}ef>3:4c<]9%l~k }f.pppgjl'~xT~~zPrde?5;7c3\:$kh!rg-qwqdkc&}yS}{_sgd[57c3\:$kh!rg-qwqdkc&}yS}{_sgd[4g<]ZOYSLBFARa8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J3:UFE6=PMKi0[_G[E^OL@@YFk2]YEYKPMNFF[Gb<_[C_IRYFDUJ\Ea=PZ@^NSZGKTI]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`nb9bhhit|Vof|ywm;`nnkvrXn|fg:6lncjws`>dfkb{S`o}kdp0?fjll2njxlQlotlw,5/c3mkmRm`uov+5,b<lh~jSnaznu*1-a=ci}kTob{at)1*`>bf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkfex%9&d:fbpdYdg|d$5'k;ecweZeh}g~#5$h4d`vb[firf}626=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&6:fjj-4.>2nbb%=&6:fjj-2.>2nbb%;&6:fjj-0.>2nbb%9&6:fjj->.>2nbb%7&6:fjj969?2nbb1??>69gmk:697=0hd`313<4?aoi4895;6jfn=37:2=cag6:9394dhl?538>3mce0<950?58`lh;9>4=7iga<0<5?aoi4;4=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-==cg|~#=5'7;emvp-7>!>1ocxz'2(:8`jss ;:"46j`uu*15,><lf$?<&8:flqq.5; 20hb{{(36*<>bh}}"99$64dnww,70.02ndyy&=7(:8`jss ;2"46j`uu*1=,1<lf$>'7;emvp-57!11ocxz'30+;?air|!99%55kotv+76/?3me~x%=;)99gkpr/;<#<7iazt)6*3>bh}}">%:5kotv+2,1<lf$:'8;emvp->.?2ndyy&6)69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;><lf0<818:flqq:6?720hb{{<0:=<>bh}}6:5394dnww848?3me~x1<?>99gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;g<lf0>;50?:8`jss4:?5;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss40437hjff3ld`0=bey~r>k5iigm\c`hbzh~d~Rx9_0.#\ljnfq*HC_K/Gdlfvdrhz);9"<?l;gkekZ~kfqU>=?v<6^0`hnY60}e8:>o4fhdl[}jipV?:>u=9_3aooZ7?|f9= kgio^efj`tf|fxTz;Q>,OMMA)HHFL>;?6hffn]{hk~X=88s?;Q=cmi\5=rh;?&mekaPgdlfvdrhzV|=S<"tc^jbwZoi|Vigg0>#c^jbwZuu{}7; nQ}d^dqat;6$jUnbllce^pppZu~fj7: nQgar]q`Zbf|hUhcx`{=1.`[aoiW~coxe3>8-a\lduX{UomyoPcnwmp86+kVl~`aQil`ep[wusWkg1="l_hosh`kbf}keb`Ptxrf97*dW|ynShcmeeff`Ztbo4:'oRy}_gpfu87+kVxiRj`uu]qwq;6$jU~bik}fmmt[iip59&hSeo|_ntfvcjh4:'oR~}emmb`Zjf|ldhu0>#c^flqqYpam~c1>8#c^opcjhX~hf6=!mPre]gauro5<;9 nQjrsmq[lhmmj~bccQ{yqg>4)eX`hyTycjjrgnls86+kVbjRocmnqw[cskd4;'oRfns^ppp87+kVnjxlQlotlw[roc|a7:<!mPh`q\swYfkb7; nQzsd]fgf;7$jUhc`c`n^aokfm:8%iT{Qncj]okr;7$jUyhR~ats]tmaro50&hSx}j_guepZbf|hUhcx`{=1.`[mgtWhffc~zPelrw}86+kVxoSio{a^alqkrX`nd07#c^muaw`kg~Ugcz3?,b]tvZvi|{U|eizg=02/gZvugnUna}zv_u{sa86+kV}ySio{a^alqkrX`nd0??,b]sv`jhimUyij}21-a\`jssW{yS{oc=1.`[mgtWmkmRm`uov>4)eXx{elSk{cl^vkv`uoWgolmykPv`n>5573$jU|~Rjjpuj>5543$jU{~biPelrw}ZrozlycSckhaug\rdj:=%iT|ah_dosp|Ys`{oxdRo|sdpw[sgk5=&hSeo|_wcoma;7$jU{~biPftno[qnumzbTm~}jru]uei;688?'obcoogmpZhfel7mekaPxml{[075p:<T>nbd_0:wk60+kV|j`djPlnu>4)eXx{cfSkgio^vzt`;6cq;3 nQrne\bpjkW}s{i0?#c^ofijt~W}s{i0?#}248bl`hWqfetR;>2y15[7ekcV;3xb=9_gkekZabflxjxb|Pv7]2[}usW8>0jxbcd:kmn`eslkci|k;hliafrtj`~n~94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-42<x{elShctx]wlwct`!8"=95rne\ahvsqV~c~h}g(2+20>vugnUna}zv_ujqavn/< ;?7}|`g^gntqX|axne&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,713yxdkRkbpu{\pmtb{a636=0>b:rqkbYbey~rSyf}erj\evubz}";%<l4psmd[`kw|pUdk|h^cpw`ts 8#:n6~}of]fiur~W}byi~fParqfvq.5!8h0|ah_dosp|Ys`{oxdRo|sdpw,6/6j2zycjQjmqvz[qnumzbTm~}jru*7-4d<x{elShctx]wlwct`Vkxh|{(4+2f>vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx$:'>b:rqkbYbey~rSyf}erj\evubz}"3%<j4psmd[`kw|pUdk|h^cpw`ts410;2<l4psmd[`kw|pUdk|h^lfcdrb 9#:n6~}of]fiur~W}byi~fPndebp`.6!8h0|ah_dosp|Ys`{oxdR`jg`vf,7/6j2zycjQjmqvz[qnumzbTbhintd*0-4d<x{elShctx]wlwct`Vdnklzj(5+2f>vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%<l4psmd[`kw|pUdk|h^lfcdrb 1#:h6~}of]fiur~W}byi~fPndebp`:?29427}|`g^dvhi743yxdkRhzlm]wlwct`!:"=>5rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeUdk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=>5rne\bpjkW}byi~f'9(36?uthoVl~`aQ{hsgpl9?=87;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj~k}t)3*5d=wzfmTjxbc_ujqavnXizyn~y&=)0c8twi`Wog`Rzgrdqk[dutm{~#?$?n;qplcZ`rdeUdk|h^cpw`ts =#:m6~}of]eqijX|axneQnsrgqp-3.9h1{~biPftno[qnumzbTm~}jru*5-4g<x{elSk{cl^vkv`uoWhyxiz'7(3b?uthoVl~`aQ{hsgplZgt{lx$5'>a:rqkbYa}efTxe|jsi]bwvcu|!3"=n5rne\bpjkW}byi~fParqfvq:>294:m6~}of]eqijX|axneQaefcwa-6.9h1{~biPftno[qnumzbTbhintd*2-4g<x{elSk{cl^vkv`uoWgolmyk'2(3b?uthoVl~`aQ{hsgplZhboh~n$>'>a:rqkbYa}efTxe|jsi]mabgsm!>"=l5rne\bpjkW}byi~fPndebp`.2!8k0|ah_gwohZrozlycSckhaug+2,7f3yxdkRhzlm]wlwct`Vdnklzj(6+2e>vugnUmyabPtipfwmYimnki%6&1`9svjaXn|fgSyf}erj\j`af|l"2%<m4psmd[cskdV~c~h}g_ogdeqc;13:5:6|k_bnh55=ulVnjxlQlotlw,5/682xoSio{a^alqkr/9 ;;7jPd`vb[firf}"9%<>4re]geqgXkfex%=&119q`Zbf|hUhcx`{(5+24>tcWmkmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5<zz~<7~lftdpq0>uu{}837yc/^ad+coagVrgbuQ:13z02Z4ddbU:4ya<6/ldk1=sz|o27x`kesdokr3<~hfbh;5xr^c`o3=pzVigg<>4ws]geqgXkfex%>&119tvZbf|hUhcx`{(0+24>quWmkmRm`uov+6,773~xThlzn_bmvjq.4!8:0{Qkauc\gjsi|!>"==5xr^fbpdYdg|d$8'>0:uq[agsiVidycz'6(33?rtXlh~jSnaznu*4-46<{UomyoPcnwmp->.991|~Rjnt`]`kphs 0#:>6y}_ecweZeh}g~757>15:uq[`h13~xT~~zr@Ar``==GHq?=>7H54;3xW1c==991;7?<2c4g6?4f:k=pb96=:09m0=5=>2.?4=4;7`9~W1e==991;7?<2c4g6?4f:k=0_<<m:42f>5<6;;h=h?4=a3`;?V2d2<:n6=4>33`5`7<5i;h37i;?6;295?7|[=o19==57;306g0c:38j>o94vU3;e?6=93;1=n>tS5g9155=?3;8>o8k2;0b6g1<,==86<<l;W6;5?4|}89?6<5z12794>{#9>o1=55m51494?5a2:08jvF;709Y01<5s8=1==4r$0:e>0613-><j7;?5:k61a<722e?484?::m66c<722c>;>4?::m64d<722e><94?::k622<722c>9k4?::m7`2<72-;3<7:ia:l23c<732e?h;4?:%3;4?2ai2d:;k4>;:m7`0<72-;3<7:ia:l23c<532e?h94?:%3;4?2ai2d:;k4<;:m7`6<72-;3<7:ia:l23c<332e?h?4?:%3;4?2ai2d:;k4:;:m7`5<72-;3<7:ia:l23c<132e?ok4?:%3;4?2ai2d:;k48;:m7g`<72-;3<7:ia:l23c<?32e?oi4?:%3;4?2ai2d:;k46;:m7gf<72-;3<7:ia:l23c<f32e?oo4?:%3;4?2ai2d:;k4m;:m7gd<72-;3<7:ia:l23c<d32e?o44?:%3;4?2ai2d:;k4k;:m7g=<72-;3<7:ia:l23c<b32e?o:4?:%3;4?2ai2d:;k4i;:m7g0<72-;3<7:ia:l23c<6821d8n:50;&2<5<3nh1e=:h51098k1e4290/=5>54gc8j41a28807b:l2;29 4>72=lj7c?8f;30?>i3k80;6)?70;6ee>h6?o0:865`4b294?"6090?jl5a16d950=<g=hm6=4+19290cg<f8=m6<84;n6aa?6=,82;69hn;o34b?7032e?ni4?:%3;4?2ai2d:;k4>8:9l0ge=83.:4=4;f`9m52`=9010c9jk:18'5=6=<ok0b<9i:0c8?j2ck3:1(<6?:5db?k70n3;i76a;dc83>!7?83>mm6`>7g82g>=h<mk1<7*>8187bd=i9>l1=i54o5f:>5<#91:18ko4n05e>4c<3f>o47>5$0:3>1`f3g;<j7?i;:m7`4<72-;3<7:ia:l23c<5821d8n850;&2<5<3nh1e=:h52098k1de290/=5>54gc8j41a2;807b:ma;29 4>72=lj7c?8f;00?>o31l0;6)?70;6bb>h6?o0;76g;9e83>!7?83>jj6`>7g82?>o31j0;6)?70;6bb>h6?o0976g;9c83>!7?83>jj6`>7g80?>o31h0;6)?70;6bb>h6?o0?76g;9883>!7?83>jj6`>7g86?>o3110;6)?70;6bb>h6?o0=76g;9683>!7?83>jj6`>7g84?>o3i?0;6)?70;6bb>h6?o0376g;a483>!7?83>jj6`>7g8:?>o3i=0;6)?70;6bb>h6?o0j76g;a283>!7?83>jj6`>7g8a?>o3i;0;6)?70;6bb>h6?o0h76g;a083>!7?83>jj6`>7g8g?>o3i90;6)?70;6bb>h6?o0n76g;9g83>!7?83>jj6`>7g8e?>o31?0;6)?70;6bb>h6?o0:<65f48794?"6090?mk5a16d954=<a<?:6=44b551>5<6290;wE:81:&2<c<3?;1d=:j50;9~fa1=83;1<7>tH552?!7?n3n<7bj9:188yg24290j:7?74;3`4~N3?81Q894>6z0;>71=:00h644n:c823?772;k1h7m5d;34>7g=:10:<7l5a;;96<<5?3w/=5h551;8 11==9=0(9j551:8 41>28=h7d;=3;29 4>72<8?7c?8f;28?l35:3:1(<6?:407?k70n3;07d;=1;29 4>72<8?7c?8f;08?l3583:1(<6?:407?k70n3907d;>f;29 4>72<8?7c?8f;68?l36m3:1(<6?:407?k70n3?07d;>d;29 4>72<8?7c?8f;48?l36k3:1(<6?:407?k70n3=07d;>b;29 4>72<8?7c?8f;:8?l37k3:17d;:e;29?l3193:17b;95;29?l3613:1(<6?:43b?k70n3:07d;>8;29 4>72<;j7c?8f;38?l36?3:1(<6?:43b?k70n3807d;>6;29 4>72<;j7c?8f;18?l36=3:1(<6?:43b?k70n3>07d;>4;29 4>72<;j7c?8f;78?l36;3:1(<6?:43b?k70n3<07d;>2;29 4>72<;j7c?8f;58?l3693:1(<6?:43b?k70n3207d;:d;29?j37n3:17d;=d;29 4>72<8n7c?8f;28?l35k3:1(<6?:40f?k70n3;07d;=b;29 4>72<8n7c?8f;08?l35i3:1(<6?:40f?k70n3907d;=9;29 4>72<8n7c?8f;68?l3503:1(<6?:40f?k70n3?07d;=7;29 4>72<8n7c?8f;48?l35>3:1(<6?:40f?k70n3=07d;=5;29 4>72<8n7c?8f;:8?j2?=3:17b:62;29 4>72=387c?8f;28?j2>93:1(<6?:5;0?k70n3;07b:60;29 4>72=387c?8f;08?j2?n3:1(<6?:5;0?k70n3907b:7e;29 4>72=387c?8f;68?j2?l3:1(<6?:5;0?k70n3?07b:7c;29 4>72=387c?8f;48?j2?j3:1(<6?:5;0?k70n3=07b:7a;29 4>72=387c?8f;:8?j2?13:1(<6?:5;0?k70n3307b;=f;29?l30;3:17d;<9;29 4>72<9j7c?8f;28?l3403:1(<6?:41b?k70n3;07d;<7;29 4>72<9j7c?8f;08?l34>3:1(<6?:41b?k70n3907d;<5;29 4>72<9j7c?8f;68?l34<3:1(<6?:41b?k70n3?07d;<3;29 4>72<9j7c?8f;48?l34:3:1(<6?:41b?k70n3=07d;<1;29 4>72<9j7c?8f;:8?l3483:1(<6?:41b?k70n3307b;?a;29?j37<3:17d;97;29?l2??3:17d;;4;29 4>72<>>7c?8f;28?l33;3:1(<6?:466?k70n3;07d;;2;29 4>72<>>7c?8f;08?l3393:1(<6?:466?k70n3907d;;0;29 4>72<>>7c?8f;68?l34n3:1(<6?:466?k70n3?07d;<e;29 4>72<>>7c?8f;48?l34l3:1(<6?:466?k70n3=07d;<c;29 4>72<>>7c?8f;:8?l34j3:1(<6?:466?k70n3307d;;f;29 4>72<?;7c?8f;28?l33m3:1(<6?:473?k70n3;07d;;d;29 4>72<?;7c?8f;08?l33k3:1(<6?:473?k70n3907d;;b;29 4>72<?;7c?8f;68?l33i3:1(<6?:473?k70n3?07d;;9;29 4>72<?;7c?8f;48?l3303:1(<6?:473?k70n3=07d;;7;29 4>72<?;7c?8f;:8?l33>3:1(<6?:473?k70n3307b:74;29?l37l3:17d:78;29?l30<3:17b;90;29?j31>3:17d;:f;29?j2c?3:1(<6?:5db?k70n3:07b:k6;29 4>72=lj7c?8f;38?j2c=3:1(<6?:5db?k70n3807b:k4;29 4>72=lj7c?8f;18?j2c;3:1(<6?:5db?k70n3>07b:k2;29 4>72=lj7c?8f;78?j2c83:1(<6?:5db?k70n3<07b:lf;29 4>72=lj7c?8f;58?j2dm3:1(<6?:5db?k70n3207b:ld;29 4>72=lj7c?8f;;8?j2dk3:1(<6?:5db?k70n3k07b:lb;29 4>72=lj7c?8f;`8?j2di3:1(<6?:5db?k70n3i07b:l9;29 4>72=lj7c?8f;f8?j2d03:1(<6?:5db?k70n3o07b:l7;29 4>72=lj7c?8f;d8?j2d=3:1(<6?:5db?k70n3;;76a;c583>!7?83>mm6`>7g825>=h<j91<7*>8187bd=i9>l1=?54o5a1>5<#91:18ko4n05e>45<3f>h=7>5$0:3>1`f3g;<j7?;;:m7g5<72-;3<7:ia:l23c<6=21d8oh50;&2<5<3nh1e=:h51798k1db290/=5>54gc8j41a28=07b:md;29 4>72=lj7c?8f;3;?>i3jj0;6)?70;6ee>h6?o0:565`4ef94?"6090?jl5a16d95d=<g=nh6=4+19290cg<f8=m6<l4;n6gf?6=,82;69hn;o34b?7d32e?hl4?:%3;4?2ai2d:;k4>d:9l0a?=83.:4=4;f`9m52`=9l10c9j7:18'5=6=<ok0b<9i:0d8?j2c93:1(<6?:5db?k70n38;76a;c783>!7?83>mm6`>7g815>=h<kh1<7*>8187bd=i9>l1>?54o5`b>5<#91:18ko4n05e>75<3`>2i7>5$0:3>1ga3g;<j7>4;h6:`?6=,82;69oi;o34b?7<3`>2o7>5$0:3>1ga3g;<j7<4;h6:f?6=,82;69oi;o34b?5<3`>2m7>5$0:3>1ga3g;<j7:4;h6:=?6=,82;69oi;o34b?3<3`>247>5$0:3>1ga3g;<j784;h6:3?6=,82;69oi;o34b?1<3`>j:7>5$0:3>1ga3g;<j764;h6b1?6=,82;69oi;o34b??<3`>j87>5$0:3>1ga3g;<j7o4;h6b7?6=,82;69oi;o34b?d<3`>j>7>5$0:3>1ga3g;<j7m4;h6b5?6=,82;69oi;o34b?b<3`>j<7>5$0:3>1ga3g;<j7k4;h6:b?6=,82;69oi;o34b?`<3`>2:7>5$0:3>1ga3g;<j7??;:k7=0<72-;3<7:nf:l23c<6921b85850;9l10g=83.:4=4:5b9m52`=821d98750;&2<5<2=j1e=:h51:9l10>=83.:4=4:5b9m52`=:21d98950;&2<5<2=j1e=:h53:9l100=83.:4=4:5b9m52`=<21d98;50;&2<5<2=j1e=:h55:9l102=83.:4=4:5b9m52`=>21d98=50;&2<5<2=j1e=:h57:9l104=83.:4=4:5b9m52`=021d9:?50;&2<5<2?;1e=:h50:9l126=83.:4=4:739m52`=921d9;h50;&2<5<2?;1e=:h52:9l13c=83.:4=4:739m52`=;21d9;j50;&2<5<2?;1e=:h54:9l13e=83.:4=4:739m52`==21d9;l50;&2<5<2?;1e=:h56:9l13g=83.:4=4:739m52`=?21d9;750;&2<5<2?;1e=:h58:9l13>=83.:4=4:739m52`=121d9<>50;9j15d=831d84:50;9j107=831d9;:50;9a02b=83;1<7>t$0:e>a1<@==h7E:81:mg2?6=3th?;h4?:083>5}#91l18:<4H55`?M2092e:;i4?::a6d6=83>1<7>t$0:e>a4<@==h7E:81:&f1?0<a=?1<75f4g83>>o6080;66a>8383>>{e<<o1<7=50;2x 4>a2jl0D99l;I645>N3:2.:??4:629'a0<63`>>6=44i5d94?=h9181<75rb54:>5<4290;w)?7f;ae?M20k2B?;<5G439'564==?90(h;51:k71?6=3`>m6=44o0:1>5<<uk>>h7>53;294~"60o0hj6F;7b9K027<@=80(<==:440?!c2281b884?::k7b?6=3f;3>7>5;|`722<72<0;6=u+19d9`6=O<>i0D99>;I61?!74:3?=?6g;5;29?l212900e9h50;9j5=7=831d=5<50;9~f10?29086=4?{%3;b?ea3A><o6F;709K07=#9:819;=4$d795>o3=3:17d:i:188k4>52900qo::c;291?6=8r.:4k4k3:J73f=O<>;0D9<4$011>0043`>>6=44i5494?=n<o0;66g>8083>>i60;0;66sm47494?2=83:p(<6i:e08L11d3A><=6*j5;48m13=831b8k4?::k2<4<722e:4?4?::a033=83>1<7>t$0:e>a4<@==h7E:81:&f1?0<a=?1<75f4g83>>o6080;66a>8383>>{e<?>1<7:50;2x 4>a2m80D99l;I645>"b=3<0e9;50;9j0c<722c:4<4?::m2<7<722wi8;h50;694?6|,82m6i<4H55`?M2092.n9784i5794?=n<o0;66g>8083>>i60;0;66sm47g94?2=83:p(<6i:e08L11d3A><=6*j5;48m13=831b8k4?::k2<4<722e:4?4?::a642=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd59:0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8:>7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn??>:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm20294?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`14c<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg47m3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;:o6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi>=m50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl>b383>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c3a5?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4d7290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9hl1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th:mh4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo?nd;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb0c`>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a5dd=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd6ih0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8=<7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn?;i:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm24g94?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`11a<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg42k3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;?i6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi>8o50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl=5883>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c06<?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4`f290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9o31<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th:j54?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo?i7;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb0d5>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a5c3=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd6n=0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk;m?7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn<h=:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25g94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25f94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25a94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25`94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25c94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25;94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25:94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25594?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25494?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm25794?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d:94?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d594?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d494?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d794?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d694?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d194?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d094?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d394?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1d294?2=83:p(<6i:e28L11d3A><=6F;2:&277<2>:1/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm1e494?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`2`0<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg7c<3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj8n86=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi=i<50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl>d083>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c3g4?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f4ea290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e9jo1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th9?l4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo<<9;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb31;>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a661=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd5;?0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk8897>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn?=;:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm22194?2=83:p(<6i:e28L11d3A><=6*j5;38m13=831b8o4?::k7b?6=3f;3>7>5;|`177<72=0;6=u+19d9`5=O<>i0D99>;%g6>4=n<<0;66g;b;29?l2a2900c<6=:188yg4493:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;n<6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`1`3<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn?j::186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd5l=0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb3f0>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th9h?4?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f7b7290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl=cg83>0<729q/=5h5d29K02e<@==:7)k::79j00<722c?:7>5;h6e>5<<a82:6=44o0:1>5<<uk8o=7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a6f2=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi>n=50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a6gg=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`1g7<72:0;6=u+19d902d<@==h7E:81:k7<?6=3`;957>5;n34f?6=3th9n54?:583>5}#91l1=>=4H55`?M2092c?47>5;h72>5<<a8236=44o05a>5<<uk8h=7>53;294~"60o0?;o5G46a8L1163`>36=44i00:>5<<g8=i6=44}c0a2?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb3a3>5<4290;w)?7f;64f>N3?j1C8:?4i5:94?=n9;31<75`16`94?=zj;h?6=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e:kl1<7=50;2x 4>a2==i7E:8c:J734=n<10;66g>2883>>i6?k0;66sm2c094?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl=bd83>6<729q/=5h546`8L11d3A><=6g;8;29?l7513:17b?8b;29?xd5j90;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg4el3:1?7>50z&2<c<3?k1C8:m4H552?l2?2900e<<6:188k41e2900qo<ne;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn?ll:180>5<7s-;3j7:8b:J73f=O<>;0e9650;9j57?=831d=:l50;9~f7gd290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a6`?=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo<j8;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e:l=1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c0f2?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi>h;50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg4b<3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm2d194?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk8n>7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a6`7=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo<84;290?6=8r.:4k4k2:J73f=O<>;0(h;56:k71?6=3`>m6=44i0:2>5<<g8296=44}c041?6=<3:1<v*>8g8g6>N3?j1C8:?4$d792>o3=3:17d:i:188m4>62900c<6=:188yg41n3:187>50z&2<c<c82B?;n5G4638 `3=92c?97>5;h6a>5<<a=l1<75`19094?=zj;<n6=4;:183!7?n3n;7E:8c:J734=#m<0:7d:::188m1d=831b8k4?::m2<7<722wi?=>50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a75?=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi>k:50;694?6|,82m6<=<;I64g>N3?81b854?::k65?6=3`;347>5;n34f?6=3th8<54?:283>5}#91l18:l4H55`?M2092c?47>5;h31=?6=3f;<n7>5;|`1b6<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c133?6=;3:1<v*>8g873g=O<>i0D99>;h6;>5<<a8826=44o05a>5<<uk8m>7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj::=6=4<:183!7?n3><n6F;7b9K027<a=21<75f13;94?=h9>h1<75rb3d2>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm31794?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e:o:1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd48=0;6>4?:1y'5=`=<>h0D99l;I645>o303:17d?=9;29?j70j3:17pl=eg83>1<729q/=5h51218L11d3A><=6g;8;29?l362900e<67:188k41e2900qo=?3;297?6=8r.:4k4;7c9K02e<@==:7d:7:188m44>2900c<9m:188yg4bm3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f66529086=4?{%3;b?20j2B?;n5G4638m1>=831b=?750;9l52d=831vn?kk:187>5<7s-;3j7?<3:J73f=O<>;0e9650;9j14<722c:454?::m23g<722wi?=?50;194?6|,82m699m;I64g>N3?81b854?::k26<<722e:;o4?::a6`e=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`1ag<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c121?6=<3:1<v*>8g8g4>N3?j1C8:?4$d795>o3=3:17d:m:188m1`=831d=5<50;9~f67c290?6=4?{%3;b?b73A><o6F;709'a0<63`>>6=44i5`94?=n<o0;66a>8383>>{e;8i1<7:50;2x 4>a2m:0D99l;I645>"b=3;0e9;50;9j0g<722c?j7>5;n3;6?6=3th8=o4?:583>5}#91l1h=5G46a8L1163-o>6<5f4483>>o3j3:17d:i:188k4>52900qo=>a;290?6=8r.:4k4k0:J73f=O<>;0(h;51:k71?6=3`>i6=44i5d94?=h9181<75rb23:>5<3290;w)?7f;f3?M20k2B?;<5+e482?l222900e9l50;9j0c<722e:4?4?::a74>=83>1<7>t$0:e>a6<@==h7E:81:&f1?7<a=?1<75f4c83>>o3n3:17b?72;29?xd49>0;694?:1y'5=`=l91C8:m4H552?!c2281b884?::k7f?6=3`>m6=44o0:1>5<<uk9::7>54;294~"60o0o<6F;7b9K027<,l?1=6g;5;29?l2e2900e9h50;9l5=4=831vn>?;:187>5<7s-;3j7j?;I64g>N3?81/i84>;h66>5<<a=h1<75f4g83>>i60;0;66sm33:94?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk82?7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj;396=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e:0;1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd5190;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg4?n3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f7>7290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a62`=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`13`<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c04`?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb35`>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm3c`94?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk9i57>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7gg=83?1<7>t$0:e>a5<@==h7E:81:&f1?0<a=?1<75f4783>>o3n3:17d?71;29?j7?:3:17pl<bb83>0<729q/=5h5d09K02e<@==:7)k::09j00<722c?:7>5;h6a>5<<a=l1<75`19094?=zj:ho6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`0g5<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn>lj:186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd4jo0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2a2>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8o?4?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6ea290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl<ce83>0<729q/=5h5d29K02e<@==:7)k::79j00<722c?:7>5;h6e>5<<a82:6=44o0:1>5<<uk9hi7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7a6=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo=k1;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e;m>1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c1g6?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi?i=50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg5c=3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm3e494?3=83:p(<6i:e38L11d3A><=6*j5;38m13=831b8;4?::k7f?6=3`>m6=44o0:1>5<<uk9jh7>55;294~"60o0o=6F;7b9K027<,l?1=6g;5;29?l212900e9l50;9j0c<722e:4?4?::a7de=83?1<7>t$0:e>a7<@==h7E:81:&f1?7<a=?1<75f4783>>o3j3:17d:i:188k4>52900qo=ne;291?6=8r.:4k4k1:J73f=O<>;0(h;51:k71?6=3`>=6=44i5`94?=n<o0;66a>8383>>{e;hk1<7;50;2x 4>a2m;0D99l;I645>"b=3;0e9;50;9j03<722c?n7>5;h6e>5<<g8296=44}c1b=?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi?ll50;794?6|,82m6i?4H55`?M2092.n97?4i5794?=n<?0;66g;b;29?l2a2900c<6=:188yg5f?3:197>50z&2<c<c92B?;n5G4638 `3=92c?97>5;h65>5<<a=h1<75f4g83>>i60;0;66sm3`494?3=83:p(<6i:e18L11d3A><=6*j5;48m13=831b8;4?::k7b?6=3`;3=7>5;n3;6?6=3th8m54?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6g2290>6=4?{%3;b?b43A><o6F;709'a0<13`>>6=44i5494?=n<o0;66g>8083>>i60;0;66sm38;94?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e;021<7=50;2x 4>a2==i7E:8c:J734=n<10;66g>2883>>i6?k0;66sm39g94?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl<9683>6<729q/=5h546`8L11d3A><=6g;8;29?l7513:17b?8b;29?xd40j0;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg5>>3:1?7>50z&2<c<3?k1C8:m4H552?l2?2900e<<6:188k41e2900qo=7a;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn>7::180>5<7s-;3j7:8b:J73f=O<>;0e9650;9j57?=831d=:l50;9~f6>?290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a7<2=8391<7>t$0:e>11e3A><o6F;709j0=<722c:>44?::m23g<722wi?5850;694?6|,82m6<=<;I64g>N3?81b854?::k65?6=3`;347>5;n34f?6=3th85>4?:283>5}#91l18:l4H55`?M2092c?47>5;h31=?6=3f;<n7>5;|`0<1<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c1:6?6=;3:1<v*>8g873g=O<>i0D99>;h6;>5<<a8826=44o05a>5<<uk93>7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj:3:6=4<:183!7?n3><n6F;7b9K027<a=21<75f13;94?=h9>h1<75rb2:3>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm38294?5=83:p(<6i:55a?M20k2B?;<5f4983>>o6:00;66a>7c83>>{e;>o1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd4mh0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2g:>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8i54?:483>5}#91l1h<5G46a8L1163-o>6<5f4483>>o3>3:17d:m:188m1`=831d=5<50;9~f6c0290>6=4?{%3;b?b63A><o6F;709'a0<63`>>6=44i5494?=n<k0;66g;f;29?j7?:3:17pl<e783>0<729q/=5h5d09K02e<@==:7)k::09j00<722c?:7>5;h6a>5<<a=l1<75`19094?=zj:o>6=4::183!7?n3n:7E:8c:J734=#m<0:7d:::188m10=831b8o4?::k7b?6=3f;3>7>5;|`0a1<72<0;6=u+19d9`4=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2e2900e9h50;9l5=4=831vn>k<:186>5<7s-;3j7j>;I64g>N3?81/i84>;h66>5<<a=<1<75f4c83>>o3n3:17b?72;29?xd4m;0;684?:1y'5=`=l81C8:m4H552?!c2281b884?::k72?6=3`>i6=44i5d94?=h9181<75rb2g2>5<2290;w)?7f;f2?M20k2B?;<5+e482?l222900e9850;9j0g<722c?j7>5;n3;6?6=3th8??4?:583>5}#91l1=>=4H55`?M2092c?47>5;h72>5<<a8236=44o05a>5<<uk98?7>54;294~"60o0:?>5G46a8L1163`>36=44i4394?=n9121<75`16`94?=zj:9?6=4;:183!7?n3;8?6F;7b9K027<a=21<75f5083>>o6010;66a>7c83>>{e;:?1<7:50;2x 4>a28987E:8c:J734=n<10;66g:1;29?l7?03:17b?8b;29?xd4;?0;694?:1y'5=`=9:90D99l;I645>o303:17d;>:188m4>?2900c<9m:188yg53=3:187>50z&2<c<6;:1C8:m4H552?l2?2900e8?50;9j5=>=831d=:l50;9~f621290?6=4?{%3;b?74;2B?;n5G4638m1>=831b9<4?::k2<=<722e:;o4?::a711=83>1<7>t$0:e>4543A><o6F;709j0=<722c>=7>5;h3;<?6=3f;<n7>5;|`00=<72=0;6=u+19d9565<@==h7E:81:k7<?6=3`?:6=44i0:;>5<<g8=i6=44}c17=?6=<3:1<v*>8g8276=O<>i0D99>;h6;>5<<a<;1<75f19:94?=h9>h1<75rb27a>5<3290;w)?7f;307>N3?j1C8:?4i5:94?=n=80;66g>8983>>i6?k0;66sm34a94?2=83:p(<6i:010?M20k2B?;<5f4983>>o293:17d?78;29?j70j3:17pl<5e83>1<729q/=5h51218L11d3A><=6g;8;29?l362900e<67:188k41e2900qo=:e;290?6=8r.:4k4>329K02e<@==:7d:7:188m07=831b=5650;9l52d=831vn>;i:187>5<7s-;3j7?<3:J73f=O<>;0e9650;9j14<722c:454?::m23g<722wi?;k50;694?6|,82m6i>4H55`?M2092.n97?4i5794?=n<k0;66g;f;29?j7?:3:17pl<6g83>1<729q/=5h5d19K02e<@==:7)k::09j00<722c?n7>5;h6e>5<<g8296=44}c15e?6==3:1<v*>8g8g5>N3?j1C8:?4$d795>o3=3:17d:9:188m1d=831b8k4?::m2<7<722wi8?j50;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd3:j0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c61f?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9<n:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<;31<7;50;2x 4>a2m>0D99l;I645>"b=3;0e9;50;9j03<722c?j7>5;h3;7?6=3f;3>7>5;|`76=<72<0;6=u+19d9`1=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2a2900e<6<:188k4>52900qo:=6;291?6=8r.:4k4k4:J73f=O<>;0(h;51:k71?6=3`>=6=44i5d94?=n9191<75`19094?=zj=8>6=4::183!7?n3n?7E:8c:J734=#m<0:7d:::188m10=831b8k4?::k2<6<722e:4?4?::a072=83?1<7>t$0:e>a2<@==h7E:81:&f1?7<a=?1<75f4783>>o3n3:17d?73;29?j7?:3:17pl;2283>0<729q/=5h5d59K02e<@==:7)k::09j00<722c?:7>5;h6e>5<<a8286=44o0:1>5<<uk>9>7>55;294~"60o0o86F;7b9K027<,l?1=6g;5;29?l212900e9h50;9j5=5=831d=5<50;9~f146290>6=4?{%3;b?b33A><o6F;709'a0<63`>>6=44i5494?=n<o0;66g>8283>>i60;0;66sm43294?3=83:p(<6i:e68L11d3A><=6*j5;38m13=831b8;4?::k7b?6=3`;3?7>5;n3;6?6=3th?=k4?:483>5}#91l1h95G46a8L1163-o>6<5f4483>>o3>3:17d:i:188m4>42900c<6=:188yg26m3:197>50z&2<c<c<2B?;n5G4638 `3=92c?97>5;h65>5<<a=l1<75f19194?=h9181<75rb53g>5<2290;w)?7f;f7?M20k2B?;<5+e482?l222900e9850;9j0c<722c:4>4?::m2<7<722wi8<l50;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd39h0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c62=?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9?7:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<8=1<7;50;2x 4>a2m>0D99l;I645>"b=3;0e9;50;9j03<722c?j7>5;h3;7?6=3f;3>7>5;|`753<72<0;6=u+19d9`1=O<>i0D99>;%g6>4=n<<0;66g;6;29?l2a2900e<6<:188k4>52900qo:>5;291?6=8r.:4k4k4:J73f=O<>;0(h;51:k71?6=3`>=6=44i5d94?=n9191<75`19094?=zj=;?6=4::183!7?n3n?7E:8c:J734=#m<0:7d:::188m10=831b8k4?::k2<6<722e:4?4?::a045=83?1<7>t$0:e>a2<@==h7E:81:&f1?7<a=?1<75f4783>>o3n3:17d?73;29?j7?:3:17pl;1383>0<729q/=5h5d59K02e<@==:7)k::09j00<722c?:7>5;h6e>5<<a8286=44o0:1>5<<uk>8?7>55;294~"60o0o86F;7b9K027<,l?1=6g;5;29?l212900e9h50;9j5=5=831d=5<50;9~f155290>6=4?{%3;b?b33A><o6F;709'a0<63`>>6=44i5494?=n<o0;66g>8283>>i60;0;66sm42394?3=83:p(<6i:e68L11d3A><=6*j5;38m13=831b8;4?::k7b?6=3`;3?7>5;n3;6?6=3th??=4?:483>5}#91l1h95G46a8L1163-o>6<5f4483>>o3>3:17d:i:188m4>42900c<6=:188yg25n3:197>50z&2<c<c<2B?;n5G4638 `3=92c?97>5;h65>5<<a=l1<75f19194?=h9181<75rb50f>5<2290;w)?7f;f7?M20k2B?;<5+e482?l222900e9850;9j0c<722c:4>4?::m2<7<722wi8?950;794?6|,82m6i:4H55`?M2092.n97?4i5794?=n<?0;66g;f;29?l7?;3:17b?72;29?xd39j0;684?:1y'5=`=l=1C8:m4H552?!c2281b884?::k72?6=3`>m6=44i0:0>5<<g8296=44}c625?6==3:1<v*>8g8g0>N3?j1C8:?4$d795>o3=3:17d:9:188m1`=831b=5=50;9l5=4=831vn9??:186>5<7s-;3j7j;;I64g>N3?81/i84>;h66>5<<a=<1<75f4g83>>o60:0;66a>8383>>{e<?h1<7=50;2x 4>a288n7E:8c:J734=#m<0:56gk9;29?lbf2900c<9m:188yg2183:1?7>50z&2<c<6:l1C8:m4H552?!c22830ei750;9j`d<722e:;o4?::a640=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3a0?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm1`294?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo?6f;297?6=8r.:4k4>2d9K02e<@==:7)k::0f8ma?=831bhl4?::m23g<722wi=4k50;194?6|,82m6<<j;I64g>N3?81/i84>d:kg=?6=3`nj6=44o05a>5<<uk;2h7>53;294~"60o0:>h5G46a8L1163-o>6<j4ie;94?=nlh0;66a>7c83>>{e90i1<7=50;2x 4>a288n7E:8c:J734=#m<0:h6gk9;29?lbf2900c<9m:188yg7>j3:1?7>50z&2<c<6:l1C8:m4H552?!c228n0ei750;9j`d<722e:;o4?::a5<g=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3:=?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm18:94?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo?67;297?6=8r.:4k4>2d9K02e<@==:7)k::0f8ma?=831bhl4?::m23g<722wi=4850;194?6|,82m6<<j;I64g>N3?81/i84>d:kg=?6=3`nj6=44o05a>5<<uk;297>53;294~"60o0:>h5G46a8L1163-o>6<j4ie;94?=nlh0;66a>7c83>>{e90>1<7=50;2x 4>a288n7E:8c:J734=#m<0:h6gk9;29?lbf2900c<9m:188yg7>;3:1?7>50z&2<c<6:l1C8:m4H552?!c228n0ei750;9j`d<722e:;o4?::a5<4=8391<7>t$0:e>44b3A><o6F;709'a0<6l2co57>5;hfb>5<<g8=i6=44}c3:5?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm18294?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo<83;290?6=8r.:4k4>2g9K02e<@==:7)k::ba8ma?=831bhl4?::kgf?6=3f;<n7>5;|`15=<72=0;6=u+19d957`<@==h7E:81:&f1?433`n26=44iec94?=nlk0;66a>7c83>>{e9k<1<7:50;2x 4>a288m7E:8c:J734=#m<0986gk9;29?lbf2900eil50;9l52d=831vn?9>:186>5<7s-;3j7?<0:J73f=O<>;0(h;51`9j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;;j6=4::183!7?n3;8<6F;7b9K027<,l?1=k5fd883>>oci3:17djm:188mae=831d=:l50;9~f4d?290>6=4?{%3;b?7482B?;n5G4638 `3=9o1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb354>5<2290;w)?7f;304>N3?j1C8:?4$d7964=nl00;66gka;29?lbe2900eim50;9l52d=831vn?8m:187>5<7s-;3j7?=f:J73f=O<>;0(h;52c9j`<<722com7>5;hfa>5<<g8=i6=44}c02g?6=;3:1<v*>8g826`=O<>i0D99>;%g6>4b<am31<75fd`83>>i6?k0;66sm1cc94?5=83:p(<6i:00f?M20k2B?;<5+e482`>oc13:17djn:188k41e2900qo<>e;290?6=8r.:4k4>2g9K02e<@==:7)k::368ma?=831bhl4?::kgf?6=3f;<n7>5;|`2ff<72=0;6=u+19d957`<@==h7E:81:&f1?433`n26=44iec94?=nlk0;66a>7c83>>{e:;:1<7;50;2x 4>a289;7E:8c:J734=#m<0:j6gk9;29?lbf2900eil50;9j`f<722e:;o4?::a5gc=83?1<7>t$0:e>4573A><o6F;709'a0<6n2co57>5;hfb>5<<amh1<75fdb83>>i6?k0;66sm33c94?3=83:p(<6i:013?M20k2B?;<5+e4814>oc13:17djn:188mad=831bhn4?::m23g<722wi88o50;694?6|,82m6<<i;I64g>N3?81/i84:0:kg=?6=3`nj6=44ie`94?=h9>h1<75rb34b>5<2290;w)?7f;304>N3?j1C8:?4$d7957=nl00;66gka;29?lbe2900eim50;9l52d=831vn?7k:180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th94l4?:283>5}#91l1=?k4H55`?M2092.n97<=;hf:>5<<amk1<75`16`94?=zj:<26=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f634290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb263>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn>87:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj:?96=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f65a290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb3;`>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn?66:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj:<<6=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f636290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb21f>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn?7m:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;236=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f601290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb273>5<2290;w)?7f;304>N3?j1C8:?4$d7966=nl00;66gka;29?lbe2900eim50;9l52d=831vn>=k:186>5<7s-;3j7?<0:J73f=O<>;0(h;5229j`<<722com7>5;hfa>5<<ami1<75`16`94?=zj;3j6=4::183!7?n3;8<6F;7b9K027<,l?1>>5fd883>>oci3:17djm:188mae=831d=:l50;9~f7>0290>6=4?{%3;b?7482B?;n5G4638 `3=::1bh44?::kge?6=3`ni6=44iea94?=h9>h1<75rb252>5<3290;w)?7f;31b>N3?j1C8:?4$d79ga=nl00;66gka;29?lbe2900c<9m:188yg51k3:187>50z&2<c<6:o1C8:m4H552?!c22jn0ei750;9j`d<722con7>5;n34f?6=3th9m?4?:583>5}#91l1=?h4H55`?M2092.n97mk;hf:>5<<amk1<75fdc83>>i6?k0;66sm37794?2=83:p(<6i:00e?M20k2B?;<5+e486?lb>2900eio50;9j`g<722e:;o4?::a71`=83>1<7>t$0:e>44a3A><o6F;709'a0<23`n26=44iec94?=nlk0;66a>7c83>>{e;:i1<7:50;2x 4>a288m7E:8c:J734=#m<0>7dj6:188mag=831bho4?::m23g<722wi>4750;794?6|,82m6<=?;I64g>N3?81/i84=3:kg=?6=3`nj6=44ie`94?=nlj0;66a>7c83>>{e:1<1<7;50;2x 4>a289;7E:8c:J734=#m<09?6gk9;29?lbf2900eil50;9j`f<722e:;o4?::a675=8391<7>t$0:e>44b3A><o6F;709'a0<5:2co57>5;hfb>5<<g8=i6=44}c3`5?6=;3:1<v*>8g826`=O<>i0D99>;%g6>74<am31<75fd`83>>i6?k0;66sm23494?2=83:p(<6i:00e?M20k2B?;<5+e482a>oc13:17djn:188mad=831d=:l50;9~f4e3290?6=4?{%3;b?75n2B?;n5G4638 `3=9l1bh44?::kge?6=3`ni6=44o05a>5<<uk9<>7>53;294~"60o0:>h5G46a8L1163-o>6<?4ie;94?=nlh0;66a>7c83>>{e:kh1<7<50;2x 4>a288o7E:8c:J734=#m<0:=6gk9;29?j70j3:17pl=b883>7<729q/=5h513f8L11d3A><=6*j5;32?lb>2900c<9m:188yg4e?3:1>7>50z&2<c<6:m1C8:m4H552?!c228;0ei750;9l52d=831vn?l::181>5<7s-;3j7?=d:J73f=O<>;0(h;5109j`<<722e:;o4?::a6g5=8381<7>t$0:e>44c3A><o6F;709'a0<692co57>5;n34f?6=3th9n<4?:383>5}#91l1=?j4H55`?M2092.n97?>;hf:>5<<g8=i6=44}c0bb?6=:3:1<v*>8g826a=O<>i0D99>;%g6>47<am31<75`16`94?=zj;ko6=4=:183!7?n3;9h6F;7b9K027<,l?1=<5fd883>>i6?k0;66sm39d94?4=83:p(<6i:00g?M20k2B?;<5+e4825>oc13:17b?8b;29?xd40m0;6?4?:1y'5=`=9;n0D99l;I645>"b=3;:7dj6:188k41e2900qo=7b;296?6=8r.:4k4>2e9K02e<@==:7)k::038ma?=831d=:l50;9~f6>>29096=4?{%3;b?75l2B?;n5G4638 `3=981bh44?::m23g<722wi?5950;094?6|,82m6<<k;I64g>N3?81/i84>1:kg=?6=3f;<n7>5;|`0<0<72;0;6=u+19d957b<@==h7E:81:&f1?763`n26=44o05a>5<<uk93?7>52;294~"60o0:>i5G46a8L1163-o>6<?4ie;94?=h9>h1<75rb2:2>5<5290;w)?7f;31`>N3?j1C8:?4$d7954=nl00;66a>7c83>>{e;>l1<7<50;2x 4>a288o7E:8c:J734=#m<0:=6gk9;29?j70j3:17pl=c483>7<729q/=5h513f8L11d3A><=6*j5;32?lb>2900c<9m:188yg5>i3:1>7>50z&2<c<6:m1C8:m4H552?!c228;0ei750;9l52d=831vn?h7:180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th9j44?:283>5}#91l1=?k4H55`?M2092.n97<=;hf:>5<<amk1<75`16`94?=zj;lj6=4<:183!7?n3;9i6F;7b9K027<,l?1>?5fd883>>oci3:17b?8b;29?xd5nk0;6>4?:1y'5=`=9;o0D99l;I645>"b=3897dj6:188mag=831d=:l50;9~f7`d29086=4?{%3;b?75m2B?;n5G4638 `3=:;1bh44?::kge?6=3f;<n7>5;|`1ba<72:0;6=u+19d957c<@==h7E:81:&f1?453`n26=44iec94?=h9>h1<75rb3df>5<4290;w)?7f;31a>N3?j1C8:?4$d7967=nl00;66gka;29?j70j3:17pl=fg83>6<729q/=5h513g8L11d3A><=6*j5;01?lb>2900eio50;9l52d=831vn?h::180>5<7s-;3j7?=e:J73f=O<>;0(h;5239j`<<722com7>5;n34f?6=3th8>n4?:483>5}#91l1=>>4H55`?M2092.n97j:;hf:>5<<amk1<75fdc83>>ock3:17b?8b;29?xd4:k0;684?:1y'5=`=9::0D99l;I645>"b=38;7dj6:188mag=831bho4?::kgg?6=3f;<n7>5;|`036<72:0;6=u+19d957c<@==h7E:81:&f1?763`n26=44iec94?=h9>h1<75rb3d4>5<5290;w)?7f;31<>N3?j1C8:?4ie:94?=h9>h1<75rb24g>5<5290;w)?7f;31<>N3?j1C8:?4ie:94?=h9>h1<75rb301>5<1290;w)?7f;305>N3?j1C8:?4$d7960=nl00;66gka;29?lbe2900eim50;9l57g=831d=:l50;9~f4e7290=6=4?{%3;b?7492B?;n5G4638 `3=:<1bh44?::kge?6=3`ni6=44iea94?=h9;k1<75`16`94?=zj=?36=477;294~N3?81/=5h51968^12=ir21>;4j:07956<6j3l1=;4>4;3`>x"6:>087)jk:59'``<33-nm695+e187?!c62=1/i?4;;%g0>1=#kh0hi6*j6;68 `1=<2.n47:4$d;90>"bi3>0(hl54:&fg?2<,ln186*je;68 ``=<2.m<7:4$g390>"a:3>0(k=54:&e0?2<,o?186*i6;68 c1=<2.m47:4$g;90>"ai3>0(kl54:&eg?2<,on186*ie;68 c`=<2.:<=4;;%335?2<,8:9695+11190>"68=0?7)??5;68 4612=1/==954:&24=<33-;;57:4$02b>1=#99h186*>0b87?!77l3>0(<>j:59'55`=<2.:==4;;%325?2<,8;9695+10190>"69=0?7)?>5;68 4712=1/=<954:&25=<33-;:57:4$03b>1=#98h186*>1b87?!76l3>0(<?j:59'54`=<2.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412;1/=575409'a1<33-;3n7<4$555>4>23-><;7?75:l:`?6<fkl1<6`>87826>h60>0986`;79826>h3?00986*lb;af?!7?k390e9750;9j0d<722c?;94?::k`=?6=3`2<6=4+1929<d=i9>l1?65f19g94?=n;?0;6)?70;16?k70n3:07d=;:18'5=6=;<1e=:h51:9j76<72-;3<7=:;o34b?4<3`996=4+192970=i9>l1?65f3083>!7?839>7c?8f;68?l57290/=5>5349m52`==21b>k4?:%3;4?523g;<j784;h0f>5<#91:1?85a16d93>=n:m0;6)?70;16?k70n3207d<l:18'5=6=;<1e=:h59:9j05<72-;3<7=i;o34b?6<3`9n6=4+19297c=i9>l1=65f3e83>!7?839m7c?8f;08?l5d290/=5>53g9m52`=;21b?o4?:%3;4?5a3g;<j7:4;h1b>5<#91:1?k5a16d91>=n;00;6)?70;1e?k70n3<07d=7:18'5=6=;o1e=:h57:9j72<72-;3<7=i;o34b?><3`?26=4+19291==i9>l1<65f5683>!7?83?37c?8f;38?l31290/=5>5599m52`=:21b984?:%3;4?3?3g;<j7=4;h77>5<#91:1955a16d90>=n=:0;6)?70;7;?k70n3?07d8=:18'5=6==11e=:h56:9j24<72-;3<7;7;o34b?1<3`<;6=4+19291==i9>l1465f5g83>!7?83?37c?8f;;8?l3b290/=5>5599m52`=i21b9i4?:%3;4?3?3g;<j7l4;h7`>5<#91:1955a16d9g>=n=k0;6)?70;7;?k70n3n07d;n:18'5=6==11e=:h5e:9j17<72-;3<7;7;o34b?`<3`2=6=4+1929<0=i9>l1<65f8583>!7?832>7c?8f;38?jd0290/=5>5b79m52`=821dn84?:%3;4?d13g;<j7?4;n`0>5<#91:1n;5a16d96>=hj;0;6)?70;`5?k70n3907bl>:18'5=6=j?1e=:h54:9lf5<72-;3<7l9;o34b?3<3fkm6=4+1929f3=i9>l1:65`ad83>!7?83h=7c?8f;58?jgc290/=5>5b79m52`=021dmn4?:%3;4?d13g;<j774;nca>5<#91:1n;5a16d9e>=hih0;6)?70;`5?k70n3h07bo7:18'5=6=j?1e=:h5c:9le2<72-;3<7l9;o34b?b<3fk=6=4+1929f3=i9>l1i65`a483>!7?83h=7c?8f;d8?jg3290/=5>5b79m52`=9910cl=50;&2<5<e>2d:;k4>1:9le7<72-;3<7l9;o34b?7532ej=7>5$0:3>g0<f8=m6<=4;nc3>5<#91:1n;5a16d951=<g0l1<7*>818a2>h6?o0:965`bd83>!7?83h=7c?8f;35?>iel3:1(<6?:c48j41a28=07bll:18'5=6=j?1e=:h51998kgd=83.:4=4m6:l23c<6121dnl4?:%3;4?d13g;<j7?n;:ma=?6=,82;6o84n05e>4d<3fh36=4+1929f3=i9>l1=n54oc694?"6090i:6`>7g82`>=hi00;6)?70;`5?k70n3;n76a6e;29 4>72k<0b<9i:0d8?je0290/=5>5c79m52`=821do84?:%3;4?e13g;<j7?4;na7>5<#91:1o;5a16d96>=hk:0;6)?70;a5?k70n3907dm7:188m4>c2900e99::188m2e=83.:4=48b:l23c<732c<m7>5$0:3>2d<f8=m6<54i6:94?"6090<n6`>7g81?>o0?3:1(<6?:6`8j41a2:10e:850;&2<5<0j2d:;k4;;:k41?6=,82;6:l4n05e>0=<a>>1<7*>8184f>h6?o0=76g83;29 4>72>h0b<9i:698m24=83.:4=48b:l23c<?32c<=7>5$0:3>2d<f8=m6454i6294?"6090<n6`>7g8b?>o1n3:1(<6?:6`8j41a2k10e;j50;&2<5<0j2d:;k4l;:k5g?6=,82;6:l4n05e>a=<a?h1<7*>8184f>h6?o0n76g9a;29 4>72>h0b<9i:g98m3?=83.:4=48b:l23c<6821b:54?:%3;4?1e3g;<j7?>;:k53?6=,82;6:l4n05e>44<3`<=6=4+19293g=i9>l1=>54i7794?"6090<n6`>7g820>=n>=0;6)?70;5a?k70n3;>76g73;29 4>72>h0b<9i:048?l>5290/=5>57c9m52`=9>10e5?50;&2<5<0j2d:;k4>8:9j<5<72-;3<79m;o34b?7>32c<j7>5$0:3>2d<f8=m6<o4;h5f>5<#91:1;o5a16d95g=<a>n1<7*>8184f>h6?o0:o65f7883>!7?83=i7c?8f;3g?>o1m3:1(<6?:6`8j41a28o07d8<:18'5=6=?k1e=:h51g98m=d=83.:4=47a:l23c<732c357>5$0:3>=g<f8=m6<54i9:94?"60903m6`>7g81?>i>;3:1(<6?:808j41a2910c4?50;&2<5<>:2d:;k4>;:m:4?6=,82;64<4n05e>7=<g1l1<7*>818:6>h6?o0876a7e;29 4>72080b<9i:598k=b=83.:4=462:l23c<232e2o7>5$0:3><4<f8=m6;54o8`94?"60902>6`>7g84?>i>i3:1(<6?:808j41a2110c4750;&2<5<>:2d:;k46;:m:<?6=,82;64<4n05e>d=<g0=1<7*>818:6>h6?o0i76a66;29 4>72080b<9i:b98k<3=83.:4=462:l23c<c32e287>5$0:3><4<f8=m6h54o9a94?"60902>6`>7g8e?>id:3:1(<6?:b38j41a2910cn>50;&2<5<d92d:;k4>;:p10b=83<pR8;k;<047?bf348<=7j6;<043?bd348=n7jm;<05e?b>3ty>9o4?:6gxZ1?33W?=:6P:659]0=2<V<<;7S;?f:\620=Y=8:0R97=;_6:5>X3191U85h4^5:f?[2?l2T?4n5Q49`8Z1>f3W>356P:709]126<V<<m7S;9e:\62a=Y=?i0R88m;_75e>X2>01U9;64^47b?[3212T>955Q5458Z0313W?>96P:559]105<V<?970:8d;f5?821?3>m70::c;6e?821>3>m70:95;6e?821<3>m70:9f;6e?821m3>m70<ma;72?84e03?:70<m6;72?84e<3?:70<m2;72?84e83?:70<ne;72?84fk3?:70<jb;3;<>;51:0>=63=93865>;5180>=63=91865>;50o0>=63=81865>;5?o0>=63=7d865>;5?m0>=63=7b865>;40l0>=63<8b865>;40h0>=63<89865>;40?0>=63<85865>;40;0>=63<81865>;4?l0>=63<33865>;4;:0>=63<35865>;4;<0>=63<37865>;4<<0>=63<47865>;4<>0>=63<49865>;4<00>=63<5c865>;4=j0>=63<5e865>;4=l0>=63<5g865>;3=103;63;5982<a=:<<218:;4=57;>2e<5=?36:o4=57;>2><5=?36:94=57;>20<5=?36:;4=57;>22<5=?36:=4=57;>24<5=?36:?4=57;>26<5=?36;h4=57;>3b<5=?36;m4=57;>3d<5=?36;o4=57;>3?<5=?36;64=57;>31<5=?36;84=57;>33<5=?36;:4=57;>=5<5=?365<4=57;>=7<5=?365>4=57;>2`<5=?36:k4=57;>2b<5=?36:74=57;>3c<5=?36;=4=57;>=d<5=?36574=57;>=><uz9=m7>52z\7<0=:;?k1=5<4}r76b?6=;rT>9k5247595=7<5=?h6<6>;|q130<72;qU9=:4=356>4>53ty>;>4?:2y]125<5:=96i74=250>a?<uz?>=7>569y]107<5;k;69;4=57f>13<5=?o69;4=57`>13<5=<=69;4=546>13<5=<?69;4=337>13<5;;869;4=331>13<5;;:69;4=333>13<5;:m69;4=32f>13<5;:o69;4=32`>13<5;<;69;4=37e>13<5;?n69;4=37g>13<5;?h69;4=37a>13<5;?j69;4=37:>13<5;?369;4=36f>13<5;>o69;4=36`>13<5;>i69;4=36b>13<5;>269;4=36;>13<5;><69;4=365>13<5;>>69;4=0f5>13<58n>69;4=0f7>13<58n869;4=0f1>13<58n:69;4=0f3>13<58im69;4=0af>13<5;n<69;4=3f5>13<5;n>69;4=3f7>13<5;n869;4=3f1>13<5;n;69;4=3ae>13<5;n:69;4=3g:>13<5;o369;4=3g4>13<5;o=69;4=3g6>13<5;o?69;4=3g0>13<5;o969;4=3g2>13<5;=?69;4=356>13<5;<m69;4=34f>13<5=8o69;4=50`>13<5=8i69;4=50b>13<5=8269;4=50;>13<5=8=69;4=506>13<5=8?69;4=500>13<5=8969;4=502>13<5=8;69;4=53e>13<5=;n69;4=53g>13<5=;i69;4=53b>13<5=;269;4=53;>13<5=;<69;4=535>13<5=;>69;4=537>13<5=;869;4=531>13<5=9869;4=511>13<5=9:69;4=513>13<5=8m69;4=50f>13<5=8<69;4=53`>13<5=;:69;4=533>13<5=?369o4}r15b?6=:rT><l5237d95=4<uz?=;7>563y]131<5=<269;4=544>13<5=<369;4=54e>13<5=<n69;4=0`1>13<58h:69;4=0`3>13<58km69;4=0cf>13<58ko69;4=0c`>13<58ki69;4=0cb>13<58lj69;4=0d:>13<58l369;4=0d4>13<58l=69;4=0d6>13<58l?69;4=0d0>13<58l969;4=0g;>13<58o<69;4=0g5>13<58o>69;4=0g7>13<58o869;4=0g1>13<58o:69;4=0g3>13<5;9j69;4=31:>13<5;9369;4=314>13<5;9=69;4=316>13<5;9?69;4=310>13<5;9969;4=312>13<5:;>69;4=23g>13<5:;h69;4=23a>13<5:;j69;4=23:>13<5:;369;4=234>13<5:;=69;4=237>13<5:8369;4=2`a>13<5:h269;4=2`b>13<5:hh69;4=2`g>13<5:i;69;4=2`f>13<5:hm69;4=2a2>13<5:i969;4=2ae>13<5:io69;4=2af>13<5:n;69;4=2f2>13<5:n?69;4=2f1>13<5:n869;4=2f6>13<5:n=69;4=2cg>13<5:kh69;4=2cf>13<5:kj69;4=2c:>13<5:ki69;4=2c4>13<5:k=69;4=2c;>13<5:k>69;4=2gb>13<5:o269;4=2g;>13<5:o<69;4=2g5>13<5:o>69;4=2g7>13<5:o869;4=2g1>13<5:o:69;4=24f>13<5:<m69;4=24b>13<5=?36974}r11<?6=:rT>>k5233:95=4<uz9m87>52z\7`2=:<831=5<4}r1e7?6=:rT?h;5240:95=4<uz9m>7>52z\7`0=:<8=1=5<4}r1e5?6=:rT?h95240495=4<uz9m<7>52z\7`6=:<8?1=5<4}r1fb?6=:rT?h?5240695=4<uz9ni7>52z\7`5=:<891=5<4}r1f`?6=:rT?ok5240095=4<uz>;j7>52z\7g`=:<:91=5<4}r63a?6=:rT?oi5242095=4<uz>;h7>52z\7gf=:<:;1=5<4}r63g?6=:rT?oo5242295=4<uz>;n7>52z\7gd=:<;l1=5<4}r63e?6=:rT?o45243g95=4<uz>;57>52z\7g==:<;=1=5<4}r636?6=:rT?o:5240a95=4<uz9m;7>52z\7g0=:<8;1=5<4}r1fg?6=:rT?o95240295=4<uz>;47>52z\7g6=:<;n1=5<4}r633?6=:rT?o?5243a95=4<uz>;:7>52z\7g4=:<;h1=5<4}r631?6=:rT?o=5243c95=4<uz>;87>52z\7fc=:<;31=5<4}r637?6=:rT?nh5243:95=4<uz>;=7>52z\7fa=:<;<1=5<4}r634?6=:rT?nn5243795=4<uz9mj7>52z\7`a=:<;>1=5<4}r1ea?6=:rT?hn5243195=4<uz9mh7>52z\7`g=:<;81=5<4}r1eg?6=:rT?hl5243395=4<uz9mn7>52z\7`<=:<;:1=5<4}r1ee?6=:rT?h55240d95=4<uz9m57>52z\7`4=:<8o1=5<4}r1e<?6=:rT?o;5240f95=4<uz9m:7>52z\7fg=:<8h1=5<4}r1e1?6=:rT?nl5240c95=4<uz>i<7>52z\7=`=:<<214;5rs5cf>5<5sW>2h63;5986=>{t<hn1<7<t^5;`?82203?<7p};ab83>7}Y<0h019;7:448yv2fj3:1>vP;9`9>00>==<1v9on:181[2>127?954:4:p0d?=838pR977;<66<?343ty?m54?:3y]0<1<5=?36;<4}r6a=?6=:rT?m;5244:924=z{=h36=4={_6b1>;3=10386s|4c594?4|V=k?70::8;43?xu3j?0;6?uQ4`18913?2<l0q~:m5;296~X3i;1688655d9~w1d32909wS:n1:?71=<2l2wx8o=50;0xZ1g734>>47;l;|q7f7<72;qU84h4=57;>0d<uz>i=7>52z\7=3=:<<219l5rs5c4>5<5sW>2963;59866>{t9:=1<7mt=55f>41c348io7:7;<0bg?2?348no7;>;<0ff?2?3483j7:7;<04g?2?3492<7:7;<14a?2?3498>7:7;<171?2?349>n7:7;|q1e4<72;q6>l>54g9>6d4=9>h0q~:93;295=}::h:1=5?4=545>4>5348o;7:m;<0g2?2e348o97:m;<0g0?2e348o?7:m;<0g6?2e348o<7:m;<0`b?7?9279h<4;b:?1a<<3j279i54;b:?1a2<3j279i;4;b:?1a0<3j279i94;b:?1a6<3j279i?4;b:?1a4<3j279;94>809>623=91;01?8i:5`8970b2=h0q~<n0;290~;5i90:4?522639`f=:<<k1h45227c9`d=z{=?o6=4<{<66a?2a34>>h7?72:?71f<3>2wx88k50;0x913b282970:90;f:?xu3>10;6>u247;90c=:<?=18;5247:95=4<uz>=57>52z?72<<60;168;l5d89~w13d2908w0::d;6e?822k3;3>63;618ge>{t<?=1<7=t=544>4>534>=47:i;<65f?bf3ty?9k4?:5y>030=91;0198::0:2?821<3;3=63;61823g=z{=<96=4=6z?720<60;16><:54c9>645=<k16><<54c9>647=<k16><>54c9>65`=<k16>=k54c9>65b=<k16>=m54c9>636=<k16>8h54c9>60c=<k16>8j54c9>60e=<k16>8l54c9>60g=<k16>8754c9>60>=<k16>9k54c9>61b=<k16>9m54c9>61d=<k16>9o54c9>61?=<k16>9654c9>611=<k16>9854c9>613=<k16=i854c9>5a3=<k16=i:54c9>5a5=<k16=i<54c9>5a7=<k16=i>54c9>5f`=<k16=nk54c9~w1062909;v3;6582<7=:<;n1=5=4=50`>4>434>9n7?73:?76d<60:168?751918914?282870:=6;3;7>;3:<0:4>5243695=5<5=886<6<;<616?7?;27?><4>829>076=919019?i:0:0?826m3;3?63;1e82<6=:<8h1=5=4=53b>4>434>:57?73:?75=<60:168<9519189171282870:>5;3;7>;39=0:4>5240195=5<5=;96<6<;<607?7?;27???4>829>067=919019=?:0:0?825n3;3?63;2d82<6=:<;=1=5=4=53`>4>434>:=7?73:?755<60:1688o5d`9>00>=91o0q~:9a;297~;3>o0:4<5247g95=7<5=<i6<9m;|q72a<72:9p198i:0:1?856=3>i70=>d;6a?856k3>i70=>b;6a?856i3>i70=>9;6a?85603>i70=>7;6a?856>3>i70=>4;6a?85ej3>i70=m9;6a?85ei3;3=63<bb87f>;4jm0?n63<c187f>;4jl0?n63<bg87f>;4k80?n63<c387f>;4ko0?n63<ce82<4=:;jo18o523e290g=:;m;18o523e690g=:;m818o523e190g=:;m?18o523e490g=:;hn18o523`a90g=:;ho18o523`c90g=:;h318o523``90g=:;h=18o523`495=7<5:k369l4=2c6>4>6349nm7:m;<1f=?2e349n47:m;<1f3?2e349n:7:m;<1f1?2e349n87:m;<1f7?2e349n>7:m;<1f5?2e3ty?:n4?:3cx910b282970?m2;6a?87e93>i70?m0;6a?87fn3>i70?ne;6a?87fl3>i70?nc;6a?87fj3>i70?na;6a?87ai3>i70?i9;6a?87a03>i70?i7;6a?87a>3>i70?i5;6a?87a<3>i70?i3;6a?87a:3>i70?j8;6a?87b?3>i70?j6;6a?87b=3>i70?j4;6a?87b;3>i70?j2;6a?87b93>i70?j0;6a?844i3>i70<<9;6a?84403>i70<<7;6a?844>3>i70<<5;6a?844<3>i70<<3;6a?844:3>i70<<1;6a?85503>i70=9e;6a?851n3>i70=9a;6a?xu5=>0;68u220690c=::?:1=5<4=335>ag<5;;36i74=33b>ae<uz8;n7>53z?151<60;16>4j5d89>6=g=l01v??::181846;3>m70<>6;34f>{t:9k1<7=t=330>4>53482o7jn;<0;=?bf3ty9=:4?:3y>644=<o16><6516`8yv4713:1?v3=1382<7=::0i1hn5229;9`f=z{;;26=49{<025?2a348:m7?8b:?15f<ci279=h4k9:?165<cj279>?4kc:p65>=839p1??>:0:1?84>j3nj70<78;fb?xu59k0;6?u220290c=::8i1=:l4}r033?6=;r79==4>839>6<d=lj16>565db9~w77c2909w0<?f;6e?846m3;<n6s|21494?5|5;:m6<6=;<0:e?bf3483;7jn;|q15c<72;q6>=k54g9>676=9>h0q~<?5;297~;58l0:4?5228c9`f=::1=1hn5rs302>5<5s48;h7:i;<017?70j2wx>=:50;1x976c282970<69;fb?84?>3nj7p}=2483>7}::9i18k52234952d<uz8;?7>53z?14f<60;16>475db9>6=0=lj1v<h>:18687e:3>m70?ia;3;6>;6j=0om63>b78g=>;6j10oo6s|1`;94?3|58h96<6=;<15=?b>349>?7j6;<174?b>348m97jn;|q2f6<72;q6=o?54g9>5g2=9>h0q~?n8;291~;6j80:4?5237;9`g=:;<91ho523529`g=::ol1hl5rs0`6>5<5s4;i<7:i;<3a2?70j2wx=l950;7x94d7282970=98;f:?852:3n270=<f;f:?84am3nj7p}>b683>3}:9hl18k521c:952d<58hj6io4=0``>a?<58hn6il4=0a3>ae<uz;j:7>55z?2ec<60;16?;65dc9>704=lk16?>h5dc9>6cb=lh1v<l6:18187fm3>m70?ma;34f>{t9h?1<7;t=0cf>4>5349=;7j6;<165?b>3498i7j6;<0eg?bf3ty:no4?:3y>5db=<o16=om516`8yv7f<3:19v3>ae82<7=:;?=1ho523439`g=:;:o1ho522g`9`d=z{8ho6=4={<3bg?2a34;ii7?8b:p5d5=83?p1<ol:0:1?851>3n270=:0;f:?854l3n270<ia;fb?xu6jo0;6?u21``90c=:9j;1=:l4}r3b6?6==r7:mo4>839>730=lk16?8>5dc9>76b=lk16>k75d`9~w4e42909w0?na;6e?87d<3;<n6s|1`394?3|58kj6<6=;<151?b>349?j7j6;<10g?b>348m47jn;|q101<72;q6>;>54g9>61c=9180q~<;3;296~;5=o0?j63=4e82<7=z{;?=6=4;{<06b?7?:279=;4k9:?15=<ci279=l4kb:p614=838p1?;j:5d8972d28297p}=5483>6}::<o1=5<4=33;>ad<5;;j6io4}r075?6=:r799i4;f:?10g<60;1v?;;:181842l3;3>63=1`8g=>{t:=:1<7<t=37`>1`<5;>j6<6=;|q116<72<q6>8m51908977d2m301??j:ec897472mi01?<=:e`8yv44n3:1>v3=5c87b>;5<00:4?5rs371>5<3s48>n7?72:?15`<cj279>=4k9:?167<ci2wx>>k50;0x973f2=l01?:7:0:1?xu5=80;6>u224c95=4<5;8;6io4=301>a?<uz88h7>52z?11<<3n2798:4>839~w7372908w0<:9;3;6>;5::0o563=278ge>{t::i1<7<t=37;>1`<5;>=6<6=;|q10c<72;q6>865190897412m30q~?kf;296~;6nh0?j63>e982<7=z{8nn6=4={<3e=?2a34;n;7?72:p5c6=83>p1<h6:0:1?87e<3n270?m6;fb?87e03ni7p}>de83>7}:9o218k521d495=4<uz;nj7>53z?2b=<60;16=o85dc9>5g>=lh1v<jl:18187a?3>m70?j5;3;6>{t9lo1<7<t=0d4>4>534;i47j6;|q2`g<72;q6=k854g9>5`2=9180q~?jd;291~;6n?0:4?521cc9`<=:9ki1hl521cg9`f=:9j:1ho5rs0fb>5<5s4;m97:i;<3f7?7?:2wx=hm50;6x94`2282970?mc;fa?87em3n270?l0;fb?xu6l00;6?u21g690c=:9l81=5<4}r3ff?6=;r7:j94>839>5gc=lh16=n>5d89~w4b?2909w0?i3;6e?87b93;3>6s|1dc94?5|58l86<6=;<3`5?b>34;h87jn;|q2`2<72;q6=k<54g9>5`6=9180q~?j9;296~;6n;0:4?521b69`<=z{;9;6=4={<07a?2a3488m7?72:p67`=838p1?:k:5d8975>28297p}=2d83>7}::=i18k5222:95=4<uz89h7>52z?10g<3n279?:4>839~w74d2909w0<;a;6e?844>3;3>6s|23`94?4|5;>269h4=316>4>53ty9>l4?:3y>61>=<o16>>:51908yv4513:1>v3=4687b>;5;:0:4?5rs30;>5<5s48?:7:i;<006?7?:2wx>?950;0x97222=l01?=>:0:1?xu5;k0;6<u225795=4<uz;hh7>52z?2a=<3n27:h;4>839~w4ed2909w0?j7;6e?87c=3;3>6s|1b`94?4|58o=69h4=0f7>4>53ty:ol4?:3y>5`3=<o16=i=51908yv7d13:1>v3>e587b>;6l;0:4?5rs0a;>5<5s4;n?7:i;<3g5?7?:2wx=n950;0x94c52=l01<j?:0:1?xu6k?0;6?u21d390c=:9jl1=5<4}r3`1?6=:r7:i=4;f:?2g`<60;1v?k?:18687c>3>m70<j9;3;6>;6190o563=8`8ge>;3=10?<6s|1g`94?4|58n>69h4=0;3>41e3ty:jn4?:3y>5a2=<o16=4?516`8yv7al3:1>v3>d287b>;61;0:;o5rs0df>5<5s4;o>7:i;<3:7?70j2wx=kh50;0x94b62=l01<7;:05a?xu5890;6?u21e290c=:90?1=:l4}r035?6=:r7:ok4;f:?2=3<6?k1v?>=:18187dm3>m70?67;34f>{t;l:1<7:t=31b>1`<5:oj6<6=;<3:<?b>34>>47=9;|q124<72;q6>>754g9>5<>=9>h0q~<92;296~;5;10?j63>98823g=z{;<86=4={<003?2a34;2m7?8b:p632=838p1?=9:5d894?e28=i7p}=6483>7}:::?18k5218a952d<uz8=:7>52z?171<3n27:5i4>7c9~w7002909w0<<3;6e?87>m3;<n6s|27:94?4|5;9969h4=0;e>41e3ty9:44?:3y>667=<o16=l>516`8yv4bi3:1=8u22e5903=::m<18;522e7903=::m>18;522e1903=::m818;522e2903=::jl18;522e3903=::l318;522d:903=::l=18;522d4903=::l?18;522d6903=::l918;522d0903=::l;18;5244c9`g=::?k1=:l4=3c1>ag<uz8jn7>52z?1`2<3n279o94>7c9~w7eb290?w0<k7;3;6>;5m00?j63=9e8ge>;5k<0o56s|2`c94?4|5;n=69h4=3a0>41e3ty9oi4?:5y>6a0=91801?k7:5d897?d2m301?lm:e;8yv4f13:1>v3=d487b>;5k;0:;o5rs3a`>5<3s48o97?72:?1a2<3n2795n4kb:?1f<<c12wx>l650;0x97b32=l01?m>:05a?xu5kk0;69u22e695=4<5;o=69h4=3;a>a?<5;h<6i74}r0b3?6=:r79h>4;f:?1g5<6?k1v?mn:18784c;3;3>63=e487b>;51k0on63=b48g=>{t:h<1<7<t=3f1>1`<5;hm6<9m;|q1g<<72=q6>i<5190897c32=l01?7n:e;897d42m30q~<n4;296~;5l90?j63=be823g=z{;i<6=4;{<0g4?7?:279i?4;f:?1=<<c1279mk4k9:p6d5=838p1?mi:5d897dd28=i7p}=c783>1}::jl1=5<4=3g2>1`<5;326il4=3cg>a?<uz8j97>52z?1`4<3n279nh4>7c9~w7e?290?w0<k1;3;6>;5m:0?j63=9`8gf>;5j80o56s|2cc94?4|5;i?6964=3`b>41e3ty9o84?:3y>6f2=9;301?m::05a?xu5j10;6>u22b190==::kk185522c:952d<uz8in7>53z?1g6<6:016>oo519:897de28=i7p}=b783>6}::j8185522c:90==::k<1=:l4}r0a=?6=;r79o?4>289>6g>=91201?l6:05a?xu5j=0;6>u22b390==::k<185522c6952d<uz8i;7>53z?1g4<6:016>o8519:897d028=i7p}=b383>6}::j:185522c690==::k81=:l4}r0a1?6=;r79o=4>289>6g2=91201?l::05a?xu5j90;6>u22cd90==::k8185522c2952d<uz8i?7>53z?1fc<6:016>o<519:897d428=i7p}=ad83>6}::ko185522c290==::ho1=:l4}r0a5?6=;r79nh4>289>6g6=91201?l>:05a?xu5ij0;6>u22cf90==::ho185522`a952d<uz8jj7>53z?1fa<6:016>lk519:897ga28=i7p}=ae83>6}::ki1=?74=3c`>4>?348jh7?8b:p6a`=83?p1?k7:0:1?87>93n270?60;fb?84?13n270::8;1f?xu5ll0;68u22d595=4<58396i74=0;2>ag<5;226il4=57;>6b<uz8oh7>55z?1a3<60;16=4=5d89>5<4=lh16>565d89>00>=;j1v?jl:18684b=3;3>63>958g=>;61:0om63=898gf>;3=108n6s|2e`94?3|5;o?6<6=;<3:1?b>34;287jn;<0;3?b>34>>47=n;|q1`d<72<q6>h=5190894?12m301<7::ec897>02mh019;7:2;8yv4c13:19v3=e382<7=:90=1h4521849`d=::1<1h45244:97==z{;n36=4;{<0f5?7?:27:5:4ka:?1<3<cj27?954<7:p620=839p1?9;:5d897122=l01?98:05a?xu5?=0;6?u226695=4<5;=<6i74}r044?6=:r79:k4;f:?134<6?k1v?8k:185841n3;3>63=728gf>;5?80on63=768gf>;5>k0o563=6`8gg>{t:>81<7<t=34f>1`<5;=86<9m;|q12f<72?q6>;k5190897142m301?9>:ec897102mk01?8m:ec8970f2mh0q~<i4;296~;4890?463=f5823g=z{;l>6=4={<134?751279j84>7c9~w67b2909w0=?0;34f>;49<0?j6s|2g194?5|5::26964=3d7>1><5;l86<9m;|q1bc<72:q6?=7513;897`3282370<if;34f>{t;;=1<7<t=22:>41e349:h7:i;|q0gg<72<q6>k:5509>7a3=91801>k6:5d896272mi01?hi:e;8yv4a:3:1?v3<0987<>;5n:0?463=f3823g=z{;ln6=4<{<13<?751279j>4>899>6cc=9>h0q~==6;296~;4810:;o5230a90c=z{:ij6=4:{<0e7?36349o87?72:?0a=<3n278?k4ka:?1b`<c12wx>k?50;1x96602=201?h=:5:897`628=i7p}=fe83>6}:;9=1=?74=3d1>4>?348mh7?8b:p773=838p1>>8:05a?856j3>m7p}<c883>0}::o819<523e195=4<5:o<69h4=21e>ae<5;lo6i74}r0e4?6=;r78<;4;8:?1b4<30279j=4>7c9~w7`d2908w0=?6;31=>;5n80:45522ga952d<uz9987>52z?043<6?k16?<o54g9~w6e?290>w0<i1;72?85c:3;3>63<e787b>;4;l0om63=fb8g=>{t:ll1<7=t=226>1><5;l;6964=3ge>41e3ty9jo4?:2y>753=9;301?h?:0:;?84aj3;<n6s|33194?4|5::>6<9m;<12=?2a3ty8o:4?:4y>6c6==816?i?5190896c22=l01>=j:ea897`e2m30q~<je;297~;48=0?463=eg87<>;5ml0:;o5rs3db>5<4s49;87?=9:?1ac<60116>ko516`8yv55:3:1>v3<05823g=:;8218k5rs2a5>5<2s48nj7;>;<1g4?7?:278i94;f:?07a<ci279jl4k9:p6`b=839p1>><:5:897cb2=201?kk:05a?xu5n00;6>u2311957?<5;on6<67;<0e=?70j2wx???50;0x966428=i70=>7;6e?xu4k<0;68u22dg914=:;jl1=5<4=2g0>1`<5:9o6im4=3d:>a?<uz8no7>53z?047<30279ii4;8:?1af<6?k1v?h7:180857:3;9563=ee82<==::o21=:l4}r114?6=:r78<?4>7c9>740=<o1v>m;:18684bl3?:70=le;3;6>;4m;0?j63<3b8ge>;5n10o56s|2d`94?5|5:::6964=3g`>1><5;oi6<9m;|q1b3<72:q6?=?513;897cd282370<i7;34f>{t;8l1<7<t=222>41e349:87:i;|q037<72;kp1?km:43896de2=<01>l6:54896df2=<01>ll:54896dc2=<01>m?:54896db2=<01>li:54896e62=<01>m=:54896ea2=<01>mk:54896eb2=<01>j?:54896b62=<01>j;:54896b52=<01>j<:54896b22=<01>j9:54896gc2=<01>ol:54896gb2=<01>on:54896g>2=<01>om:54896g02=<01>o9:54896g?2=<01>o::54896cf2=<01>k6:54896c?2=<01>k8:54896c12=<01>k::54896c32=<01>k<:54896c52=<01>k>:548961428=i7p}<0`83>7}:;8?1=5<4=20b>ae<uz9:?7>52z?05a<60;16??o5dc9~w6752909w0=>c;3;6>;4:h0om6s|30394?4|5:;i6<6=;<11e?b>3ty8==4?:3y>74g=91801><m:ec8yv57n3:1>v3<1882<7=:;;h1h45rs22f>5<5s49:47?72:?06f<ck2wx?=j50;0x9670282970==c;fa?xu48j0;6?u230495=4<5:8h6io4}r13f?6=:r78=94>839>77e=l01v><k:18085503>=70=9a;65?851l3;<n6s|33;94?4|5:8369h4=20a>41e3ty94h4?:3y>6<5=<116>4<516`8yv4>03:1>v3=9282<==::0n1=:l4}r0:b?6=:r795>4>7c9>6d4=l01v?6k:18184>:3>370<61;34f>{t:0=1<7<t=3;1>4>?3482o7?8b:p6=e=838p1?7>:5:897?728=i7p}=9783>7}::0;1=564=3;a>41e3ty94o4?:3y>6<6=<116>5h516`8yv4>=3:1>v3=9182<==::0k1=:l4}r0:0?6=:r794k4>899>6<?=9>h0q~<8b;296~;5090?463=7g823g=z{;2>6=4={<0;4?7?02794l4>7c9~w7?b2909w0<70;34f>;5i;0on6s|26c94?4|5;=m6964=35f>41e3ty9494?:3y>62`=91201?66:05a?xu5?00;6?u226g90==::>n1=:l4}r0;7?6=:r79;h4>899>6=>=9>h0q~<88;296~;5?m0?463=7b823g=z{;296=4={<04`?7?02794:4>7c9~w7>62909w0<8c;3;<>;50?0:;o5rs2;g>5<3s49in7:i;<1b3?7?:278:;4kc:?0<6<c12wx?o?50;1x96de282970=lf;6e?85283nh7p}<9c83>1}:;k318k523`795=4<5:<>6il4=25e>a?<uz9jj7>53z?0f<<60;16?nj54g9>71`=lk1v>7l:18785ei3>m70=n6;3;6>;4><0om63<808g=>{t;k:1<7=t=2`b>4>5349hi7:i;<17b?bf3ty85h4?:5y>7ge=<o16?l65190896012mk01>6::e;8yv5e:3:1?v3<bb82<7=:;m:18k523429`d=z{:3m6=4;{<1a`?2a349j57?72:?022<ck2784:4k9:p7g5=839p1>lk:0:1?85c93>m70=:1;f`?xu4i;0;69u23b290c=:;hi1=5<4=24;>ag<5:2o6i74}r1a2?6=;r78o=4>839>7a2=<o16?8<5d`9~w6g7290?w0=me;6e?85fi3;3>63<668ge>;4000o56s|3c694?5|5:hn6<6=;<1g6?2a349>=7jn;|q0e4<72=q6?oh54g9>7dd=91801>87:ea896>e2m30q~=m5;297~;4jo0:4?523e190c=:;<81hn5rs2c0>5<3s49h=7:i;<1b`?7?:278:44kc:?0<c<c12wx?o950;1x96e6282970=k5;6e?852;3nh7p}<a583>1}:;j818k523`g95=4<5:<26io4=2;b>a?<uz9i47>53z?0g7<60;16?i854g9>705=lh1v>m<:18785dl3;3>63<e087b>;4;j0on63=f68g<>{t;ji1<7:t=2f5>4>5349nm7:i;<174?bf348m97j6;|q03f<72;q6?lj54g9>7<>=9>h0q~=8b;296~;4ij0?j63<96823g=z{:=o6=4={<1ba?2a349257?8b:p72?=838p1>on:5d896?228=i7p}<7983>7}:;h318k52386952d<uz9<m7>52z?0eg<3n2785;4>7c9~w6112909w0=n7;6e?85>:3;<n6s|36794?4|5:k=69h4=2;2>41e3ty8;:4?:3y>7d>=<o16?4=516`8yv50<3:1>v3<a487b>;4190:;o5rs2:f>5<5s49257:7;<1;a?70j2wx?4o50;0x96?>288270=6a;34f>{t;1i1<7=t=2;;>1><5:2n6964=2:`>41e3ty84k4?:2y>7<>=9;301>6j:0:;?85?n3;<n6s|39c94?5|5:3<6964=2:`>1><5:2j6<9m;|q0<a<72:q6?49513;896>d282370=7d;34f>{t;121<7=t=2;5>1><5:2j6964=2:;>41e3ty84o4?:2y>7<0=9;301>6n:0:;?85?j3;<n6s|39494?5|5:3>6964=2:;>1><5:2=6<9m;|q0<<<72:q6?4;513;896>?282370=79;34f>{t;1>1<7=t=2;7>1><5:2=6964=2:7>41e3ty84:4?:2y>7<2=9;301>69:0:;?85??3;<n6s|39094?5|5:386964=2:7>1><5:296<9m;|q0<0<72:q6?4=513;896>3282370=75;34f>{t;1:1<7=t=2;1>1><5:296964=2:3>41e3ty84>4?:2y>7<4=9;301>6=:0:;?85?;3;<n6s|36g94?5|5:3:6964=2:3>1><5:=n6<9m;|q0<4<72:q6?4?513;896>7282370=71;34f>{t;>l1<7=t=2;3>44>349<i7?78:?03c<6?k1v>ji:18785b13;3>63>988g=>;6110om63;59800>{t;mo1<7:t=2g;>4>534;2m7j6;<3:=?bf34>>47=<;|q0`a<72=q6?h95190894?e2m301<7n:ec8913?2:80q~=kc;290~;4m?0:4?5218a9`<=:90h1hl5244:974=z{:ni6=4;{<1f1?7?:27:5i4k9:?2=f<ci27?954<0:p7ag=83>p1>k;:0:1?87>m3n270?6d;fb?822038m7p}<d883>1}:;l91=5<4=0;e>a?<583n6io4=57;>7c<uz9o47>54z?0a7<60;16=l>5d89>5<`=lh1688652e9~w6b02908w0=j1;3;6>;6i90om63;5981g>{t;:=1<7<t=211>4>?3498o7?8b:p77c=838p1>==:05a?854;3>37p}<3983>7}:;:91=564=21g>41e3ty8>k4?:3y>765=9>h01>=;:5:8yv5413:1>v3<3582<==:;:o1=:l4}r104?6=:r78?94>7c9>763=<11v>=n:181854=3;3463<3g823g=z{:9:6=4={<101?70j278?;4;8:p76d=838p1>=9:0:;?85383;<n6s|34694?4|5:9=6<9m;<145?be3ty88l4?:3y>713=91201>:i:05a?xu4<80;6?u2357952d<5:>=6964}r17f?6=:r788;4>899>706=9>h0q~=;2;296~;4<?0:;o5235590==z{:>h6=4={<173?7?02789<4>7c9~w6242909w0=;7;34f>;4<10?46s|35f94?4|5:>36<67;<166?70j2wx?9:50;0x962?28=i70=;9;6;?xu4<l0;6?u235;95=><5:?86<9m;|q010<72:q6?97516`896162m301>8l:e`8yv5183:1>v3<5c82<==:;??1=:l4}r163?6=:r789o4>7c9>70e=<11v>8>:181852k3;3463<67823g=z{:?36=4={<16g?70j2789i4;8:p734=838p1>;k:0:;?851?3;<n6s|34;94?4|5:?o6<9m;<16a?2?3ty8:>4?:3y>70c=91201>87:05a?xu4=h0;6?u234g952d<5:?m6964}r150?6=:r789k4>899>73?=9>h0q~=:6;296~;4=o0:;o5237a9`<=z{:=;6=4<{<15a?2a349=j7:i;<145?70j2wx?;k50;6x960b282970=82;fb?850;3nj70=9d;f;?xu4>k0;6?u237c90c=:;?i1=:l4}r66f?6=:<q68?j5479>07e=<?168?l5479>07g=<?168?75479>07>=<?168?85479>073=<?168?:5479>075=<?168?<5479>077=<?168?>5479>04`=<?168<k5479>04b=<?168<l5479>04g=<?168<75479>04>=<?168<95479>040=<?168<;5479>042=<?168<=5479>044=<?168>=5479>064=<?168>?5479>066=<?168?h5479>07c=<?168?95479>04e=<?168<?5479>046=<?16>;l516`8yv2283:1>v3;2e87b>;3=10h;6s|45d94?4|5=8h69h4=57;>g1<uz>?i7>52z?76g<3n27?954m5:p01b=838p19<n:5d8913?2k90q~:;c;296~;3:00?j63;598a6>{t<=h1<7<t=50;>1`<5=?36o?4}r67=?6=:r7?>;4;f:?71=<e82wx89650;0x91422=l019;7:`d8yv23?3:1>v3;2587b>;3=10ji6s|45494?4|5=8869h4=57;>f3<uz>?97>52z?767<3n27?954nd:p012=838p19<>:5d8913?2hi0q~:;3;296~;3:90?j63;598bf>{t<=81<7<t=53e>1`<5=?36lo4}r675?6=:r7?=h4;f:?71=<f02wx89>50;0x917c2=l019;7:`58yv24m3:1>v3;1c87b>;3=10j:6s|42f94?4|5=;j69h4=57;>d3<uz>8o7>52z?75<<3n27?954l4:p06d=838p19?7:5d8913?2h>0q~:<a;296~;39>0?j63;598b7>{t<:31<7<t=535>1`<5=?36l<4}r60<?6=:r7?=84;f:?71=<f92wx8>950;0x91732=l019;7:`28yv24>3:1>v3;1287b>;3=102j6s|42794?4|5=;969h4=57;>gc<uz>>;7>52z?776<3n27?954md:p000=838p19==:5d8913?2j90q~::5;296~;3;80?j63;598ag>{t<<>1<7<t=513>1`<5=?36ol4}r667?6=:r7?>k4;f:?71=<ei2wx88<50;0x914b2=l019;7:c;8yv2293:1>v3;2687b>;3=10i46s|45c94?4|5=;h69h4=57;>g2<uz>8j7>52z?754<3n27?954n9:p062=838p19??:5d8913?20o0q~==a;296~;4:h0:;o5233`9`g=z{=?26=4={<66e?70j27?954l9:p7`d=83?p1>9>:ec8960d2mk01>9=:05a?82203><863;598`<>{t9?:1<7<t=300>ag<5;896<<n;|q22g<72;q6=n?5d`9>5f6=9;k0q~<=4;296~;5:?0on63=23823g=z{8i96=4={<3`0?be34;h<7?8b:p560=838p1><l:05a?855j3nh7ps|54d94?4|V<?m70:<:47e?!2083;=h6s|57594?4|V<<<70:<:444?!2083;=i6s|54394?4|V<?:70:<:472?!2083;=j6s|4c294?4|V=3n70:<:5;f?!2083;?>6s|4`g94?4|V=3o70:<:5;g?!2083;?h6s|4`f94?4|V=3h70:<:5;`?!2083;>;6s|4`a94?4|V=3i70:<:5;a?!2083;>56s|4``94?4|V=3j70:<:5;b?!2083;>m6s|4`c94?4|V=3270:<:5;:?!2083;>n6s|4`;94?4|V=3370:<:5;;?!2083;>h6s|4`:94?4|V=3<70:<:5;4?!2083;>i6s|4c;94?4|V=k=70:<:5c5?!2083;>j6s|4c:94?4|V=k>70:<:5c6?!2083;==6s|4c594?4|V=k?70:<:5c7?!2083;=>6s|4c494?4|V=k870:<:5c0?!2083;=?6s|4c794?4|V=k970:<:5c1?!2083;=86s|4c694?4|V=k:70:<:5c2?!2083;=96s|4c194?4|V=k;70:<:5c3?!2083;=:6s|4c094?4|V=3m70:<:5;e?!2083;=;6s|4c394?4|V=3=70:<:5;5?!2083;=46s|4`594?4|V=3>70:<:5;6?!2083;=56s|56194?4|V<=870:<:450?!2083;=m6s|54f94?4|V<?o70:<:47g?!2083;=o6s|4g`94?4|V=n<70:<:5f4?!2083;<<6s|4g;94?4|V=n=70:<:5f5?!2083;<=6s|4g:94?4|V=n>70:<:5f6?!2083;<>6s|4g594?4|V=n?70:<:5f7?!2083;<?6s|4g494?4|V=n870:<:5f0?!2083;<86s|4g794?4|V=n970:<:5f1?!2083;<96s|4g194?4|V=n;70:<:5f3?!2083;<:6s|4g094?4|V=im70:<:5ae?!2083;<;6s|4g394?4|V=in70:<:5af?!2083;<46s|4g294?4|V=io70:<:5ag?!2083;846s|4dd94?4|V=ih70:<:5a`?!2083;856s|4dg94?4|V=ii70:<:5aa?!2083;8m6s|4df94?4|V=ij70:<:5ab?!2083;8n6s|4da94?4|V=i270:<:5a:?!2083;8o6s|4d`94?4|V=i370:<:5a;?!2083;8h6s|4dc94?4|V=i<70:<:5a4?!2083;8i6s|4d:94?4|V=i>70:<:5a6?!2083;8j6s|4d594?4|V=i?70:<:5a7?!2083;?<6s|4d494?4|V=i870:<:5a0?!2083;?=6s|4d794?4|V=i970:<:5a1?!2083;??6s|4d694?4|V=i:70:<:5a2?!2083;?86s|4d194?4|V=i;70:<:5a3?!2083;?96s|4d094?4|V=hm70:<:5`e?!2083;?:6s|4d394?4|V=hn70:<:5`f?!2083;?;6s|4d294?4|V=ho70:<:5`g?!2083;?46s|4ed94?4|V=hh70:<:5``?!2083;?56s|51094?4|V=no70:<:5fg?!2083;?m6s|51394?4|V=nh70:<:5f`?!2083;?n6s|51294?4|V=ni70:<:5fa?!2083;?o6s|4gd94?4|V=nj70:<:5fb?!2083;?i6s|4gg94?4|V=n270:<:5f:?!2083;?j6s|4gf94?4|V=n370:<:5f;?!2083;><6s|4ga94?4|V=n:70:<:5f2?!2083;>=6s|4g694?4|V=i=70:<:5a5?!2083;>>6s|4d;94?4|V=hi70:<:5`a?!2083;>?6s|4eg94?4|V=hj70:<:5`b?!2083;>86s|51c94?4|V<:j70:<:42b?!2083;>96s|49794?4|V=2>70:<:5:6?!2083;>:6s|51694?4|V<:?70:<:427?!2083;>46s|53d94?4|V<8m70:<:40e?!2083;>o6srn`f:>5<5sA><=6saaec94?4|@==:7p`ndc83>7}O<>;0qcokc;296~N3?81vbljk:181M2092wemik50;0xL1163tdjhk4?:3yK027<ugkn<7>52zJ734=zfho:6=4={I645>{iil81<7<tH552?xhfm:0;6?uG4638ykgb<3:1>vF;709~jdc22909wE:81:me`0=838pD99>;|lba2<72;qC8:?4}ocf<?6=:rB?;<5rn`g:>5<5sA><=6saadc94?4|@==:7p`nec83>7}O<>;0qcojc;296~N3?81vblkk:181M2092wemhk50;0xL1163tdjik4?:3yK027<ugkm<7>52zJ734=zfhl:6=4={I645>{iio81<7<tH552?xhfn:0;6?uG4638ykga<3:1>vF;709~jd`22909wE:81:mec0=838pD99>;|lbb2<72;qC8:?4}oce<?6=:rB?;<5rn`d:>5<5sA><=6saagc94?4|@==:7p`nfc83>7}O<>;0qcoic;296~N3?81vblhk:181M2092wemkk50;0xL1163tdjjk4?:3yK027<ugh;<7>52zJ734=zfk::6=4={I645>{ij981<7<tH552?xhe8:0;6?uG4638ykd7<3:1>vF;709~jg622909wE:81:mf50=838pD99>;|la42<72;qC8:?4}o`3<?6=:rB?;<5rnc2:>5<5sA><=6sab1c94?4|@==:7p`m0c83>7}O<>;0qcl?c;296~N3?81vbo>k:181M2092wen=k50;0xL1163tdi<k4?:3yK027<ugh:<7>52zJ734=zfk;:6=4={I645>{ij881<7<tH552?xhe9:0;6?uG4638ykd6<3:1>vF;709~jg722909wE:81:mf40=838pD99>;|la52<72;qC8:?4}o;2e?6=9rB?;<5rn87:>5<6sA><=6sa94c94?7|@==:7p`65c83>4}O<>;0qc7:c;295~N3?81vb4;k:182M2092we58k50;3xL1163td29k4?:0yK027<ug3=<7>51zJ734=zf0<:6=4>{I645>{i1?81<7?tH552?xh>>:0;6<uG4638yk?1<3:1=vF;709~j<02290:wE:81:m=30=83;pD99>;|l:22<728qC8:?4}o;5<?6=9rB?;<5rn84:>5<6sA><=6sa97c94?7|@==:7p`66c83>4}O<>;0qc79c;295~N3?81vb48k:182M2092we5;k50;3xL1163td2:k4?:0yK027<ug3<<7>51zJ734=zf0=:6=4>{I645>{i1>81<7?tH552?xh>?:0;6<uG4638yk?0<3:1=vF;709~j<12290:wE:81:m=20=83;pD99>;|l:32<728qC8:?4}o;4<?6=9rB?;<5rn85:>5<6sA><=6sa96c94?7|@==:7p`67c83>4}O<>;0qc78c;295~N3?81vb49k:182M2092we5:k50;3xL1163td2;k4?:0yK027<ug33<7>51zJ734=zf02:6=4>{I645>{i1181<7?tH552?xh>0:0;6<uG4638yk??<3:1=vF;709~j<>2290:wE:81:m==0=83;pD99>;|l:<2<728qC8:?4}o;;<?6=9rB?;<5rn8::>5<6sA><=6sa99c94?7|@==:7p`68c83>4}O<>;0qc77c;295~N3?81vb46k:182M2092we55k50;3xL1163td24k4?:0yK027<ug32<7>51zJ734=zf03:6=4>{I645>{i1081<7?tH552?xh>1:0;6<uG4638yk?><3:1=vF;709~j<?2290:wE:81:m=<0=83;pD99>;|l:=2<728qC8:?4}o;:<?6=9rB?;<5rn8;:>5<6sA><=6sa98c94?7|@==:7p`69c83>4}O<>;0qc76c;295~N3?81vb47k:182M2092we54k50;3xL1163td25k4?:0yK027<ug3j<7>51zJ734=zf0k:6=4>{I645>{i1h81<7?tH552?xh>i:0;6<uG4638yk?f<3:1=vF;709~j<g2290:wE:81:m=d0=83;pD99>;|l:e2<728qC8:?4}o;b<?6=9rB?;<5rn8c:>5<6sA><=6sa9`c94?7|@==:7p`6ac83>4}O<>;0qc7nc;295~N3?81vb4ok:182M2092we5lk50;3xL1163td2mk4?:0yK027<ug3i<7>51zJ734=zf0h:6=4>{I645>{i1k81<7?tH552?xh>j:0;6<uG4638yk?e<3:1=vF;709~j<d2290:wE:81:m=g0=83;pD99>;|l:f2<728qC8:?4}o;a<?6=9rB?;<5rn8`:>5<6sA><=6sa9cc94?7|@==:7p`6bc83>4}O<>;0qc7mc;295~N3?81vb4lk:182M2092we5ok50;3xL1163td2nk4?:0yK027<ug3h<7>51zJ734=zf0i:6=4>{I645>{i1j81<7?tH552?xh>k:0;6<uG4638yk?d<3:1=vF;709~j<e2290:wE:81:m=f0=83;pD99>;|l:g2<728qC8:?4}o;`<?6=9rB?;<5rn8a:>5<6sA><=6sa9bc94?7|@==:7p`6cc83>4}O<>;0qc7lc;295~N3?81vb4mk:182M2092we5nk50;3xL1163td2ok4?:0yK027<ug3o<7>51zJ734=zf0n:6=4>{I645>{i1m81<7?tH552?xh>l:0;6<uG4638yk?c<3:1=vF;709~j<b2290:wE:81:m=a0=83;pD99>;|l:`2<728qC8:?4}o;g<?6=9rB?;<5rn8f:>5<6sA><=6sa9ec94?7|@==:7p`6dc83>4}O<>;0qc7kc;295~N3?81vb4jk:182M2092we5ik50;3xL1163td2hk4?:0yK027<ug3n<7>51zJ734=zf0o:6=4>{I645>{i1l81<7?tH552?xh>m:0;6<uG4638yk?b<3:1=vF;709~j<c2290:wE:81:m=`0=83;pD99>;|l:a2<728qC8:?4}o;f<?6=9rB?;<5rn8g:>5<6sA><=6sa9dc94?7|@==:7p`6ec83>4}O<>;0qc7jc;295~N3?81vb4kk:182M2092we5hk50;3xL1163td2ik4?:0yK027<ug3m<7>51zJ734=zf0l:6=4>{I645>{i1o81<7?tH552?xh>n:0;6<uG4638yk?a<3:1=vF;709~j<`2290:wE:81:m=c0=83;pD99>;|l:b2<728qC8:?4}o;e<?6=9rB?;<5rn8d:>5<6sA><=6sa9gc94?7|@==:7p`6fc83>4}O<>;0qc7ic;295~N3?81vb4hk:182M2092we5kk50;3xL1163td2jk4?:0yK027<ugk;<7>51zJ734=zfh::6=4>{I645>{ii981<7?tH552?xhf8:0;6<uG4638ykg7<3:1=vF;709~jd62290:wE:81:me50=83;pD99>;|lb42<728qC8:?4}oc3<?6=9rB?;<5rn`2:>5<6sA><=6saa1c94?7|@==:7p`n0c83>4}O<>;0qco?c;295~N3?81vbl>k:182M2092wem=k50;3xL1163tdj<k4?:0yK027<ugk:<7>51zJ734=zfh;:6=4>{I645>{ii881<7?tH552?xhf9:0;6<uG4638ykg6<3:1=vF;709~jd72290:wE:81:me40=83;pD99>;|lb52<728qC8:?4}oc2<?6=9rB?;<5rn`3:>5<6sA><=6saa0c94?7|@==:7p`n1c83>4}O<>;0qco>c;295~N3?81vbl?k:182M2092wem<k50;3xL1163tdj=k4?:0yK027<ugk9<7>51zJ734=zfh8:6=4>{I645>{ii;81<7?tH552?xhf::0;6<uG4638ykg5<3:1=vF;709~jd42290:wE:81:me70=83;pD99>;|lb62<728qC8:?4}oc1<?6=9rB?;<5rn`0:>5<6sA><=6saa3c94?7|@==:7p`n2c83>4}O<>;0qco=c;295~N3?81vbl<k:182M2092wem?k50;3xL1163tdj>k4?:0yK027<ugk8<7>51zJ734=zfh9:6=4>{I645>{ii:81<7?tH552?xhf;:0;6<uG4638ykg4<3:1=vF;709~jd52290:wE:81:me60=83;pD99>;|lb72<728qC8:?4}oc0<?6=9rB?;<5rn`1:>5<6sA><=6saa2c94?7|@==:7p`n3c83>4}O<>;0qco<c;295~N3?81vbl=k:182M2092wem>k50;3xL1163tdj?k4?:0yK027<ugk?<7>51zJ734=zfh>:6=4>{I645>{ii=81<7?tH552?xhf<:0;6<uG4638ykg3<3:1=vF;709~jd22290:wE:81:me10=83;pD99>;|lb02<728qC8:?4}oc7<?6=9rB?;<5rn`6:>5<6sA><=6saa5c94?7|@==:7p`n4c83>4}O<>;0qco;c;295~N3?81vbl:k:182M2092wem9k50;3xL1163tdj8k4?:0yK027<ugk><7>51zJ734=zfh?:6=4>{I645>{ii<81<7?tH552?xhf=:0;6<uG4638ykg2<3:1=vF;709~jd32290:wE:81:me00=83;pD99>;|lb12<728qC8:?4}oc6<?6=9rB?;<5rn`7:>5<6sA><=6saa4c94?7|@==:7p`n5c83>4}O<>;0qco:c;295~N3?81vbl;k:182M2092wem8k50;3xL1163tdj9k4?:0yK027<ugk=<7>51zJ734=zfh<:6=4>{I645>{ii?81<7?tH552?xhf>:0;6<uG4638ykg1<3:1=vF;709~jd02290:wE:81:me30=83;pD99>;|lb22<728qC8:?4}oc5<?6=9rB?;<5rn`4:>5<6sA><=6saa7c94?7|@==:7p`n6c83>4}O<>;0qco9c;295~N3?81vbl8k:182M2092wem;k50;3xL1163tdj:k4?:0yK027<ugk<<7>51zJ734=zfh=:6=4>{I645>{ii>81<7?tH552?xhf?:0;6<uG4638ykg0<3:1=vF;709~jd12290:wE:81:me20=83;pD99>;|lb32<728qC8:?4}oc4<?6=9rB?;<5rn`5:>5<6sA><=6saa6c94?7|@==:7p`n7c83>4}O<>;0qco8c;295~N3?81vbl9k:182M2092wem:k50;3xL1163tdj;k4?:0yK027<ugk3<7>51zJ734=zfh2:6=4>{I645>{ii181<7?tH552?xhf0:0;6<uG4638ykg?<3:1=vF;709~jd>2290:wE:81:me=0=83;pD99>;|lb<2<728qC8:?4}oc;<?6=9rB?;<5rn`::>5<6sA><=6saa9c94?7|@==:7p`n8c83>4}O<>;0qco7c;295~N3?81vbl6k:182M2092wem5k50;3xL1163tdj4k4?:0yK027<ugk2<7>51zJ734=zfh3:6=4>{I645>{ii081<7?tH552?xhf1:0;6<uG4638ykg><3:1=vF;709~jd?2290:wE:81:me<0=83;pD99>;|lb=2<728qC8:?4}oc:<?6=9rB?;<5rn`;:>5<6sA><=6saa8c94?7|@==:7p`n9c83>4}O<>;0qco6c;295~N3?81vbl7k:182M2092wem4k50;3xL1163tdj5k4?:0yK027<ugkj<7>51zJ734=zfhk:6=4>{I645>{iih81<7?tH552?xhfi:0;6<uG4638ykgf<3:1=vF;709~jdg2290:wE:81:med0=83;pD99>;|lbe2<728qC8:?4}ocb<?6=9rB?;<5rn`c:>5<6sA><=6saa`c94?7|@==:7p`nac83>4}O<>;0qconc;295~N3?81vblok:182M2092wemlk50;3xL1163tdjmk4?:0yK027<ugki<7>51zJ734=zfhh:6=4>{I645>{iik81<7?tH552?xhfj:0;6<uG4638ykge<3:1=vF;709~jdd2290:wE:81:meg0=83;pD99>;|lbf2<728qC8:?4}oca<?6=9rB?;<5rn``:>5<6sA><=6saacc94?7|@==:7p`nbc83>4}O<>;0qcomc;295~N3?81vbllk:182M2092wemok50;3xL1163tdjnk4?:0yK027<ugkh<7>51zJ734=zfhi:6=4>{I645>{iij81<7?tH552?xhfk:0;6<uG4638ykgd<3:1=vF;709~jde2290:wE:81:mef0=83;pD99>;|lbg2<728qC8:?4}oc`<?6=9rB?;<5rn`a:>5<6sA><=6saabc94?7|@==:7p`ncc83>4}O<>;0qcolc;295~N3?81vblmk:182M2092wemnk50;3xL1163tdjok4?:0yK027<ugko<7>51zJ734=zfhn:6=4>{I645>{iim81<7?tH552?xhfl:0;6<uG4638ykgc<3:1=vF;709~jdb2290:wE:81:mea0=83;pD99>;|lb`2<728qC8:?4}ocg<?6=9rB?;<5r}|CDF}dl10<jh:ke93~DED|8tJK\vsO@ diff --git a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v index fe73a5348..25ac9779e 100644 --- a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v +++ b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.v @@ -107,8 +107,8 @@ output prog_full; .C_PROG_EMPTY_THRESH_ASSERT_VAL(4), .C_PROG_EMPTY_THRESH_NEGATE_VAL(5), .C_PROG_EMPTY_TYPE(0), - .C_PROG_FULL_THRESH_ASSERT_VAL(1017), - .C_PROG_FULL_THRESH_NEGATE_VAL(1016), + .C_PROG_FULL_THRESH_ASSERT_VAL(1015), + .C_PROG_FULL_THRESH_NEGATE_VAL(1014), .C_PROG_FULL_TYPE(1), .C_RD_DATA_COUNT_WIDTH(9), .C_RD_DEPTH(512), diff --git a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco index 25bb6f562..f888ba5f4 100644 --- a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco +++ b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xco @@ -1,7 +1,7 @@ ############################################################## # # Xilinx Core Generator version 12.1 -# Date: Thu Aug 12 21:02:57 2010 +# Date: Wed Aug 18 17:27:35 2010 # ############################################################## # @@ -49,8 +49,8 @@ CSET enable_int_clk=false CSET enable_reset_synchronization=true CSET fifo_implementation=Independent_Clocks_Block_RAM CSET full_flags_reset_value=0 -CSET full_threshold_assert_value=1017 -CSET full_threshold_negate_value=1016 +CSET full_threshold_assert_value=1015 +CSET full_threshold_negate_value=1014 CSET inject_dbit_error=false CSET inject_sbit_error=false CSET input_data_width=18 @@ -81,4 +81,4 @@ CSET write_data_count=false CSET write_data_count_width=10 # END Parameters GENERATE -# CRC: a23e2cc +# CRC: 77234081 diff --git a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise index 97ea8d84b..04acaf578 100644 --- a/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise +++ b/usrp2/coregen/fifo_xlnx_512x36_2clk_18to36.xise @@ -50,8 +50,8 @@ <!-- --> <property xil_pn:name="PROP_DesignName" xil_pn:value="fifo_xlnx_512x36_2clk_18to36" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan3" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-08-12T14:02:59" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="BF4FB80C8B24CE8579865855C385928E" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2010-08-18T10:27:37" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="224FA43C81F32871F9E1930EA6CDD6AD" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> </properties> diff --git a/usrp2/extramfifo/ext_fifo.v b/usrp2/extramfifo/ext_fifo.v index 398e5ef81..c6a64fc65 100644 --- a/usrp2/extramfifo/ext_fifo.v +++ b/usrp2/extramfifo/ext_fifo.v @@ -15,8 +15,10 @@ // packed into the IO ring. // + //`define NO_EXT_FIFO + module ext_fifo - #(parameter INT_WIDTH=36,EXT_WIDTH=18,DEPTH=19) + #(parameter INT_WIDTH=36,EXT_WIDTH=18,RAM_DEPTH=19,FIFO_DEPTH=19) ( input int_clk, input ext_clk, @@ -24,7 +26,7 @@ module ext_fifo input [EXT_WIDTH-1:0] RAM_D_pi, output [EXT_WIDTH-1:0] RAM_D_po, output RAM_D_poe, - output [DEPTH-1:0] RAM_A, + output [RAM_DEPTH-1:0] RAM_A, output RAM_WEn, output RAM_CENn, output RAM_LDn, @@ -59,16 +61,15 @@ module ext_fifo .empty(empty1)); assign dst_rdy_o = ~full1; - -/* -----\/----- EXCLUDED -----\/----- + +`ifdef NO_EXT_FIFO assign space_avail = ~full2; assign data_avail = ~empty1; assign read_data = write_data; - -----/\----- EXCLUDED -----/\----- */ +`else - // External FIFO running at ext clock rate and 18 bit width. - nobl_fifo #(.WIDTH(EXT_WIDTH),.DEPTH(DEPTH),.FDEPTH(DEPTH)) + nobl_fifo #(.WIDTH(EXT_WIDTH),.RAM_DEPTH(RAM_DEPTH),.FIFO_DEPTH(FIFO_DEPTH)) nobl_fifo_i1 ( .clk(ext_clk), @@ -83,14 +84,14 @@ module ext_fifo .RAM_OEn(RAM_OEn), .RAM_CE1n(RAM_CE1n), .write_data(write_data), - .write_strobe(space_avail & ~empty1 ), + .write_strobe(~empty1 ), .space_avail(space_avail), .read_data(read_data), - .read_strobe(data_avail & ~full2), - .data_avail(data_avail), - .upstream_full(almost_full2) + .read_strobe(~almost_full2), + .data_avail(data_avail) ); - +`endif // !`ifdef NO_EXT_FIFO + // FIFO buffers data read from external FIFO into DSP clk domain and to TX DSP. fifo_xlnx_512x36_2clk_18to36 fifo_xlnx_512x36_2clk_18to36_i1 ( @@ -98,7 +99,7 @@ module ext_fifo .wr_clk(ext_clk), .rd_clk(int_clk), .din(read_data), // Bus [17 : 0] - .wr_en(data_avail & ~full2 ), + .wr_en(data_avail), .rd_en(dst_rdy_i), .dout(dataout), // Bus [35 : 0] .full(full2), @@ -106,5 +107,5 @@ module ext_fifo .empty(empty2)); assign src_rdy_o = ~empty2; - + endmodule // ext_fifo diff --git a/usrp2/extramfifo/ext_fifo_tb.v b/usrp2/extramfifo/ext_fifo_tb.v index a93d524d5..db5f31a9d 100644 --- a/usrp2/extramfifo/ext_fifo_tb.v +++ b/usrp2/extramfifo/ext_fifo_tb.v @@ -1,7 +1,8 @@ `timescale 1ns / 1ps `define INT_WIDTH 36 `define EXT_WIDTH 18 -`define DEPTH 19 +`define RAM_DEPTH 19 +`define FIFO_DEPTH 8 `define DUMP_VCD_FULL module ext_fifo_tb(); @@ -17,7 +18,7 @@ module ext_fifo_tb(); wire [`EXT_WIDTH-1:0] RAM_D_po; wire [`EXT_WIDTH-1:0] RAM_D; wire RAM_D_poe; - wire [`DEPTH-1:0] RAM_A; + wire [`RAM_DEPTH-1:0] RAM_A; wire RAM_WEn; wire RAM_CENn; wire RAM_LDn; @@ -30,6 +31,7 @@ module ext_fifo_tb(); reg [`INT_WIDTH-1:0] ref_dataout; wire src_rdy_o; // not EMPTY reg dst_rdy_i; + integer ether_frame; // Clocks @@ -39,7 +41,6 @@ module ext_fifo_tb(); begin int_clk <= 0; ext_clk <= 0; - datain <= 0; ref_dataout <= 1; src_rdy_i <= 0; dst_rdy_i <= 0; @@ -53,6 +54,9 @@ module ext_fifo_tb(); initial begin + datain <= 0; + ether_frame <= 0; + rst <= 1; repeat (5) @(negedge int_clk); rst <= 0; @@ -62,6 +66,18 @@ module ext_fifo_tb(); @(negedge int_clk); datain <= datain + dst_rdy_o; src_rdy_i <= dst_rdy_o; + // Simulate inter-frame time + if (ether_frame == 1500) + begin + ether_frame <= 0; + repeat(1600) + begin + @(negedge int_clk); + src_rdy_i <= 0; + end + end + else + ether_frame <= ether_frame + dst_rdy_o; end end // initial begin @@ -73,8 +89,20 @@ module ext_fifo_tb(); // Fall through fifo, first output already valid if (dataout !== ref_dataout) $display("Error: Expected %x, got %x",ref_dataout, dataout); - - while (ref_dataout < 10000) + // Decimate by 16 rate + while (ref_dataout < 2000) + begin + @(negedge int_clk); + ref_dataout <= ref_dataout + src_rdy_o ; + dst_rdy_i <= src_rdy_o; + if ((dataout !== ref_dataout) && src_rdy_o) + $display("Error: Expected %x, got %x",ref_dataout, dataout); + @(negedge int_clk); + dst_rdy_i <= 0; + repeat(14) @(negedge int_clk); + end // while (ref_dataout < 10000) + // Decimate by 8 rate + while (ref_dataout < 4000) begin @(negedge int_clk); ref_dataout <= ref_dataout + src_rdy_o ; @@ -84,7 +112,33 @@ module ext_fifo_tb(); @(negedge int_clk); dst_rdy_i <= 0; repeat(6) @(negedge int_clk); - end + end // while (ref_dataout < 10000) + // Decimate by 4 rate + while (ref_dataout < 6000) + begin + @(negedge int_clk); + ref_dataout <= ref_dataout + src_rdy_o ; + dst_rdy_i <= src_rdy_o; + if ((dataout !== ref_dataout) && src_rdy_o) + $display("Error: Expected %x, got %x",ref_dataout, dataout); + @(negedge int_clk); + dst_rdy_i <= 0; + repeat(2) @(negedge int_clk); + end // while (ref_dataout < 10000) + // Max rate + while (ref_dataout < 10000) + begin + @(negedge int_clk); + ref_dataout <= ref_dataout + src_rdy_o ; + dst_rdy_i <= src_rdy_o; + if ((dataout !== ref_dataout) && src_rdy_o) + $display("Error: Expected %x, got %x",ref_dataout, dataout); + + end // while (ref_dataout < 10000) + + @(negedge int_clk); + $finish; + end @@ -228,7 +282,7 @@ module ext_fifo_tb(); endgenerate - wire [`DEPTH-1:0] RAM_A_ext; + wire [`RAM_DEPTH-1:0] RAM_A_ext; wire RAM_WEn_ext,RAM_LDn_ext,RAM_CE1n_ext,RAM_OEn_ext,RAM_CENn_ext; assign #1 RAM_D_pi = RAM_D_pi_ext; @@ -292,7 +346,7 @@ module ext_fifo_tb(); ext_fifo - #(.INT_WIDTH(`INT_WIDTH),.EXT_WIDTH(`EXT_WIDTH),.DEPTH(`DEPTH)) + #(.INT_WIDTH(`INT_WIDTH),.EXT_WIDTH(`EXT_WIDTH),.RAM_DEPTH(`RAM_DEPTH),.FIFO_DEPTH(`FIFO_DEPTH)) ext_fifo_i1 ( .int_clk(int_clk), diff --git a/usrp2/extramfifo/nobl_fifo.v b/usrp2/extramfifo/nobl_fifo.v index 03e3f5223..19f5fb84e 100644 --- a/usrp2/extramfifo/nobl_fifo.v +++ b/usrp2/extramfifo/nobl_fifo.v @@ -1,18 +1,19 @@ // Since this FIFO uses a ZBT/NoBL SRAM for its storage which is a since port // device it can only sustain data throughput at half the RAM clock rate. // Fair arbitration to ensure this occurs is included in this logic and -// requests for transactions that can not be completed are held off by (re)using the -// "full" and "empty" flags. +// requests for transactions that can not be completed are held off. +// This FIFO requires a an external signal driving read_strobe that assures space for at least 6 +// reads since this the theopretical maximum number in flight due to pipeling. module nobl_fifo - #(parameter WIDTH=18,DEPTH=19,FDEPTH=10) + #(parameter WIDTH=18,RAM_DEPTH=19,FIFO_DEPTH=19) ( input clk, input rst, input [WIDTH-1:0] RAM_D_pi, output [WIDTH-1:0] RAM_D_po, output RAM_D_poe, - output [DEPTH-1:0] RAM_A, + output [RAM_DEPTH-1:0] RAM_A, output RAM_WEn, output RAM_CENn, output RAM_LDn, @@ -21,42 +22,32 @@ module nobl_fifo input [WIDTH-1:0] write_data, input write_strobe, output reg space_avail, - output reg [WIDTH-1:0] read_data, - input read_strobe, - output reg data_avail, - input upstream_full // (Connect to almost full flag upstream) + output [WIDTH-1:0] read_data, + input read_strobe, // Triggers a read, result in approximately 6 cycles. + output data_avail // Qulaifys read data available this cycle on read_data. ); - reg [FDEPTH-1:0] capacity; - reg [FDEPTH-1:0] wr_pointer; - reg [FDEPTH-1:0] rd_pointer; - wire [DEPTH-1:0] address; - reg supress; - reg data_avail_int; // Data available with high latency from ext FIFO flag - wire [WIDTH-1:0] data_in; - wire data_in_valid; - reg [WIDTH-1:0] read_data_pending; - reg pending_avail; - wire read_strobe_int; + reg [FIFO_DEPTH-1:0] capacity; + reg [FIFO_DEPTH-1:0] wr_pointer; + reg [FIFO_DEPTH-1:0] rd_pointer; + wire [RAM_DEPTH-1:0] address; + reg data_avail_int; // Internal not empty flag. - - - assign read = read_strobe_int && data_avail_int; - assign write = write_strobe && space_avail; + assign read = read_strobe && data_avail_int; + assign write = write_strobe && space_avail; - // When a read and write collision occur, supress the availability flags next cycle + // When a read and write collision occur, supress the space_avail flag next cycle // and complete write followed by read over 2 cycles. This forces balanced arbitration // and makes for a simple logic design. always @(posedge clk) if (rst) begin - capacity <= 1 << (FDEPTH-1); + capacity <= 1 << (FIFO_DEPTH-1); wr_pointer <= 0; rd_pointer <= 0; space_avail <= 0; data_avail_int <= 0; - supress <= 0; end else begin @@ -64,187 +55,15 @@ module nobl_fifo // Capacity is already zero; Capacity is 1 and write is asserted (lookahead); both read and write are asserted (collision) space_avail <= ~((capacity == 0) || (read&&write) || ((capacity == 1) && write) ); // Capacity has 1 cycle delay so look ahead here for corner case of read of last item in FIFO. - data_avail_int <= ~((capacity == (1 << (FDEPTH-1))) || (read&&write) || ((capacity == ((1 << (FDEPTH-1))-1)) && read) ); - supress <= read && write; + data_avail_int <= ~((capacity == (1 << (FIFO_DEPTH-1))) || ((capacity == ((1 << (FIFO_DEPTH-1))-1)) && read) ); wr_pointer <= wr_pointer + write; - rd_pointer <= rd_pointer + ((~write && read) || supress); - capacity <= capacity - write + ((~write && read) || supress); // REVISIT + rd_pointer <= rd_pointer + (~write && read); + capacity <= capacity - write + (~write && read) ; end // else: !if(rst) assign address = write ? wr_pointer : rd_pointer; - assign enable = write || read || supress; - - // - // Need to have first item in external FIFO moved into local registers for single cycle latency and throughput on read. - // 2 local registers are provided so that a read every other clock cycle can be sustained. - // No fowarding logic is provided to bypass the external FIFO as latency is of no concern. - // - always @(posedge clk) - if (rst) - begin - read_data <= 0; - data_avail <= 0; - read_data_pending <= 0; - pending_avail <= 0; - end - else - begin - case({read_strobe,data_in_valid}) - // No read externally, no new data arriving from external FIFO - 2'b00: begin - case({data_avail,pending_avail}) - // Start Data empty, Pending empty. - // - // End Data full, Pending empty - 2'b00: begin - read_data <= read_data; - data_avail <= data_avail; - read_data_pending <= read_data_pending ; - pending_avail <= pending_avail; - end - // Start Data empty, Pending full. - // Data <= Pending, - // End Data full, Penidng empty. - 2'b01: begin - read_data <= read_data_pending; - data_avail <= 1'b1; - read_data_pending <= read_data_pending ; - pending_avail <= 1'b0; - end - // Start Data full, Pending empty. - // - // End Data full, Pending empty - 2'b10: begin - read_data <= read_data; - data_avail <= data_avail; - read_data_pending <= read_data_pending ; - pending_avail <= pending_avail; - end - // Start Data full, Pending full. - // - // End Data full, Pending full. - 2'b11: begin - read_data <= read_data; - data_avail <= data_avail; - read_data_pending <= read_data_pending ; - pending_avail <= pending_avail; - end - endcase - end - // No read externally, new data arriving from external FIFO - 2'b01: begin - case({data_avail,pending_avail}) - // Start Data empty, Pending empty. - // Data <= FIFO - // End Data full, Pending empty - 2'b00: begin - read_data <= data_in; - data_avail <= 1'b1; - read_data_pending <= read_data_pending ; - pending_avail <= 1'b0; - end - // Start Data empty, Pending full. - // Data <= Pending, Pending <= FIFO - // End Data full, Penidng full. - 2'b01: begin - read_data <= read_data_pending; - data_avail <= 1'b1; - read_data_pending <= data_in ; - pending_avail <= 1'b1; - end - // Start Data full, Pending empty. - // Pending <= FIFO - // End Data full, Pending full - 2'b10: begin - read_data <= read_data; - data_avail <= 1'b1; - read_data_pending <= data_in ; - pending_avail <= 1'b1; - end - // Data full, Pending full. - // *ILLEGAL STATE* - 2'b11: begin - - end - endcase - end - // Read externally, no new data arriving from external FIFO - 2'b10: begin - case({data_avail,pending_avail}) - // Start Data empty, Pending empty. - // *ILLEGAL STATE* - 2'b00: begin - - end - // Start Data empty, Pending full. - // *ILLEGAL STATE* - 2'b01: begin - - end - // Start Data full, Pending empty. - // Out <= Data - // End Data empty, Pending empty. - 2'b10: begin - read_data <= read_data; - data_avail <= 1'b0; - read_data_pending <= read_data_pending ; - pending_avail <= 1'b0; - end - // Start Data full, Pending full. - // Out <= Data, - // End Data full, Pending empty - 2'b11: begin - read_data <= read_data_pending; - data_avail <= 1'b1; - read_data_pending <= read_data_pending ; - pending_avail <= 1'b0; - end - endcase - end - // Read externally, new data arriving from external FIFO - 2'b11: begin - case({data_avail,pending_avail}) - // Start Data empty, Pending empty. - // *ILLEGAL STATE* - 2'b00: begin - - end - // Start Data empty, Pending full. - // *ILLEGAL STATE* - 2'b01: begin - - end - // Start Data full, Pending empty. - // Out <= Data, Data <= FIFO - // End Data full, Pending empty. - 2'b10: begin - read_data <= data_in; - data_avail <= 1'b1; - read_data_pending <= read_data_pending ; - pending_avail <= 1'b0; - end - // Start Data full, Pending full. - // Out <= Data, Data <= Pending, Pending <= FIFO - // End Data full, Pending full - 2'b11: begin - read_data <= read_data_pending; - data_avail <= 1'b1; - read_data_pending <= data_in ; - pending_avail <= 1'b1; - end - endcase - end - endcase - end + assign enable = write || read; - // Start an external FIFO read as soon as a read of the buffer reg is strobed to minimise refill latency. - // If the buffer reg or the pending buffer reg is already empty also pre-emptively start a read. - // However there must be something in the main external FIFO to read for this to occur!. - // Pay special attention to upstream devices signalling full due to the number of potential in-flight reads\ that need - // to be stalled and stored somewhere. - // This means that there can be 3 outstanding reads to the ext FIFO active at any time helping to hide latency. - assign read_strobe_int = (read_strobe && data_avail && ~pending_avail && ~upstream_full) || (~data_avail && ~pending_avail && ~upstream_full); - // // Simple NoBL SRAM interface, 4 cycle read latency. @@ -265,10 +84,12 @@ module nobl_fifo .RAM_CE1n(RAM_CE1n), .address(address), .data_out(write_data), - .data_in(data_in), - .data_in_valid(data_in_valid), + .data_in(read_data), + .data_in_valid(data_avail), .write(write), .enable(enable) ); + + endmodule // nobl_fifo diff --git a/usrp2/top/u2_rev3/u2_core_udp.v b/usrp2/top/u2_rev3/u2_core_udp.v index b0c8e6d52..c2811c833 100644 --- a/usrp2/top/u2_rev3/u2_core_udp.v +++ b/usrp2/top/u2_rev3/u2_core_udp.v @@ -648,11 +648,12 @@ module u2_core wire tx_src_rdy, tx_dst_rdy; wire [31:0] debug_vt; - ext_fifo #(.EXT_WIDTH(18),.INT_WIDTH(36),.DEPTH(19)) + ext_fifo #(.EXT_WIDTH(18),.INT_WIDTH(36),.RAM_DEPTH(19),.FIFO_DEPTH(8)) ext_fifo_i1 ( .int_clk(dsp_clk), .ext_clk(clk_to_mac), +// .ext_clk(wb_clk), .rst(dsp_rst), .RAM_D_pi(RAM_D_pi), .RAM_D_po(RAM_D_po), |