summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJosh Blum <josh@joshknows.com>2010-12-06 19:29:26 -0800
committerJosh Blum <josh@joshknows.com>2010-12-06 19:29:26 -0800
commitb3c3bc9da17e4536cb54143118aca8d7c0450c57 (patch)
tree123f5d5b020c4e78a8e8c2212fd430fd22de62fd
parentd6a07130299b1727a2210809fa9efe515ce37c24 (diff)
downloaduhd-b3c3bc9da17e4536cb54143118aca8d7c0450c57.tar.gz
uhd-b3c3bc9da17e4536cb54143118aca8d7c0450c57.tar.bz2
uhd-b3c3bc9da17e4536cb54143118aca8d7c0450c57.zip
zpu: brought status signal out to top level
-rw-r--r--usrp2/opencores/zpu/zpu_wb_top.vhd4
1 files changed, 3 insertions, 1 deletions
diff --git a/usrp2/opencores/zpu/zpu_wb_top.vhd b/usrp2/opencores/zpu/zpu_wb_top.vhd
index d3cf96c9c..9f4b75843 100644
--- a/usrp2/opencores/zpu/zpu_wb_top.vhd
+++ b/usrp2/opencores/zpu/zpu_wb_top.vhd
@@ -35,7 +35,8 @@ entity zpu_wb_top is
stb_o: out std_logic;
-- misc zpu signals
- interrupt: in std_logic
+ interrupt: in std_logic;
+ zpu_status: out std_logic_vector(63 downto 0)
);
end zpu_wb_top;
@@ -65,6 +66,7 @@ zpu_system0: zpu_system port map(
areset => rst,
enable => enb,
interrupt => interrupt,
+ zpu_status => zpu_status,
zpu_wb_i => zpu_wb_i,
zpu_wb_o => zpu_wb_o
);