From b3c3bc9da17e4536cb54143118aca8d7c0450c57 Mon Sep 17 00:00:00 2001 From: Josh Blum Date: Mon, 6 Dec 2010 19:29:26 -0800 Subject: zpu: brought status signal out to top level --- usrp2/opencores/zpu/zpu_wb_top.vhd | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/usrp2/opencores/zpu/zpu_wb_top.vhd b/usrp2/opencores/zpu/zpu_wb_top.vhd index d3cf96c9c..9f4b75843 100644 --- a/usrp2/opencores/zpu/zpu_wb_top.vhd +++ b/usrp2/opencores/zpu/zpu_wb_top.vhd @@ -35,7 +35,8 @@ entity zpu_wb_top is stb_o: out std_logic; -- misc zpu signals - interrupt: in std_logic + interrupt: in std_logic; + zpu_status: out std_logic_vector(63 downto 0) ); end zpu_wb_top; @@ -65,6 +66,7 @@ zpu_system0: zpu_system port map( areset => rst, enable => enb, interrupt => interrupt, + zpu_status => zpu_status, zpu_wb_i => zpu_wb_i, zpu_wb_o => zpu_wb_o ); -- cgit v1.2.3