aboutsummaryrefslogtreecommitdiffstats
path: root/sw/dart-70/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'sw/dart-70/Makefile')
-rw-r--r--sw/dart-70/Makefile18
1 files changed, 18 insertions, 0 deletions
diff --git a/sw/dart-70/Makefile b/sw/dart-70/Makefile
new file mode 100644
index 0000000..8c5fc79
--- /dev/null
+++ b/sw/dart-70/Makefile
@@ -0,0 +1,18 @@
+.PHONY: all openocd debug
+
+OPENOCD := openocd
+OPENOCD_OPT := -f interface/stlink-v2.cfg -f target/stm32f1x.cfg
+BIN := target/thumbv7m-none-eabi/debug/picardy
+
+# Build and flash in release mode
+all:
+ cargo build
+ $(OPENOCD) $(OPENOCD_OPT) -c "program $(BIN) reset exit"
+
+# Start a openocd session.
+openocd:
+ $(OPENOCD) $(OPENOCD_OPT)
+
+# Start a gdb session. Works if a valid openocd session is existing.
+debug:
+ arm-none-eabi-gdb $(BIN) -q -x utils/debug.gdb