aboutsummaryrefslogtreecommitdiffstats
path: root/src/output/UHD.h
diff options
context:
space:
mode:
authorMatthias P. Braendli <matthias.braendli@mpb.li>2017-11-04 08:36:03 +0100
committerMatthias P. Braendli <matthias.braendli@mpb.li>2017-11-04 08:36:03 +0100
commit4d8310ae0ffe1f78a2b8623d55f63ae504ff1aa8 (patch)
treeeb6a7ad2b63b2887725159f53904c7a471429269 /src/output/UHD.h
parent34afa3a0632817c30e4e5427ee67138d59c4ede3 (diff)
downloaddabmod-4d8310ae0ffe1f78a2b8623d55f63ae504ff1aa8.tar.gz
dabmod-4d8310ae0ffe1f78a2b8623d55f63ae504ff1aa8.tar.bz2
dabmod-4d8310ae0ffe1f78a2b8623d55f63ae504ff1aa8.zip
Make DPD Feedback server SDRDevice-agnostic
Diffstat (limited to 'src/output/UHD.h')
-rw-r--r--src/output/UHD.h9
1 files changed, 9 insertions, 0 deletions
diff --git a/src/output/UHD.h b/src/output/UHD.h
index 220e4c8..3742924 100644
--- a/src/output/UHD.h
+++ b/src/output/UHD.h
@@ -84,6 +84,14 @@ class UHD : public Output::SDRDevice
virtual RunStatistics get_run_statistics(void) override;
virtual double get_real_secs(void) override;
+ virtual void set_rxgain(double rxgain) override;
+ virtual double get_rxgain(void) override;
+ virtual size_t receive_frame(
+ complexf *buf,
+ size_t num_samples,
+ struct frame_timestamp& ts,
+ double timeout_secs) override;
+
// Return true if GPS and reference clock inputs are ok
virtual bool is_clk_source_ok(void) override;
virtual const char* device_name(void) override;
@@ -92,6 +100,7 @@ class UHD : public Output::SDRDevice
SDRDeviceConfig& m_conf;
uhd::usrp::multi_usrp::sptr m_usrp;
uhd::tx_streamer::sptr m_tx_stream;
+ uhd::rx_streamer::sptr m_rx_stream;
size_t num_underflows = 0;
size_t num_overflows = 0;