From 8214a30d10bbe30d04f74acca4bd683dc084f5df Mon Sep 17 00:00:00 2001 From: Matt Ettus Date: Tue, 18 May 2010 12:36:19 -0700 Subject: added width parameter to avoid warnings (thanks IJB) and default value parameter --- usrp2/control_lib/setting_reg.v | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) (limited to 'usrp2/control_lib') diff --git a/usrp2/control_lib/setting_reg.v b/usrp2/control_lib/setting_reg.v index ccbaa3d2e..3d3bb65e5 100644 --- a/usrp2/control_lib/setting_reg.v +++ b/usrp2/control_lib/setting_reg.v @@ -1,14 +1,16 @@ module setting_reg - #(parameter my_addr = 0) + #(parameter my_addr = 0, + parameter width = 32, + parameter at_reset=32'd0) (input clk, input rst, input strobe, input wire [7:0] addr, - input wire [31:0] in, output reg [31:0] out, output reg changed); + input wire [31:0] in, output reg [width-1:0] out, output reg changed); always @(posedge clk) if(rst) begin - out <= 32'd0; + out <= at_reset; changed <= 1'b0; end else -- cgit v1.2.3