From bafa9d95453387814ef25e6b6256ba8db2df612f Mon Sep 17 00:00:00 2001 From: Martin Braun Date: Thu, 23 Jan 2020 16:10:22 -0800 Subject: Merge FPGA repository back into UHD repository MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit The FPGA codebase was removed from the UHD repository in 2014 to reduce the size of the repository. However, over the last half-decade, the split between the repositories has proven more burdensome than it has been helpful. By merging the FPGA code back, it will be possible to create atomic commits that touch both FPGA and UHD codebases. Continuous integration testing is also simplified by merging the repositories, because it was previously difficult to automatically derive the correct UHD branch when testing a feature branch on the FPGA repository. This commit also updates the license files and paths therein. We are therefore merging the repositories again. Future development for FPGA code will happen in the same repository as the UHD host code and MPM code. == Original Codebase and Rebasing == The original FPGA repository will be hosted for the foreseeable future at its original local location: https://github.com/EttusResearch/fpga/ It can be used for bisecting, reference, and a more detailed history. The final commit from said repository to be merged here is 05003794e2da61cabf64dd278c45685a7abad7ec. This commit is tagged as v4.0.0.0-pre-uhd-merge. If you have changes in the FPGA repository that you want to rebase onto the UHD repository, simply run the following commands: - Create a directory to store patches (this should be an empty directory): mkdir ~/patches - Now make sure that your FPGA codebase is based on the same state as the code that was merged: cd src/fpga # Or wherever your FPGA code is stored git rebase v4.0.0.0-pre-uhd-merge Note: The rebase command may look slightly different depending on what exactly you're trying to rebase. - Create a patch set for your changes versus v4.0.0.0-pre-uhd-merge: git format-patch v4.0.0.0-pre-uhd-merge -o ~/patches Note: Make sure that only patches are stored in your output directory. It should otherwise be empty. Make sure that you picked the correct range of commits, and only commits you wanted to rebase were exported as patch files. - Go to the UHD repository and apply the patches: cd src/uhd # Or wherever your UHD repository is stored git am --directory fpga ~/patches/* rm -rf ~/patches # This is for cleanup == Contributors == The following people have contributed mainly to these files (this list is not complete): Co-authored-by: Alex Williams Co-authored-by: Andrej Rode Co-authored-by: Ashish Chaudhari Co-authored-by: Ben Hilburn Co-authored-by: Ciro Nishiguchi Co-authored-by: Daniel Jepson Co-authored-by: Derek Kozel Co-authored-by: EJ Kreinar Co-authored-by: Humberto Jimenez Co-authored-by: Ian Buckley Co-authored-by: Jörg Hofrichter Co-authored-by: Jon Kiser Co-authored-by: Josh Blum Co-authored-by: Jonathon Pendlum Co-authored-by: Martin Braun Co-authored-by: Matt Ettus Co-authored-by: Michael West Co-authored-by: Moritz Fischer Co-authored-by: Nick Foster Co-authored-by: Nicolas Cuervo Co-authored-by: Paul Butler Co-authored-by: Paul David Co-authored-by: Ryan Marlow Co-authored-by: Sugandha Gupta Co-authored-by: Sylvain Munaut Co-authored-by: Trung Tran Co-authored-by: Vidush Vishwanath Co-authored-by: Wade Fife --- fpga/usrp3/top/b2xxmini/.gitignore | 7 + fpga/usrp3/top/b2xxmini/Makefile | 91 + fpga/usrp3/top/b2xxmini/Makefile.b205.inc | 129 + fpga/usrp3/top/b2xxmini/b205.ucf | 157 + fpga/usrp3/top/b2xxmini/b205.v | 298 + fpga/usrp3/top/b2xxmini/b205_core.v | 250 + fpga/usrp3/top/b2xxmini/b205_io.v | 459 + fpga/usrp3/top/b2xxmini/b205_ref_pll.v | 278 + fpga/usrp3/top/b2xxmini/coregen/.gitignore | 5 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy | 29 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf | 59 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v | 158 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo | 82 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco | 269 + fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc | 67 + .../coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt | 184 + .../b205_clk_gen/doc/clk_wiz_v3_6_readme.txt | 184 + .../b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html | 195 + .../coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf | Bin 0 -> 42657 bytes .../example_design/b205_clk_gen_exdes.ucf | 60 + .../example_design/b205_clk_gen_exdes.v | 178 + .../example_design/b205_clk_gen_exdes.xdc | 69 + .../coregen/b205_clk_gen/implement/implement.bat | 90 + .../coregen/b205_clk_gen/implement/implement.sh | 91 + .../b205_clk_gen/implement/planAhead_ise.bat | 58 + .../b205_clk_gen/implement/planAhead_ise.sh | 59 + .../b205_clk_gen/implement/planAhead_ise.tcl | 78 + .../b205_clk_gen/implement/planAhead_rdn.bat | 58 + .../b205_clk_gen/implement/planAhead_rdn.sh | 57 + .../b205_clk_gen/implement/planAhead_rdn.tcl | 69 + .../coregen/b205_clk_gen/implement/xst.prj | 2 + .../coregen/b205_clk_gen/implement/xst.scr | 9 + .../b205_clk_gen/simulation/b205_clk_gen_tb.v | 143 + .../b205_clk_gen/simulation/functional/simcmds.tcl | 8 + .../simulation/functional/simulate_isim.bat | 59 + .../simulation/functional/simulate_isim.sh | 61 + .../simulation/functional/simulate_mti.bat | 61 + .../simulation/functional/simulate_mti.do | 65 + .../simulation/functional/simulate_mti.sh | 61 + .../simulation/functional/simulate_ncsim.sh | 62 + .../simulation/functional/simulate_vcs.sh | 72 + .../simulation/functional/ucli_commands.key | 5 + .../simulation/functional/vcs_session.tcl | 18 + .../b205_clk_gen/simulation/functional/wave.do | 60 + .../b205_clk_gen/simulation/functional/wave.sv | 119 + .../simulation/timing/b205_clk_gen_tb.v | 157 + .../b205_clk_gen/simulation/timing/sdf_cmd_file | 2 + .../b205_clk_gen/simulation/timing/simcmds.tcl | 9 + .../simulation/timing/simulate_isim.sh | 62 + .../simulation/timing/simulate_mti.bat | 59 + .../b205_clk_gen/simulation/timing/simulate_mti.do | 65 + .../b205_clk_gen/simulation/timing/simulate_mti.sh | 61 + .../simulation/timing/simulate_ncsim.sh | 64 + .../b205_clk_gen/simulation/timing/simulate_vcs.sh | 72 + .../simulation/timing/ucli_commands.key | 5 + .../b205_clk_gen/simulation/timing/vcs_session.tcl | 1 + .../coregen/b205_clk_gen/simulation/timing/wave.do | 72 + .../top/b2xxmini/coregen/b205_clk_gen_flist.txt | 54 + .../top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl | 140 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy | 9 + .../chipscope_icon.constraints/chipscope_icon.ucf | 9 + .../chipscope_icon.constraints/chipscope_icon.xdc | 7 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf | 0 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf | 9 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v | 27 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo | 28 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco | 56 + fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc | 7 + .../top/b2xxmini/coregen/chipscope_icon_flist.txt | 15 + .../top/b2xxmini/coregen/chipscope_icon_readme.txt | 45 + .../top/b2xxmini/coregen/chipscope_icon_xmdf.tcl | 88 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy | 17 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc | 144 + .../chipscope_ila.constraints/chipscope_ila.ucf | 15 + .../chipscope_ila.constraints/chipscope_ila.xdc | 6 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf | 15 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf | 15 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v | 31 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo | 30 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco | 141 + fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc | 6 + .../top/b2xxmini/coregen/chipscope_ila_flist.txt | 18 + .../top/b2xxmini/coregen/chipscope_ila_readme.txt | 48 + .../top/b2xxmini/coregen/chipscope_ila_xmdf.tcl | 87 + fpga/usrp3/top/b2xxmini/coregen/coregen.cgp | 9 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy | 49 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf | 0 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v | 491 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo | 79 + fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_4k_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_4k_2clk_exdes.ucf | 56 + .../example_design/fifo_4k_2clk_exdes.vhd | 145 + .../fifo_4k_2clk/fifo_generator_v9_3_readme.txt | 236 + .../coregen/fifo_4k_2clk/implement/implement.bat | 88 + .../coregen/fifo_4k_2clk/implement/implement.sh | 87 + .../fifo_4k_2clk/implement/implement_synplify.bat | 87 + .../fifo_4k_2clk/implement/implement_synplify.sh | 86 + .../fifo_4k_2clk/implement/planAhead_ise.bat | 54 + .../fifo_4k_2clk/implement/planAhead_ise.sh | 55 + .../fifo_4k_2clk/implement/planAhead_ise.tcl | 67 + .../coregen/fifo_4k_2clk/implement/xst.prj | 1 + .../coregen/fifo_4k_2clk/implement/xst.scr | 13 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd | 123 + .../simulation/fifo_4k_2clk_dverif.vhd | 150 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd | 541 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd | 350 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd | 100 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd | 300 + .../fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../fifo_4k_2clk/simulation/timing/simulate_mti.do | 72 + .../fifo_4k_2clk/simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../fifo_4k_2clk/simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../fifo_4k_2clk/simulation/timing/vcs_session.tcl | 76 + .../fifo_4k_2clk/simulation/timing/wave_isim.tcl | 68 + .../fifo_4k_2clk/simulation/timing/wave_mti.do | 88 + .../fifo_4k_2clk/simulation/timing/wave_ncsim.sv | 70 + .../top/b2xxmini/coregen/fifo_4k_2clk_flist.txt | 57 + .../top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl | 251 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy | 49 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf | 0 .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v | 491 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo | 79 + .../usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco | 213 + .../doc/fifo_generator_v9_3_readme.txt | 236 + .../doc/fifo_generator_v9_3_vinfo.html | 247 + .../fifo_short_2clk/doc/pg057-fifo-generator.pdf | Bin 0 -> 75348 bytes .../example_design/fifo_short_2clk_exdes.ucf | 56 + .../example_design/fifo_short_2clk_exdes.vhd | 145 + .../fifo_short_2clk/fifo_generator_v9_3_readme.txt | 236 + .../fifo_short_2clk/implement/implement.bat | 88 + .../coregen/fifo_short_2clk/implement/implement.sh | 87 + .../implement/implement_synplify.bat | 87 + .../implement/implement_synplify.sh | 86 + .../fifo_short_2clk/implement/planAhead_ise.bat | 54 + .../fifo_short_2clk/implement/planAhead_ise.sh | 55 + .../fifo_short_2clk/implement/planAhead_ise.tcl | 67 + .../coregen/fifo_short_2clk/implement/xst.prj | 1 + .../coregen/fifo_short_2clk/implement/xst.scr | 13 + .../simulation/fifo_short_2clk_dgen.vhd | 123 + .../simulation/fifo_short_2clk_dverif.vhd | 150 + .../simulation/fifo_short_2clk_pctrl.vhd | 541 + .../simulation/fifo_short_2clk_pkg.vhd | 350 + .../simulation/fifo_short_2clk_rng.vhd | 100 + .../simulation/fifo_short_2clk_synth.vhd | 300 + .../simulation/fifo_short_2clk_tb.vhd | 208 + .../simulation/functional/simulate_isim.bat | 63 + .../simulation/functional/simulate_isim.sh | 65 + .../simulation/functional/simulate_mti.bat | 47 + .../simulation/functional/simulate_mti.do | 74 + .../simulation/functional/simulate_mti.sh | 49 + .../simulation/functional/simulate_ncsim.sh | 69 + .../simulation/functional/simulate_vcs.sh | 69 + .../simulation/functional/ucli_commands.key | 4 + .../simulation/functional/vcs_session.tcl | 77 + .../simulation/functional/wave_isim.tcl | 68 + .../simulation/functional/wave_mti.do | 88 + .../simulation/functional/wave_ncsim.sv | 70 + .../simulation/timing/simulate_isim.bat | 61 + .../simulation/timing/simulate_isim.sh | 63 + .../simulation/timing/simulate_mti.bat | 47 + .../simulation/timing/simulate_mti.do | 72 + .../simulation/timing/simulate_mti.sh | 49 + .../simulation/timing/simulate_ncsim.sh | 73 + .../simulation/timing/simulate_vcs.sh | 67 + .../simulation/timing/ucli_commands.key | 4 + .../simulation/timing/vcs_session.tcl | 76 + .../simulation/timing/wave_isim.tcl | 68 + .../fifo_short_2clk/simulation/timing/wave_mti.do | 88 + .../simulation/timing/wave_ncsim.sv | 70 + .../top/b2xxmini/coregen/fifo_short_2clk_flist.txt | 57 + .../top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl | 251 + fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore | 6 + fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs | 15 + fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp | 9 + fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe | 36 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe | 32 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe | 36 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe | 40 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe | 44 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe | 51 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe | 52 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe | 56 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe | 60 + fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe | 67 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy | 61 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif | 47 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v | 45924 +++++++++++++++++++ fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo | 79 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco | 102 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif | 5 + .../b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif | 5 + .../hbdec1COEFF_auto_HALFBAND_CENTRE0.mif | 3 + .../top/b2xxmini/coregen_dsp/hbdec1_flist.txt | 22 + .../top/b2xxmini/coregen_dsp/hbdec1_readme.txt | 76 + .../hbdec1_reload_addrfilt_decode_rom.mif | 17 + .../b2xxmini/coregen_dsp/hbdec1_reload_order.txt | 25 + .../usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl | 111 + .../b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif | 17 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy | 61 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif | 47 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc | 3 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v | 27912 +++++++++++ fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo | 79 + fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco | 102 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif | 9 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif | 9 + .../b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif | 9 + .../hbdec2COEFF_auto_HALFBAND_CENTRE0.mif | 3 + .../top/b2xxmini/coregen_dsp/hbdec2_flist.txt | 19 + .../top/b2xxmini/coregen_dsp/hbdec2_readme.txt | 73 + .../hbdec2_reload_addrfilt_decode_rom.mif | 17 + .../b2xxmini/coregen_dsp/hbdec2_reload_order.txt | 25 + .../usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl | 99 + .../b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif | 17 + fpga/usrp3/top/b2xxmini/timing.ucf | 38 + 244 files changed, 93535 insertions(+) create mode 100644 fpga/usrp3/top/b2xxmini/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/Makefile create mode 100644 fpga/usrp3/top/b2xxmini/Makefile.b205.inc create mode 100644 fpga/usrp3/top/b2xxmini/b205.ucf create mode 100644 fpga/usrp3/top/b2xxmini/b205.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_core.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_io.v create mode 100644 fpga/usrp3/top/b2xxmini/b205_ref_pll.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do create mode 100644 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/coregen.cgp create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do create mode 100755 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl create mode 100644 fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif create mode 100644 fpga/usrp3/top/b2xxmini/timing.ucf (limited to 'fpga/usrp3/top/b2xxmini') diff --git a/fpga/usrp3/top/b2xxmini/.gitignore b/fpga/usrp3/top/b2xxmini/.gitignore new file mode 100644 index 000000000..f61be8ab7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/.gitignore @@ -0,0 +1,7 @@ +build* +catcap_tb +catgen_tb +fuse* +isim* +_impact* +coregen.log diff --git a/fpga/usrp3/top/b2xxmini/Makefile b/fpga/usrp3/top/b2xxmini/Makefile new file mode 100644 index 000000000..9031b17db --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/Makefile @@ -0,0 +1,91 @@ +# +# Copyright 2015 Ettus Research LLC +# + +# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target +##------------------- +##USRP B2XXXmini FPGA Help +##------------------- +##Usage: +## make +## +##Output: +## build/usrp__fpga.bit: Configuration bitstream with header +## build/usrp__fpga.bin: Configuration bitstream without header +## build/usrp__fpga.syr: Xilinx system report +## build/usrp__fpga.twr: Xilinx timing report + +print_report = \ + echo "========================================================================"; \ + cat $(1)/b205.syr | grep "Device utilization summary:" -A 30; \ + echo "========================================================================"; \ + echo "Timing Summary:\n"; \ + cat $(1)/b205.twr | grep constraint | grep met | grep -v "*"; \ + echo "========================================================================"; + +# pre_build($1=Device) +ifeq ($(EXPORT_ONLY),1) + pre_build = @test -s build-$(1)/b205.bit || { echo "EXPORT_ONLY requires the project in build-$(1) to be fully built."; false; } +else + pre_build = @echo "ISE Version: $(shell xtclsh -h | head -n1)" +endif + +# ise_build($1=Device, $2=Definitions) +ifeq ($(PROJECT_ONLY),1) + ise_build = make -f Makefile.b205.inc proj NAME=$@ DEVICE=$1 +else ifeq ($(EXPORT_ONLY),1) + ise_build = @echo "Skipping ISE build and exporting pre-built files."; +else + ise_build = make -f Makefile.b205.inc bin NAME=$@ DEVICE=$1 +endif + +# post_build($1=Device) +ifeq ($(PROJECT_ONLY),1) + post_build = \ + @echo "Generated $(shell pwd)/build-$(1)/b205.xise"; \ + echo "\nProject Generation DONE ... $(1)\n"; +else + post_build = \ + @$(call print_report,build-$(1)) \ + mkdir -p build; \ + echo "Exporting bitstream files..."; \ + cp build-$(1)/b205.bin build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bin; \ + cp build-$(1)/b205.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga.bit; \ + echo "Exporting logs..."; \ + cp build-$(1)/b205.syr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.syr; \ + cp build-$(1)/b205.twr build/usrp_`echo $(1) | tr A-Z a-z`_fpga.twr; \ + echo "\nBuild DONE ... $(1)\n"; +endif + +## +##Supported Targets +##----------------- + +all: B200mini B205mini ##(Default target) + +B200mini: ##Build USRP B200mini design. + $(call pre_build,B200mini) + $(call ise_build,XC6SLX75) + $(call post_build,B200mini) + +B205mini: ##Build USRP B205mini design. + $(call pre_build,B205mini) + $(call ise_build,XC6SLX150) + $(call post_build,B205mini) + +clean: ##Clean up all build output. + rm -rf build-* + rm -rf build + +help: ## Show this help message. + @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//' + +## +##Supported Options +##----------------- +##PROJECT_ONLY=1 Only create a Xilinx project for the specified target(s). +## Useful for use with the ISE GUI. +##EXPORT_ONLY=1 Export build targets from a GUI build to the build directory. +## Requires the project in build-*_* to be built. + +.PHONY: all clean help B200mini B205mini diff --git a/fpga/usrp3/top/b2xxmini/Makefile.b205.inc b/fpga/usrp3/top/b2xxmini/Makefile.b205.inc new file mode 100644 index 000000000..a1da7f22f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/Makefile.b205.inc @@ -0,0 +1,129 @@ +# +# Copyright 2012-2013 Ettus Research LLC +# + +################################################## +# Project Setup +################################################## +TOP_MODULE := b205 +BUILD_DIR := build-$(NAME)/ +export PROJ_FILE := $(BUILD_DIR)$(TOP_MODULE).ise + +# set me in a custom makefile +CUSTOM_SRCS = +CUSTOM_DEFS = + +include ../Makefile.common +include ../../lib/gpif2/Makefile.srcs +include ../../lib/fifo/Makefile.srcs +include ../../lib/fifo_200/Makefile.srcs +include ../../lib/dsp/Makefile.srcs +include ../../lib/control/Makefile.srcs +include ../../lib/control_200/Makefile.srcs +include ../../lib/packet_proc/Makefile.srcs +include ../../lib/packet_proc_200/Makefile.srcs +include ../../lib/timing/Makefile.srcs +include ../../lib/vita_200/Makefile.srcs +include ../../lib/wishbone/Makefile.srcs +include ../../lib/axi/Makefile.srcs +include ../../lib/radio_200/Makefile.srcs +include coregen_dsp/Makefile.srcs + + +B205_COREGEN_SRCS = \ +coregen/b205_clk_gen.v \ +coregen/fifo_4k_2clk.xco \ +coregen/fifo_short_2clk.xco \ +coregen/chipscope_icon.xco \ +coregen/chipscope_ila.xco + +################################################## +# Project Properties +################################################## +export PROJECT_PROPERTIES := \ +family "Spartan6" \ +device $(DEVICE) \ +package csg484 \ +speed -3 \ +top_level_module_type "HDL" \ +synthesis_tool "XST (VHDL/Verilog)" \ +simulator "ISE Simulator (VHDL/Verilog)" \ +"Preferred Language" "Verilog" \ +"Enable Message Filtering" FALSE \ +"Display Incremental Messages" FALSE + +################################################## +# Sources +################################################## +TOP_SRCS = \ +b205.v \ +b205_ref_pll.v \ +b205_core.v \ +b205_io.v \ +b205.ucf \ +timing.ucf \ +../b200/S6CLK2PIN.v \ +$(B205_COREGEN_SRCS) + +SOURCES = $(abspath $(TOP_SRCS)) $(FIFO_SRCS) $(FIFO_200_SRCS) \ +$(CONTROL_LIB_SRCS) $(CONTROL_LIB_200_SRCS) $(SDR_LIB_SRCS) \ +$(TIMING_SRCS) $(OPENCORES_SRCS) \ +$(VRT_SRCS) \ +$(GPIF2_SRCS) $(PACKET_PROC_SRCS) $(PACKET_PROC_200_SRCS) \ +$(WISHBONE_SRCS) \ +$(TIMING_SRCS) \ +$(DSP_SRCS) \ +$(VITA_200_SRCS) \ +$(AXI_SRCS) \ +$(RADIO_200_SRCS) \ +$(CAT_CAP_GEN_SRCS) \ +$(COREGEN_DSP_SRCS) + +################################################## +# Process Properties +################################################## +SYNTHESIZE_PROPERTIES = \ +"Pack I/O Registers into IOBs" Yes \ +"Optimization Effort" High \ +"Optimize Instantiated Primitives" TRUE \ +"Register Balancing" Yes \ +"Use Clock Enable" Auto \ +"Use Synchronous Reset" Auto \ +"Use Synchronous Set" Auto \ +"Verilog Macros" "SPARTAN6=1 $(EXTRA_DEFS) $(CUSTOM_DEFS)" \ +"Other XST Command Line Options" "-loop_iteration_limit 16385" + +#"Number of Clock Buffers" 8 \ + +TRANSLATE_PROPERTIES = \ +"Macro Search Path" "$(shell pwd)/../../coregen/" + +MAP_PROPERTIES = \ +"Generate Detailed MAP Report" TRUE \ +"Allow Logic Optimization Across Hierarchy" TRUE \ +"Map to Input Functions" 4 \ +"Optimization Strategy (Cover Mode)" Speed \ +"Pack I/O Registers/Latches into IOBs" "For Inputs and Outputs" \ +"Perform Timing-Driven Packing and Placement" TRUE \ +"Map Effort Level" High \ +"Extra Effort" Normal \ +"Combinatorial Logic Optimization" TRUE \ +"Register Duplication" TRUE + +PLACE_ROUTE_PROPERTIES = \ +"Place & Route Effort Level (Overall)" High + +STATIC_TIMING_PROPERTIES = \ +"Number of Paths in Error/Verbose Report" 10 \ +"Report Type" "Error Report" + +GEN_PROG_FILE_PROPERTIES = \ +"Create Binary Configuration File" TRUE \ +"Done (Output Events)" 5 \ +"Enable Bitstream Compression" TRUE \ +"Unused IOB Pins" "Pull Up" + +#"Configuration Rate" 6 \ +#"Enable Outputs (Output Events)" 6 \ + +SIM_MODEL_PROPERTIES = "" diff --git a/fpga/usrp3/top/b2xxmini/b205.ucf b/fpga/usrp3/top/b2xxmini/b205.ucf new file mode 100644 index 000000000..9d1b89fd8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205.ucf @@ -0,0 +1,157 @@ + +#### FX3 Lines ############################################################## +# GPIF Data lines +NET "FX3_DQ<0>" LOC = "T22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<1>" LOC = "T21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<2>" LOC = "M19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<3>" LOC = "R22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<4>" LOC = "R20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<5>" LOC = "R19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<6>" LOC = "P20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<7>" LOC = "N19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<8>" LOC = "P22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<9>" LOC = "N20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<10>" LOC = "P21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<11>" LOC = "M21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<12>" LOC = "N22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<13>" LOC = "L22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<14>" LOC = "M22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<15>" LOC = "P19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<16>" LOC = "D21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<17>" LOC = "F22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<18>" LOC = "C22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<19>" LOC = "D22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<20>" LOC = "E22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<21>" LOC = "B22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<22>" LOC = "B21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<23>" LOC = "F20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<24>" LOC = "G19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<25>" LOC = "D20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<26>" LOC = "E20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<27>" LOC = "D19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<28>" LOC = "J19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<29>" LOC = "C20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<30>" LOC = "F19" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data +NET "FX3_DQ<31>" LOC = "C18" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # FX3 Parallel Data + +NET "FX3_PCLK" LOC = "H20" | IOSTANDARD = LVCMOS18 | DRIVE = 6 | SLEW = SLOW; # FX3 Data Synchronization Clock, called IFCLK in B200 + +# GPIF Control Lines +NET "FX3_CTL0" LOC = "M20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLCSn +NET "FX3_CTL1" LOC = "J22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLWRn +NET "FX3_CTL2" LOC = "J21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLOEn +NET "FX3_CTL3" LOC = "K22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # SLRDn +NET "FX3_CTL4" LOC = "M18" | IOSTANDARD = LVCMOS18 ; # FLAG_A +NET "FX3_CTL5" LOC = "L19" | IOSTANDARD = LVCMOS18 ; # FLAG_B +NET "FX3_CTL6" LOC = "H22" | IOSTANDARD = LVCMOS18 ; # +NET "FX3_CTL7" LOC = "L20" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # PKTENDn +NET "FX3_CTL8" LOC = "K19" | IOSTANDARD = LVCMOS18 ; # +NET "FX3_CTL9" LOC = "K20" | IOSTANDARD = LVCMOS18 ; # +##FX3_CTL10 is not for general signaling +NET "FX3_CTL11" LOC = "H21" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # A1 +NET "FX3_CTL12" LOC = "G22" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # A0 + + +#### AD9364 ################################################################# +NET "CAT_RESETn" LOC = "T3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Global Reset +NET "CAT_EN_AGC" LOC = "AA4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver AGC Enable +NET "CAT_EN" LOC = "V3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Globe Enable +NET "CAT_TXnRX" LOC = "Y4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Globe TX and RX control + +# SPI +NET "CAT_SPI_EN" LOC = "T4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Latch +NET "CAT_SPI_CLK" LOC = "Y3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Serial Clock +NET "CAT_SPI_DI" LOC = "AA2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Controller SPI Data In +NET "CAT_SPI_DO" LOC = "U4" | IOSTANDARD = LVCMOS18 | PULLUP; # Transceiver Controller SPI Data Out + +# Control Lines +NET "CAT_CTL_OUT<0>" LOC = "D2" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<1>" LOC = "AB4" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<2>" LOC = "AB3" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<3>" LOC = "K3" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<4>" LOC = "AB2" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<5>" LOC = "T7" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<6>" LOC = "U8" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_OUT<7>" LOC = "W4" | IOSTANDARD = LVCMOS18 ; # +NET "CAT_CTL_IN<0>" LOC = "H5" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<1>" LOC = "H3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<2>" LOC = "J4" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # +NET "CAT_CTL_IN<3>" LOC = "D1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # + +# Rx Bus +NET "CAT_P0_D<0>" LOC = "H1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<1>" LOC = "H2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<2>" LOC = "G1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<3>" LOC = "G3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<4>" LOC = "F1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<5>" LOC = "F2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<6>" LOC = "E1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<7>" LOC = "E3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LT. +NET "CAT_P0_D<8>" LOC = "L1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<9>" LOC = "L3" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<10>" LOC = "M1" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P0_D<11>" LOC = "M2" | IOSTANDARD = LVCMOS18; # Transceiver Parallel Data. Bank3 LB. + +# Tx Bus +NET "CAT_P1_D<0>" LOC = "T1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<1>" LOC = "T2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<2>" LOC = "U1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<3>" LOC = "U3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<4>" LOC = "V1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<5>" LOC = "V2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<6>" LOC = "W1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<7>" LOC = "W3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<8>" LOC = "Y1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<9>" LOC = "Y2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<10>" LOC = "R1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. +NET "CAT_P1_D<11>" LOC = "R3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Transceiver Parallel Data. Bank3 LB. + +# Frame syncs +NET "CAT_TX_FR_N" LOC = "K1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Bank3 LB. +NET "CAT_TX_FR_P" LOC = "K2" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Bank3 LB. +#NET "CAT_RX_FR_N" LOC = "P1" | IOSTANDARD = LVCMOS18; # Bank3 LB. +NET "CAT_RX_FR_P" LOC = "P2" | IOSTANDARD = LVCMOS18; # Bank3 LB. + +# Clocks ('N' clock lines are currently unused) +#NET "CAT_DCLK_N" LOC = "P3" | IOSTANDARD = LVCMOS18; # Data Clock +NET "CAT_DCLK_P" LOC = "N4" | IOSTANDARD = LVCMOS18; # Data Clock +NET "CAT_FBCLK_P" LOC = "J3" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Clock to AD9364. Bank3 LB. +NET "CAT_FBCLK_N" LOC = "J1" | IOSTANDARD = LVCMOS18 | DRIVE = 2 | SLEW = SLOW; # Clock to AD9364. Bank3 LB. + +#### Peripherals ############################################################ +# Radio Switchery +NET "cFE_SEL_TRX_TX" LOC = "A5" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # TRX Switch, high to enable TX path (Always on for Duplex) (high for now) +NET "cFE_SEL_TRX_RX" LOC = "A6" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # TRX Switch, high to enable RX path (Always off for Duplex) (low for now) +NET "cFE_SEL_RX_TRX" LOC = "B3" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # high to enable RX path to TRX switch (Always off for Duplex) (low for now) +NET "cFE_SEL_RX_RX2" LOC = "C5" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # high to enable RX path to RX2 port (Always on for Duplex) (high for now) +NET "cTXDRV_PWEN" LOC = "A4" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW; # high to enable power to TX power amplifier (high for now) + +# LEDs R: 5mA / G: 1.25mA / B: 2.5mA (the mcd is equalized with this number), set by resistor already, with LVTTL logic (3.3V) +NET "cLED_TRX_G" LOC = "A7" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED green +NET "cLED_TRX_B" LOC = "B6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED blue +NET "cLED_TRX_R" LOC = "C6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on TRX port LED red +NET "cLED_RX2_G" LOC = "A9" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED green +NET "cLED_RX2_B" LOC = "B8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED blue +NET "cLED_RX2_R" LOC = "A8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on RX2 port LED red +NET "cLED_S0" LOC = "B10" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on S0 port LED red +NET "cLED_S1" LOC = "A10" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; # low to turn on S1 port LED red + + +# Clocking +NET "CLK_40MHz_FPGA" LOC = "AA10" | IOSTANDARD = LVCMOS18; # 40MHz VCTCXO clock feed back +NET "PPS_IN" LOC = "B12" | IOSTANDARD = LVCMOS33; # Pulse per second input (external) +NET "CLKIN_10MHz" LOC = "E6" | IOSTANDARD = LVCMOS18; # 10MHz from external source +NET "CLKIN_10MHz_REQ" LOC = "E5" | IOSTANDARD = LVCMOS18; # select 10MHz from external source +NET "CLK_40M_DAC_nSYNC" LOC = "C17" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial frame/data latch (high for now) +NET "CLK_40M_DAC_SCLK" LOC = "D17" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial data clock (low for now) +NET "CLK_40M_DAC_DIN" LOC = "C16" | IOSTANDARD = LVCMOS33 | DRIVE = 2 | SLEW = SLOW; # 40MHz VCTCXO triming DAC serial data (low for now) + +## GPIO bus +NET "fp_gpio<0>" LOC = "A18" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<1>" LOC = "A17" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<2>" LOC = "B16" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<3>" LOC = "B18" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<4>" LOC = "C15" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<5>" LOC = "A15" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<6>" LOC = "A16" | IOSTANDARD = LVCMOS33 | PULLUP; +NET "fp_gpio<7>" LOC = "A13" | IOSTANDARD = LVCMOS33 | PULLUP; diff --git a/fpga/usrp3/top/b2xxmini/b205.v b/fpga/usrp3/top/b2xxmini/b205.v new file mode 100644 index 000000000..3b95521c4 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205.v @@ -0,0 +1,298 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +/*********************************************************** + * B205 Module Declaration + **********************************************************/ +module b205 ( + // AD9364 - SPI Interface: + output CAT_SPI_EN, // Enable + input CAT_SPI_DO, // MISO + output CAT_SPI_DI, // MOSI + output CAT_SPI_CLK, // SPI Clk + + // AD9364 - Control: + output CAT_EN, + output CAT_EN_AGC, + output CAT_RESETn, + output CAT_TXnRX, + output [3:0] CAT_CTL_IN, // These should be outputs + input [7:0] CAT_CTL_OUT, // MUST BE INPUT + + // AD9364 - Data: + input CAT_DCLK_P, // Clock from AD9364 (RX) + output CAT_FBCLK_P, // Clock to AD9364 (TX) + output CAT_FBCLK_N, + input [11:0] CAT_P0_D, // RX data is on Port 0 + output [11:0] CAT_P1_D, // TX data is on Port 1 + input CAT_RX_FR_P, + output CAT_TX_FR_P, + output CAT_TX_FR_N, + + // AD9364 - Always on 40MHz clock: + input CLK_40MHz_FPGA, + + // GPIF, FX3 Slave FIFO + output FX3_PCLK, // pclk + output FX3_CTL0, // n_slcs + output FX3_CTL1, // n_slwr + output FX3_CTL2, // n_sloe + output FX3_CTL3, // n_slrd + output FX3_CTL7, // n_pktend + input FX3_CTL4, // slfifo_flags[0] + input FX3_CTL5, // slfifo_flags[1] + input FX3_CTL6, // Serial settings bus from FX3. SDA + input FX3_CTL8, // Serial settings bus from FX3. SCL + output FX3_CTL11, // slfifo_addr[1] + output FX3_CTL12, // slfifo_addr[0] + inout [31:0] FX3_DQ, + input FX3_CTL9, // global_reset + + // LEDs + output cLED_TRX_G, + output cLED_TRX_B, + output cLED_TRX_R, + output cLED_RX2_G, + output cLED_RX2_B, + output cLED_RX2_R, + output cLED_S0, + output cLED_S1, + + // GPIO + inout [7:0] fp_gpio, + + // PPS or 10 MHz (need to choose from SW) + input PPS_IN, + input CLKIN_10MHz, + output CLKIN_10MHz_REQ, + + // Clock disciplining / AD5662 controls + output CLK_40M_DAC_nSYNC, + output CLK_40M_DAC_SCLK, + output CLK_40M_DAC_DIN, + + // RF Hardware Control + output cFE_SEL_TRX_TX, // Select TX/RX port for Tx + output cFE_SEL_TRX_RX, // Select TX/RX port for Rx + output cFE_SEL_RX_TRX, // Select TX/RX port for Rx + output cFE_SEL_RX_RX2, // Select RX2 port for Rx + output cTXDRV_PWEN // Tx PA enable + + ); + + wire reset_global = FX3_CTL9; + + /////////////////////////////////////////////////////////////////////// + // generate clocks from always on codec main clk + /////////////////////////////////////////////////////////////////////// + wire bus_clk, radio_clk; + wire locked; + wire int_40mhz; + wire ref_pll_clk; + b205_clk_gen gen_clks + ( + .CLK_IN1_40(CLK_40MHz_FPGA), // No differential input! + .CLK_OUT1_40_int(int_40mhz), .CLK_OUT2_100_bus(bus_clk), .CLK_OUT3_200_ref_pll(ref_pll_clk), + .RESET(reset_global), .LOCKED(locked) + ); + + //hold-off logic for clocks ready + reg [15:0] clocks_ready_count; + reg clocks_ready; + always @(posedge bus_clk or posedge reset_global or negedge locked) begin + if (reset_global | !locked) begin + clocks_ready_count <= 16'b0; + clocks_ready <= 1'b0; + end + else if (!clocks_ready) begin + clocks_ready_count <= clocks_ready_count + 1'b1; + clocks_ready <= (clocks_ready_count == 16'hffff); + end + end + + /////////////////////////////////////////////////////////////////////// + // drive output clocks + /////////////////////////////////////////////////////////////////////// + ODDR2 #( + .DDR_ALIGNMENT("NONE"), // to "NONE", "C0" or "C1" + .INIT(1'b0), // output to 1'b0 or 1'b1 + .SRTYPE("ASYNC") // set/reset "SYNC" or "ASYNC" + ) + ODDR2_S6CLK2PIN + ( + .Q(FX3_PCLK), // 1-bit DDR output data + .C0(bus_clk), // 1-bit clock input + .C1(~bus_clk), // 1-bit clock input + .CE(1'b1), // 1-bit clock enable input + .D0(1'b1), // 1-bit data input (associated with C0) + .D1(1'b0), // 1-bit data input (associated with C1) + .R(1'b0), // 1-bit reset input + .S(1'b0) // 1-bit set input + ); + + /////////////////////////////////////////////////////////////////////// + // Create sync reset signals + /////////////////////////////////////////////////////////////////////// + wire bus_rst, ref_pll_rst, radio_rst; + reset_sync bus_sync(.clk(bus_clk), .reset_in(!clocks_ready), .reset_out(bus_rst)); + reset_sync ref_pll_sync(.clk(ref_pll_clk), .reset_in(!clocks_ready), .reset_out(ref_pll_rst)); + reset_sync radio_sync(.clk(radio_clk), .reset_in(!clocks_ready), .reset_out(radio_rst)); + + /////////////////////////////////////////////////////////////////////// + // reference clock PLL + /////////////////////////////////////////////////////////////////////// + wire ref_sel; + wire ext_ref_is_pps; + wire ext_ref_locked; + wire ext_ref = ext_ref_is_pps ? PPS_IN : ref_sel ? CLKIN_10MHz : 1'b0; + b205_ref_pll ref_pll + ( + .reset(ref_pll_rst), + .clk(ref_pll_clk), + .refclk(int_40mhz), + .ref(ext_ref), + .locked(ext_ref_locked), + .sclk(CLK_40M_DAC_SCLK), + .mosi(CLK_40M_DAC_DIN), + .sync_n(CLK_40M_DAC_nSYNC) + ); + assign CLKIN_10MHz_REQ = ref_sel; + + /////////////////////////////////////////////////////////////////////// + // AD9364 I/O + /////////////////////////////////////////////////////////////////////// + wire [31:0] rx_data; + wire [31:0] tx_data; + + b205_io b205_io_i0 + ( + .reset(reset_global), + // Baseband sample interface + .radio_clk(radio_clk), + .rx_i0(rx_data[31:20]), + .rx_q0(rx_data[15:4]), + .tx_i0(tx_data[31:20]), + .tx_q0(tx_data[15:4]), + // Catalina interface + .rx_clk(CAT_DCLK_P), + .rx_frame(CAT_RX_FR_P), + .rx_data(CAT_P0_D), + + .tx_clk(CAT_FBCLK_P), + .tx_frame(CAT_TX_FR_P), + .tx_data(CAT_P1_D) + ); + + assign {rx_data[19:16],rx_data[3:0]} = 8'h0; + assign CAT_FBCLK_N = 1'b0; + assign CAT_TX_FR_N = 1'b0; + + /////////////////////////////////////////////////////////////////////// + // SPI connections + /////////////////////////////////////////////////////////////////////// + wire mosi, miso, sclk; + wire [7:0] sen; + + // AD9364 Slave (it's the only slave for B205) + assign CAT_SPI_EN = sen[0]; + assign CAT_SPI_DI = ~sen[0] & mosi; + assign CAT_SPI_CLK = ~sen[0] & sclk; + assign miso = CAT_SPI_DO; + + /////////////////////////////////////////////////////////////////////// + // bus signals + /////////////////////////////////////////////////////////////////////// + wire [63:0] ctrl_tdata, resp_tdata, rx_tdata, tx_tdata; + wire ctrl_tlast, resp_tlast, rx_tlast, tx_tlast; + wire ctrl_tvalid, resp_tvalid, rx_tvalid, tx_tvalid; + wire ctrl_tready, resp_tready, rx_tready, tx_tready; + + /////////////////////////////////////////////////////////////////////// + // frontend assignments + /////////////////////////////////////////////////////////////////////// + wire [7:0] fe_gpio_out; + reg [7:0] fe_gpio_reg; + + //Register in IOB + always @(posedge radio_clk) + fe_gpio_reg <= fe_gpio_out; + + assign {cTXDRV_PWEN, cFE_SEL_RX_RX2, cFE_SEL_TRX_TX, cFE_SEL_RX_TRX, cFE_SEL_TRX_RX} = fe_gpio_reg[7:3]; + assign cLED_TRX_R = ~fe_gpio_reg[0]; + assign cLED_TRX_G = ~fe_gpio_reg[1]; + assign cLED_TRX_B = 1'b1; + assign cLED_RX2_R = 1'b1; + assign cLED_RX2_G = ~fe_gpio_reg[2]; + assign cLED_RX2_B = 1'b1; + assign cLED_S0 = ~ext_ref_locked; + assign cLED_S1 = ~(ext_ref); + + wire [31:0] misc_outs; + reg [31:0] misc_outs_r; + always @(posedge bus_clk) misc_outs_r <= misc_outs; //register misc ios to ease routing to flop + assign ref_sel = misc_outs_r[0]; + + wire codec_arst = misc_outs_r[2]; + + assign CAT_CTL_IN = 4'b1; + assign CAT_EN_AGC = 1'b1; + assign CAT_TXnRX = 1'b1; + assign CAT_EN = 1'b1; + assign CAT_RESETn = ~codec_arst; // Codec Reset // RESETB // Operates active-low + + /////////////////////////////////////////////////////////////////////// + // b205 core + /////////////////////////////////////////////////////////////////////// + wire [7:0] fp_gpio_in, fp_gpio_out, fp_gpio_ddr; + b205_core #(.EXTRA_BUFF_SIZE(12)) b205_core + ( + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx0(rx_data), + .tx0(tx_data), + .fe_gpio_out(fe_gpio_out), + .fp_gpio_in(fp_gpio_in), .fp_gpio_out(fp_gpio_out), .fp_gpio_ddr(fp_gpio_ddr), + .ext_ref_is_pps(ext_ref_is_pps), + .pps_ext(PPS_IN), + + .sclk(sclk), .sen(sen), .mosi(mosi), .miso(miso), + .rb_misc({31'b0,ext_ref_locked}), .misc_outs(misc_outs), + + .lock_signals(CAT_CTL_OUT[7:6]), + + .debug() + ); + + gpio_atr_io #(.WIDTH(8)) gpio_atr_io_inst ( + .clk(radio_clk), .gpio_pins(fp_gpio), + .gpio_ddr(fp_gpio_ddr), .gpio_out(fp_gpio_out), .gpio_in(fp_gpio_in) + ); + + /////////////////////////////////////////////////////////////////////// + // GPIF2 + /////////////////////////////////////////////////////////////////////// + gpif2_slave_fifo32 #(.DATA_RX_FIFO_SIZE(13), .DATA_TX_FIFO_SIZE(13)) slave_fifo32 + ( + .gpif_clk(bus_clk), .gpif_rst(bus_rst), .gpif_enb(1'b1), + .gpif_ctl({FX3_CTL8, FX3_CTL6, FX3_CTL5, FX3_CTL4}), .fifoadr({FX3_CTL11, FX3_CTL12}), + .slwr(FX3_CTL1), .sloe(FX3_CTL2), .slcs(FX3_CTL0), .slrd(FX3_CTL3), .pktend(FX3_CTL7), + .gpif_d(FX3_DQ), + + .fifo_clk(bus_clk), .fifo_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(ctrl_tdata), .ctrl_tlast(ctrl_tlast), .ctrl_tvalid(ctrl_tvalid), .ctrl_tready(ctrl_tready), + .resp_tdata(resp_tdata), .resp_tlast(resp_tlast), .resp_tvalid(resp_tvalid), .resp_tready(resp_tready), + + .debug() + ); + +endmodule // B205 diff --git a/fpga/usrp3/top/b2xxmini/b205_core.v b/fpga/usrp3/top/b2xxmini/b205_core.v new file mode 100644 index 000000000..d78ff374c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_core.v @@ -0,0 +1,250 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + + +/*********************************************************** + * B205 Core Guts + **********************************************************/ +module b205_core +#( + parameter R0_CTRL_SID = 8'h10, + parameter U0_CTRL_SID = 8'h30, + parameter L0_CTRL_SID = 8'h40, + parameter R0_DATA_SID = 8'h50, + parameter R1_DATA_SID = 8'h60, + parameter DEMUX_SID_MASK = 8'hf0, + parameter EXTRA_BUFF_SIZE = 0, + parameter RADIO_FIFO_SIZE = 11, + parameter SAMPLE_FIFO_SIZE = 11 + +) +( + //////////////////////////////////////////////////////////////////// + // bus interfaces + //////////////////////////////////////////////////////////////////// + input bus_clk, + input bus_rst, + + input [63:0] tx_tdata, input tx_tlast, input tx_tvalid, output tx_tready, + output [63:0] rx_tdata, output rx_tlast, output rx_tvalid, input rx_tready, + input [63:0] ctrl_tdata, input ctrl_tlast, input ctrl_tvalid, output ctrl_tready, + output [63:0] resp_tdata, output resp_tlast, output resp_tvalid, input resp_tready, + + //////////////////////////////////////////////////////////////////// + // radio interfaces + //////////////////////////////////////////////////////////////////// + input radio_clk, + input radio_rst, + + input [31:0] rx0, + output [31:0] tx0, + output [7:0] fe_gpio_out, + input [7:0] fp_gpio_in, output [7:0] fp_gpio_out, output [7:0] fp_gpio_ddr, + output ext_ref_is_pps, + input pps_ext, + + //////////////////////////////////////////////////////////////////// + // core interfaces + //////////////////////////////////////////////////////////////////// + output [7:0] sen, output sclk, output mosi, input miso, + input [31:0] rb_misc, + output [31:0] misc_outs, + + //////////////////////////////////////////////////////////////////// + // fe lock signals + //////////////////////////////////////////////////////////////////// + input [1:0] lock_signals, + + //////////////////////////////////////////////////////////////////// + // debug signals + //////////////////////////////////////////////////////////////////// + output [63:0] debug +); + localparam SR_CORE_SPI = 8'd8; + localparam SR_CORE_MISC = 8'd16; + localparam SR_CORE_COMPAT = 8'd24; + localparam SR_CORE_READBACK = 8'd32; + localparam SR_CORE_GPSDO_ST = 8'd40; + localparam SR_CORE_SYNC = 8'd48; + localparam COMPAT_MAJOR = 16'h0007; + localparam COMPAT_MINOR = 16'h0000; + + reg [1:0] lock_state; + reg [1:0] lock_state_r; + + always @(posedge bus_clk) + if (bus_rst) + {lock_state_r, lock_state} <= 4'h0; + else + {lock_state_r, lock_state} <= {lock_state, lock_signals}; + + + /******************************************************************* + * PPS Timing stuff + ******************************************************************/ + + // Generate an internal PPS signal + wire int_pps; + pps_generator #(.CLK_FREQ(100000000)) pps_gen + (.clk(bus_clk), .pps(int_pps)); + + // Flop PPS signals into radio clock domain + reg [1:0] ext_pps_del, int_pps_del; + always @(posedge radio_clk) ext_pps_del[1:0] <= {ext_pps_del[0], pps_ext}; + always @(posedge radio_clk) int_pps_del[1:0] <= {int_pps_del[0], int_pps}; + + // PPS mux + wire [1:0] pps_select; + wire pps = (pps_select == 2'b01)? ext_pps_del[1] : + (pps_select == 2'b10)? int_pps_del[1] : + 1'b0; + assign ext_ref_is_pps = (pps_select == 2'b01); + + /******************************************************************* + * Response mux Routing logic + ******************************************************************/ + wire [63:0] r0_resp_tdata; wire r0_resp_tlast, r0_resp_tvalid, r0_resp_tready; + wire [63:0] l0_resp_tdata; wire l0_resp_tlast, l0_resp_tvalid, l0_resp_tready; + + axi_mux4 #(.WIDTH(64), .BUFFER(1)) mux_for_resp + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i0_tdata(r0_resp_tdata), .i0_tlast(r0_resp_tlast), .i0_tvalid(r0_resp_tvalid), .i0_tready(r0_resp_tready), + .i1_tdata(l0_resp_tdata), .i1_tlast(l0_resp_tlast), .i1_tvalid(l0_resp_tvalid), .i1_tready(l0_resp_tready), + .i2_tdata(64'd0), .i2_tlast(1'b0), .i2_tvalid(1'b0), .i2_tready(), + .i3_tdata(64'd0), .i3_tlast(1'b0), .i3_tvalid(1'b0), .i3_tready(), + .o_tdata(resp_tdata), .o_tlast(resp_tlast), .o_tvalid(resp_tvalid), .o_tready(resp_tready) + ); + + /******************************************************************* + * Control demux Routing logic + ******************************************************************/ + wire [63:0] r0_ctrl_tdata; wire r0_ctrl_tlast, r0_ctrl_tvalid, r0_ctrl_tready; + wire [63:0] l0_ctrl_tdata; wire l0_ctrl_tlast, l0_ctrl_tvalid, l0_ctrl_tready; + + wire [63:0] ctrl_hdr; + wire [1:0] ctrl_dst = + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == R0_CTRL_SID)? 0 : ( + ((ctrl_hdr[7:0] & DEMUX_SID_MASK) == L0_CTRL_SID)? 1 : ( + 3)); + axi_demux4 #(.ACTIVE_CHAN(4'b1111), .WIDTH(64), .BUFFER(1)) demux_for_ctrl + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .header(ctrl_hdr), .dest(ctrl_dst), + .i_tdata(ctrl_tdata), .i_tlast(ctrl_tlast), .i_tvalid(ctrl_tvalid), .i_tready(ctrl_tready), + .o0_tdata(r0_ctrl_tdata), .o0_tlast(r0_ctrl_tlast), .o0_tvalid(r0_ctrl_tvalid), .o0_tready(r0_ctrl_tready), + .o1_tdata(l0_ctrl_tdata), .o1_tlast(l0_ctrl_tlast), .o1_tvalid(l0_ctrl_tvalid), .o1_tready(l0_ctrl_tready), + .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1), + .o3_tdata(), .o3_tlast(), .o3_tvalid(), .o3_tready(1'b1) + ); + + /******************************************************************* + * Misc controls + ******************************************************************/ + wire set_stb; + wire [7:0] set_addr; + wire [31:0] set_data; + + wire spi_ready; + wire [31:0] spi_readback; + + wire [1:0] rb_addr; + reg [63:0] rb_data; + + wire [63:0] l0i_ctrl_tdata; wire l0i_ctrl_tlast, l0i_ctrl_tvalid, l0i_ctrl_tready; + + wire time_sync, time_sync_r; + + synchronizer time_sync_synchronizer + (.clk(radio_clk), .rst(radio_rst), .in(time_sync), .out(time_sync_r)); + + axi_fifo #(.WIDTH(65), .SIZE(0)) radio_ctrl_proc_timing_fifo + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .i_tdata({l0_ctrl_tlast, l0_ctrl_tdata}), .i_tvalid(l0_ctrl_tvalid), .i_tready(l0_ctrl_tready), .space(), + .o_tdata({l0i_ctrl_tlast, l0i_ctrl_tdata}), .o_tvalid(l0i_ctrl_tvalid), .o_tready(l0i_ctrl_tready), .occupied() + ); + + radio_ctrl_proc radio_ctrl_proc + ( + .clk(bus_clk), .reset(bus_rst), .clear(1'b0), + .ctrl_tdata(l0i_ctrl_tdata), .ctrl_tlast(l0i_ctrl_tlast), .ctrl_tvalid(l0i_ctrl_tvalid), .ctrl_tready(l0i_ctrl_tready), + .resp_tdata(l0_resp_tdata), .resp_tlast(l0_resp_tlast), .resp_tvalid(l0_resp_tvalid), .resp_tready(l0_resp_tready), + .vita_time(64'b0), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .ready(spi_ready), .readback(rb_data), + .debug() + ); + + setting_reg #(.my_addr(SR_CORE_MISC), .awidth(8), .width(32), .at_reset(8'h0)) sr_misc + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(misc_outs), .changed() + ); + + setting_reg #(.my_addr(SR_CORE_READBACK), .awidth(8), .width(2)) sr_rdback + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out(rb_addr), .changed() + ); + + setting_reg #(.my_addr(SR_CORE_SYNC), .awidth(8), .width(3)) sr_sync + ( + .clk(bus_clk), .rst(bus_rst), .strobe(set_stb), .addr(set_addr), .in(set_data), + .out({time_sync,pps_select}), .changed() + ); + + simple_spi_core #(.BASE(SR_CORE_SPI), .WIDTH(8), .CLK_IDLE(0), .SEN_IDLE(8'hFF)) misc_spi + ( + .clock(bus_clk), .reset(bus_rst), + .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data), + .readback(spi_readback), .ready(spi_ready), + .sen(sen), .sclk(sclk), .mosi(mosi), .miso(miso), + .debug() + ); + + always @* + case(rb_addr) + 2'd0 : rb_data <= { 32'hACE0BA5E, COMPAT_MAJOR, COMPAT_MINOR }; + 2'd1 : rb_data <= { 32'b0, spi_readback }; + 2'd2 : rb_data <= { 16'b0, 8'd1, 8'd0, rb_misc }; + 2'd3 : rb_data <= { 30'h0, lock_state_r }; + default : rb_data <= 64'd0; + endcase // case (rb_addr) + + /******************************************************************* + * Radio + ******************************************************************/ + wire [31:0] fe_gpio_out32; + wire [9:0] fp_gpio_out10, fp_gpio_ddr10; + assign fe_gpio_out = fe_gpio_out32[7:0]; + assign fp_gpio_out = fp_gpio_out10[7:0]; + assign fp_gpio_ddr = fp_gpio_ddr10[7:0]; + + radio_legacy #( + .RADIO_FIFO_SIZE(RADIO_FIFO_SIZE), + .SAMPLE_FIFO_SIZE(SAMPLE_FIFO_SIZE), + .FP_GPIO(1), + .NEW_HB_INTERP(1), + .NEW_HB_DECIM(1), + .SOURCE_FLOW_CONTROL(0), + .USER_SETTINGS(0), + .DEVICE("SPARTAN6") + ) radio ( + .radio_clk(radio_clk), .radio_rst(radio_rst), + .rx(rx0), .tx(tx0), .pps(pps), .time_sync(time_sync_r), + .fe_gpio_in(32'h00000000), .fe_gpio_out(fe_gpio_out32), .fe_gpio_ddr(/* Always assumed to be outputs */), + .fp_gpio_in({2'b00, fp_gpio_in}), .fp_gpio_out(fp_gpio_out10), .fp_gpio_ddr(fp_gpio_ddr10), + .bus_clk(bus_clk), .bus_rst(bus_rst), + .tx_tdata(tx_tdata), .tx_tlast(tx_tlast), .tx_tvalid(tx_tvalid), .tx_tready(tx_tready), + .rx_tdata(rx_tdata), .rx_tlast(rx_tlast), .rx_tvalid(rx_tvalid), .rx_tready(rx_tready), + .ctrl_tdata(r0_ctrl_tdata), .ctrl_tlast(r0_ctrl_tlast), .ctrl_tvalid(r0_ctrl_tvalid), .ctrl_tready(r0_ctrl_tready), + .resp_tdata(r0_resp_tdata), .resp_tlast(r0_resp_tlast), .resp_tvalid(r0_resp_tvalid), .resp_tready(r0_resp_tready), + .debug() + ); + + +endmodule // b205_core diff --git a/fpga/usrp3/top/b2xxmini/b205_io.v b/fpga/usrp3/top/b2xxmini/b205_io.v new file mode 100644 index 000000000..97e4cba24 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_io.v @@ -0,0 +1,459 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +//------------------------------------------------------------------ +// NOTE: B205 is a SISO only device. MIMO references are unused code branches. +// +// In SISO mode, we output a clock thats 1x the frequency of the Catalina +// source-synchronous bus clock to be used as the radio_clk. +// +//------------------------------------------------------------------ + +module b205_io + ( + input reset, + + // Baseband sample interface + output radio_clk, + output [11:0] rx_i0, + output [11:0] rx_q0, + input [11:0] tx_i0, + input [11:0] tx_q0, + + // Catalina interface + input rx_clk, + input rx_frame, + input [11:0] rx_data, + output tx_clk, + output tx_frame, + output [11:0] tx_data + ); + + + genvar z; + + + //------------------------------------------------------------------ + // Clock Buffering. + // BUFIO2 drives all IDDR2 and ODDR2 cells directly in bank3. + // Need two pairs of BUFIO2 one pair each for Top Left and Bottom Left half banks. + //------------------------------------------------------------------ + wire rx_clk_buf; + wire siso_clk_unbuf; + wire siso_clk2_unbuf; + + IBUFG clk_ibufg (.O(rx_clk_buf), .I(rx_clk)); + + //------------------------------------------------------------------ + // + // Buffers for LEFT TOP half bank pins + // BUFIO2_X0Y22 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(4), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("TRUE")) + clk_bufio_lt + ( + .IOCLK(io_clk_lt), + .DIVCLK(), + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X0Y23 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lt_b + ( + .IOCLK(io_clk_lt_b), + .DIVCLK(siso_clk2_unbuf), // Inverted source of 1x interface clock for radio_clk + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // + // Buffers for LEFT BOTTOM half bank pins + // BUFIO2_X1Y14 + // + //------------------------------------------------------------------ + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("FALSE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb + ( + .IOCLK(io_clk_lb), + .DIVCLK(siso_clk_unbuf), // Non-inverted source of 1x interface clock for local IO use + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + // BUFIO2_X1Y15 + BUFIO2 #( + .DIVIDE(1), + .DIVIDE_BYPASS("FALSE"), + .I_INVERT("TRUE"), + .USE_DOUBLER("FALSE")) + clk_bufio_lb_b + ( + .IOCLK(io_clk_lb_b), + .DIVCLK(/*siso_clk2_unbuf*/), + .SERDESSTROBE(), + .I(rx_clk_buf) + ); + + //------------------------------------------------------------------ + // Always-on SISO clk needed to load/unload DDR2 I/O Regs + //------------------------------------------------------------------ + BUFG siso_clk_bufg ( + .I(siso_clk_unbuf), + .O(siso_clk) + ); + + //------------------------------------------------------------------ + // BUFG to drive global radio_clk. + //------------------------------------------------------------------ + BUFG radio_clk_bufg ( + .I(siso_clk2_unbuf), + .O(radio_clk) + ); + + //------------------------------------------------------------------ + // RX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + wire rx_frame_0, rx_frame_1; + + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_frame ( + .Q0(rx_frame_1), + .Q1(rx_frame_0), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_frame), + .R(1'b0), + .S(1'b0)); + + reg rx_frame_d1, rx_frame_d2; + always @(posedge siso_clk) + { rx_frame_d2, rx_frame_d1 } <= { rx_frame_1, 1'b0 }; + + + //------------------------------------------------------------------ + // RX Data Bus - In bank3 both LT and LB + //------------------------------------------------------------------ + wire [11:0] rx_i,rx_q; + + // Bit0 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i0 ( + .Q0(rx_q[0]), + .Q1(rx_i[0]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[0]), + .R(1'b0), + .S(1'b0)); + + // Bit1 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i1 ( + .Q0(rx_q[1]), + .Q1(rx_i[1]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[1]), + .R(1'b0), + .S(1'b0)); + + // Bit2 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i2 ( + .Q0(rx_q[2]), + .Q1(rx_i[2]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[2]), + .R(1'b0), + .S(1'b0)); + + // Bit3 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i3 ( + .Q0(rx_q[3]), + .Q1(rx_i[3]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[3]), + .R(1'b0), + .S(1'b0)); + + // Bit4 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i4 ( + .Q0(rx_q[4]), + .Q1(rx_i[4]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[4]), + .R(1'b0), + .S(1'b0)); + + // Bit5 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i5 ( + .Q0(rx_q[5]), + .Q1(rx_i[5]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[5]), + .R(1'b0), + .S(1'b0)); + + // Bit6 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i6 ( + .Q0(rx_q[6]), + .Q1(rx_i[6]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[6]), + .R(1'b0), + .S(1'b0)); + + // Bit7 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i7 ( + .Q0(rx_q[7]), + .Q1(rx_i[7]), + .C0(io_clk_lt), + .C1(io_clk_lt_b), + .CE(1'b1), + .D(rx_data[7]), + .R(1'b0), + .S(1'b0)); + + // Bit8 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i8 ( + .Q0(rx_q[8]), + .Q1(rx_i[8]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[8]), + .R(1'b0), + .S(1'b0)); + + // Bit9 LT + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i9 ( + .Q0(rx_q[9]), + .Q1(rx_i[9]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[9]), + .R(1'b0), + .S(1'b0)); + + // Bit10 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i10 ( + .Q0(rx_q[10]), + .Q1(rx_i[10]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[10]), + .R(1'b0), + .S(1'b0)); + + // Bit11 LB + IDDR2 #( + .DDR_ALIGNMENT("C0")) + iddr2_i11 ( + .Q0(rx_q[11]), + .Q1(rx_i[11]), + .C0(io_clk_lb), + .C1(io_clk_lb_b), + .CE(1'b1), + .D(rx_data[11]), + .R(1'b0), + .S(1'b0)); + + //------------------------------------------------------------------ + // + // De-mux I & Q onto fullrate clock. + // + // We grab data from the IDDR2 using negedge of siso_clk. + // IDDR2 updates all Q pins on posedge of io_clk. siso_clk does not have aligned phase + // with io_clk...siso_clk is always a little more delayed than io_clk. + // This small delay is always much smaller than half a clk cycle. Thus by sampling the Q outputs + // with negedge siso_clk we avoid any risk of a race condition (hold violation on receiveing register). + // + //------------------------------------------------------------------ + reg [11:0] rx_i_del, rx_q_del; + reg [11:0] rx_i0_siso_pos; + reg [11:0] rx_q0_siso_pos; + reg [11:0] rx_i0_siso_neg; + reg [11:0] rx_q0_siso_neg; + reg [11:0] rx_i0_siso; + reg [11:0] rx_q0_siso; + + always @(negedge siso_clk) + begin + rx_i0_siso[11:0] <= rx_i[11:0]; + rx_q0_siso[11:0] <= rx_q[11:0]; + end // else: !if(rx_frame_0) + + //------------------------------------------------------------------ + // + // Now prepare data for crossing into radio_clk domain which is always for SISO mode (inverted) siso_clk. + // (Note: posedge is used so that we have massive margin against a fast-path race condition + // betwwen siso_clk and radio_clk). + // + //------------------------------------------------------------------ + + // This code block only relevent in SISO mode. + always @(posedge siso_clk) + begin + rx_i0_siso_pos[11:0] <= rx_i0_siso[11:0]; + rx_q0_siso_pos[11:0] <= rx_q0_siso[11:0]; + end + + assign rx_i0 = rx_i0_siso_pos; + assign rx_q0 = rx_q0_siso_pos; + + + //------------------------------------------------------------------ + // TX Data Bus - In bank3 LB + //------------------------------------------------------------------ + reg [11:0] tx_i,tx_q; + + generate + for(z = 0; z < 12; z = z + 1) + begin : gen_pins + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2 ( + .Q(tx_data[z]), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(tx_i[z]), .D1(tx_q[z]), .R(1'b0), .S(1'b0)); + end + endgenerate + + //------------------------------------------------------------------ + // TX Frame Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_frame ( + .Q(tx_frame), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(1'b1), .D1(1'b0), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // TX Clock Signal - In bank 3 LB + //------------------------------------------------------------------ + ODDR2 #( + .DDR_ALIGNMENT("C0"), .SRTYPE("ASYNC")) + oddr2_clk ( + .Q(tx_clk), .C0(io_clk_lb), .C1(io_clk_lb_b), + .CE(1'b1), .D0(1'b1), .D1(1'b0), .R(1'b0), .S(1'b0)); + + //------------------------------------------------------------------ + // + // Mux I & Q, onto fullrate clock TX bus to AD9361 + // + //------------------------------------------------------------------ + + always @(posedge siso_clk) + begin + {tx_i,tx_q} <= {tx_i0,tx_q0}; + end + + // + // Debug + // +/* -----\/----- EXCLUDED -----\/----- + wire [35:0] CONTROL0; + reg [11:0] tx_i_del_debug, tx_q_del_debug; + reg [11:0] tx_i_debug,tx_q_debug; + reg [11:0] tx_i0_debug,tx_q0_debug; + reg find_radio_clk_phase_debug; + reg find_radio_clk_phase_del_debug; + reg tx_strobe_debug; + reg tx_strobe_del_debug; + + + always @(posedge siso_clk) begin + tx_i_del_debug <= tx_i_del; + tx_q_del_debug <= tx_q_del; + tx_i_debug <= tx_i; + tx_q_debug <= tx_q; + tx_i0_debug <=tx_i0; + tx_q0_debug <= tx_q0; + find_radio_clk_phase_debug <= find_radio_clk_phase; + find_radio_clk_phase_del_debug <= find_radio_clk_phase_del; + tx_strobe_debug <= tx_strobe; + tx_strobe_del_debug <= tx_strobe_del; + end + + + + chipscope_icon chipscope_icon_i0 + ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] + ); + + chipscope_ila_128 chipscope_ila_i0 + ( + .CONTROL(CONTROL0), // INOUT BUS [35:0] + .CLK(siso_clk), // IN + .TRIG0( + { + tx_i_del_debug[11:0], + tx_q_del_debug[11:0], + tx_i_debug[11:0], + tx_q_debug[11:0], + tx_i0_debug[11:0], + tx_q0_debug[11:0], + find_radio_clk_phase_debug, + find_radio_clk_phase_del_debug, + tx_strobe_debug, + tx_strobe_del_debug + } + ) + + ); + -----/\----- EXCLUDED -----/\----- */ +endmodule diff --git a/fpga/usrp3/top/b2xxmini/b205_ref_pll.v b/fpga/usrp3/top/b2xxmini/b205_ref_pll.v new file mode 100644 index 000000000..0483bc93b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/b205_ref_pll.v @@ -0,0 +1,278 @@ +// +// Copyright 2015 Ettus Research, a National Instruments Company +// +// SPDX-License-Identifier: LGPL-3.0-or-later +// + +module b205_ref_pll( + input reset, + input clk, // 200 MHz sample clock + input refclk, // 40 MHz reference clock + input ref, // PPS or 10 MHz external reference + output reg locked, + + // SPI lines to AD5662 + output sclk, + output mosi, + output sync_n + ); + + // Base parameters + localparam SAMPLE_CLOCK_FREQ=200_000_000; + localparam REF_FREQ_PPS=1; + localparam REF_FREQ_10MHZ=10_000_000; + localparam REF_CLK_FREQ=40_000_000; + localparam PFD_FREQ_PPS=1; + localparam PFD_FREQ_10MHZ=10; + + // Lock detection parameters + localparam LOCK_TOLERANCE_PPM=1; + localparam LOCK_MARGIN_PPS=(SAMPLE_CLOCK_FREQ/PFD_FREQ_PPS)*LOCK_TOLERANCE_PPM/1_000_000; + localparam LOCK_MARGIN_10MHZ=(SAMPLE_CLOCK_FREQ/PFD_FREQ_10MHZ)*LOCK_TOLERANCE_PPM/1_000_000; + + // Reference frequency detection parameters + // References are only valid if they are +/-5ppm because that is the range of the VCTXCO + localparam REF_PERIOD_PPS=SAMPLE_CLOCK_FREQ/REF_FREQ_PPS; + localparam REF_PERIOD_10MHZ=SAMPLE_CLOCK_FREQ/REF_FREQ_10MHZ; + localparam REF_PERIOD_PPS_MIN=REF_PERIOD_PPS-(REF_PERIOD_PPS*5/1_000_000)-1; + localparam REF_PERIOD_PPS_MAX=REF_PERIOD_PPS+(REF_PERIOD_PPS*5/1_000_000)+1; + localparam REF_PERIOD_10MHZ_MIN=REF_PERIOD_10MHZ-(REF_PERIOD_10MHZ*5/1_000_000)-1; + localparam REF_PERIOD_10MHZ_MAX=REF_PERIOD_10MHZ+(REF_PERIOD_10MHZ*5/1_000_000)+1; + + // R divider parameters + localparam RDIV_PPS=REF_FREQ_PPS/PFD_FREQ_PPS; + localparam RDIV_10MHZ=REF_FREQ_10MHZ/PFD_FREQ_10MHZ; + + // N divider parameters (refclk is divided by 2) + localparam NDIV_PPS=REF_CLK_FREQ/2/PFD_FREQ_PPS; + localparam NDIV_10MHZ=REF_CLK_FREQ/2/PFD_FREQ_10MHZ; + + // PFD parameters + localparam PFD_PERIOD_PPS=SAMPLE_CLOCK_FREQ/PFD_FREQ_PPS; + localparam PFD_PERIOD_10MHZ=SAMPLE_CLOCK_FREQ/PFD_FREQ_10MHZ; + + + // Initial divide by 2 for 40 MHz clock + // (since refclk cannot be sampled directly) + reg refclk_div; + always @(posedge refclk) begin + refclk_div <= ~refclk_div; + end + + // flop signals into sample clock domain together + reg [3:0] refsmp; + reg [3:0] refclksmp; + always @(posedge clk) begin + refsmp <= {refsmp[2:0],ref}; + refclksmp <= {refclksmp[2:0],refclk_div}; + end + + // rising edge detection + wire ref_rising = (refsmp[3:2] == 2'b01); + wire refclk_rising = (refclksmp[3:2] == 2'b01); + + // reference frequency detection + reg [27:0] refcnt; + reg ref_detected; + reg ref_is_10M; + reg ref_is_pps; + wire valid_ref = ref_is_10M | ref_is_pps; + always @(posedge clk) begin + if (reset) begin + refcnt <= 28'd0; + ref_detected <= 1'b0; + ref_is_10M <= 1'b0; + ref_is_pps <= 1'b0; + end + else if (ref_rising) begin + refcnt <= 28'd1; + ref_detected <= 1'b1; + ref_is_10M <= ((refcnt >= REF_PERIOD_10MHZ_MIN) && (refcnt <= REF_PERIOD_10MHZ_MAX)); + ref_is_pps <= ((refcnt >= REF_PERIOD_PPS_MIN) && (refcnt <= REF_PERIOD_PPS_MAX)); + end + else if ((ref_is_10M && (refcnt > REF_PERIOD_10MHZ_MAX)) || (refcnt > REF_PERIOD_PPS_MAX)) begin + // consider the reference lost + refcnt <= 28'd0; + ref_detected <= 1'b0; + ref_is_10M <= 1'b0; + ref_is_pps <= 1'b0; + end + else if (ref_detected) + refcnt <= refcnt + 28'd1; + end + + // R divider + wire [23:0] rdiv = ref_is_10M ? RDIV_10MHZ : RDIV_PPS; + reg [23:0] rcnt; + wire [23:0] next_rcnt = ~valid_ref ? 24'd0 : (rcnt == rdiv) ? 24'd1 : rcnt + 1'b1; + reg r_rising; + always @(posedge clk) begin + if (ref_rising) + rcnt <= next_rcnt; + r_rising <= (ref_rising && ((ref_is_10M && (rcnt == rdiv)) || ref_is_pps)); + end + + // N divider + // Enable on rising edge of R after valid_ref + // is asserted so R and N signals start aligned. + // Disable if reference lost. + wire [25:0] ndiv = ref_is_10M ? NDIV_10MHZ : NDIV_PPS; + reg [25:0] ncnt; + wire [25:0] next_ncnt = ~valid_ref ? 26'd0 : ncnt == ndiv ? 26'd1 : ncnt + 1'b1; + reg n_rising; + always @(posedge clk) begin + if (refclk_rising) + ncnt <= next_ncnt; + n_rising <= (refclk_rising && (ncnt == ndiv)); + end + + // Frequency Counter + wire signed [28:0] period = ref_is_10M ? PFD_PERIOD_10MHZ : PFD_PERIOD_PPS; + reg signed [28:0] r_period_cnt; + reg signed [28:0] freq_err; + always @(posedge clk) begin + if (reset | ~valid_ref) begin + r_period_cnt <= 28'd0; + freq_err <= 29'sd0; + end + else if (r_rising) begin + r_period_cnt <= 28'd1; + freq_err <= period - r_period_cnt; + end + else + r_period_cnt <= r_period_cnt + 28'd1; + end + + // Phase Counter + reg signed [28:0] lead_cnt; + reg lead_cnt_ena; + reg signed [28:0] lead; + always @(posedge clk) begin + // Count how much N leads R + // The count is negative because it measures + // how much the VCTCXO must be slowed down. + if (~valid_ref | n_rising) begin + lead_cnt <= 29'sd0; + lead_cnt_ena <= 1'b1; + if (r_rising) + lead <= 29'sd0; + end + else if (r_rising) begin + if (lead_cnt_ena) + lead <= lead_cnt - 29'sd1; + else begin + // R rising with no preceding N rising. + // N has changed from leading to lagging R, + // but we don't yet know by how much so + // assume 1. + lead <= 29'sd1; + end + lead_cnt_ena <= 1'b0; + end + else if (lead_cnt_ena) + lead_cnt <= lead_cnt - 29'sd1; + end + + // PFD State Machine + localparam MEASURE=4'd0; + localparam CAPTURE=4'd1; + localparam CAPTURE_LAG=4'd2; + localparam CAPTURE_LEAD=4'd3; + localparam CALCULATE_ERROR=4'd4; + localparam CALCULATE_10M_GAIN=4'd5; + localparam CALCULATE_ADJUSTMENT=4'd6; + localparam CALCULATE_OUTPUT_VALUE=4'd7; + localparam APPLY_OUTPUT_VALUE=4'd8; + reg [3:0] state; + reg [15:0] daco = 16'd32767; + wire signed [28:0] lock_margin = ref_is_10M ? LOCK_MARGIN_10MHZ : LOCK_MARGIN_PPS; + wire signed [28:0] lag = lead + period; + reg signed [28:0] phase_err; + reg signed [28:0] err; + reg signed [28:0] shift; + reg signed [28:0] adj; + wire signed [28:0] dacv = {13'd0, daco}; + reg signed [28:0] sum; + reg [2:0] ld; + always @(posedge clk) begin + if (reset || ~valid_ref) begin + state <= MEASURE; + daco <= 16'd32767; + err <= 29'sd0; + shift <= 29'sd0; + adj <= 29'sd0; + ld <= 3'd0; + end + else begin + case(state) + MEASURE: begin + if (r_rising) + state <= CAPTURE; + end + CAPTURE: begin + if (lag < -lead) + state <= CAPTURE_LAG; + else + state <= CAPTURE_LEAD; + end + CAPTURE_LAG: begin + phase_err <= lag; + ld <= {ld[1:0], (lag <= lock_margin)}; + state <= CALCULATE_ERROR; + end + CAPTURE_LEAD: begin + phase_err <= lead; + ld <= {ld[1:0], (-lead <= lock_margin)}; + state <= CALCULATE_ERROR; + end + CALCULATE_ERROR: begin + err <= phase_err + freq_err; + state <= ref_is_10M ? CALCULATE_10M_GAIN : CALCULATE_ADJUSTMENT; + end + CALCULATE_10M_GAIN: begin + shift <= (err < -7 || err > 7) ? 7 : (err < 0 ? -err : err); + state <= CALCULATE_ADJUSTMENT; + end + CALCULATE_ADJUSTMENT: begin + // The VCTCXO is +/-5 ppm from 0.3V to 1.5V and the DAC is 16 bits, + // which works out to 0.000228885 ppm per DAC unit. + // The 200 MHz sampling clock means each unit of error is 0.005 ppm, + // which works out to 21.845 DAC units to correct each unit of error. + // Theory is nice, but the proportional and integral gains used here + // were determined through manual tuning. + if (ref_is_10M) + adj <= (err <<< shift); + else + adj <= (err <<< 4) - err; + state <= CALCULATE_OUTPUT_VALUE; + end + CALCULATE_OUTPUT_VALUE: begin + sum <= dacv + adj; + state <= APPLY_OUTPUT_VALUE; + end + APPLY_OUTPUT_VALUE: begin + // Clip and apply + if (sum < 29'sd0) + daco <= 16'd0; + else if (sum > 29'sd65535) + daco <= 16'd65535; + else + daco <= sum[15:0]; + state <= MEASURE; + end + endcase + end + end + + always @(posedge clk) + locked <= (ld == 3'b111); + + ad5662_auto_spi dac + ( + .clk(clk), + .dat(daco), + .sclk(sclk), + .mosi(mosi), + .sync_n(sync_n) + ); +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/.gitignore b/fpga/usrp3/top/b2xxmini/coregen/.gitignore new file mode 100644 index 000000000..bdeac28ca --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/.gitignore @@ -0,0 +1,5 @@ +/tmp +/_xmsgs +/*.log +/*.gise +/*.xise diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy new file mode 100644 index 000000000..c2995765a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.asy @@ -0,0 +1,29 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 b205_clk_gen +RECTANGLE Normal 32 32 576 1088 +LINE Normal 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName clk_in1 +PINATTR Polarity IN +LINE Normal 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName reset +PINATTR Polarity IN +LINE Normal 608 80 576 80 +PIN 608 80 RIGHT 36 +PINATTR PinName clk_out1 +PINATTR Polarity OUT +LINE Normal 608 176 576 176 +PIN 608 176 RIGHT 36 +PINATTR PinName clk_out2 +PINATTR Polarity OUT +LINE Normal 608 272 576 272 +PIN 608 272 RIGHT 36 +PINATTR PinName clk_out3 +PINATTR Polarity OUT +LINE Normal 608 976 576 976 +PIN 608 976 RIGHT 36 +PINATTR PinName locked +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf new file mode 100755 index 000000000..9b318e829 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.ucf @@ -0,0 +1,59 @@ +# file: b205_clk_gen.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v new file mode 100755 index 000000000..e4184e6fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.v @@ -0,0 +1,158 @@ +// file: b205_clk_gen.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "b205_clk_gen,clk_wiz_v3_6,{component_name=b205_clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=3,clkin1_period=25.0,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module b205_clk_gen + (// Clock in ports + input CLK_IN1_40, + // Clock out ports + output CLK_OUT1_40_int, + output CLK_OUT2_100_bus, + output CLK_OUT3_200_ref_pll, + // Status and control signals + input RESET, + output LOCKED + ); + + // Input buffering + //------------------------------------ + IBUFG clkin1_buf + (.O (clkin1), + .I (CLK_IN1_40)); + + + // Clocking primitive + //------------------------------------ + // Instantiation of the PLL primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire clkfbout; + wire clkfbout_buf; + wire clkout3_unused; + wire clkout4_unused; + wire clkout5_unused; + + PLL_BASE + #(.BANDWIDTH ("OPTIMIZED"), + .CLK_FEEDBACK ("CLKFBOUT"), + .COMPENSATION ("SYSTEM_SYNCHRONOUS"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT (10), + .CLKFBOUT_PHASE (0.000), + .CLKOUT0_DIVIDE (10), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT1_DIVIDE (4), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKOUT2_DIVIDE (2), + .CLKOUT2_PHASE (0.000), + .CLKOUT2_DUTY_CYCLE (0.500), + .CLKIN_PERIOD (25.0), + .REF_JITTER (0.010)) + pll_base_inst + // Output clocks + (.CLKFBOUT (clkfbout), + .CLKOUT0 (clkout0), + .CLKOUT1 (clkout1), + .CLKOUT2 (clkout2), + .CLKOUT3 (clkout3_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + // Status and control signals + .LOCKED (LOCKED), + .RST (RESET), + // Input clock control + .CLKFBIN (clkfbout_buf), + .CLKIN (clkin1)); + + + // Output buffering + //----------------------------------- + BUFG clkf_buf + (.O (clkfbout_buf), + .I (clkfbout)); + + BUFG clkout1_buf + (.O (CLK_OUT1_40_int), + .I (clkout0)); + + + BUFG clkout2_buf + (.O (CLK_OUT2_100_bus), + .I (clkout1)); + + BUFG clkout3_buf + (.O (CLK_OUT3_200_ref_pll), + .I (clkout2)); + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo new file mode 100755 index 000000000..874e6b65c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.veo @@ -0,0 +1,82 @@ +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____40.000______0.000______50.0______312.078____259.584 +// CLK_OUT2___100.000______0.000______50.0______255.594____259.584 +// CLK_OUT3___200.000______0.000______50.0______225.630____259.584 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary__________40.000____________0.010 + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG + + b205_clk_gen instance_name + (// Clock in ports + .CLK_IN1_40(CLK_IN1_40), // IN + // Clock out ports + .CLK_OUT1_40_int(CLK_OUT1_40_int), // OUT + .CLK_OUT2_100_bus(CLK_OUT2_100_bus), // OUT + .CLK_OUT3_200_ref_pll(CLK_OUT3_200_ref_pll), // OUT + // Status and control signals + .RESET(RESET),// IN + .LOCKED(LOCKED)); // OUT +// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco new file mode 100644 index 000000000..d3688079a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xco @@ -0,0 +1,269 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jul 14 00:18:05 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:clk_wiz:3.6 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6 +# END Select +# BEGIN Parameters +CSET calc_done=DONE +CSET clk_in_sel_port=CLK_IN_SEL +CSET clk_out1_port=CLK_OUT1_40_int +CSET clk_out1_use_fine_ps_gui=false +CSET clk_out2_port=CLK_OUT2_100_bus +CSET clk_out2_use_fine_ps_gui=false +CSET clk_out3_port=CLK_OUT3_200_ref_pll +CSET clk_out3_use_fine_ps_gui=false +CSET clk_out4_port=CLK_OUT4_gpif +CSET clk_out4_use_fine_ps_gui=false +CSET clk_out5_port=CLK_OUT5 +CSET clk_out5_use_fine_ps_gui=false +CSET clk_out6_port=CLK_OUT6 +CSET clk_out6_use_fine_ps_gui=false +CSET clk_out7_port=CLK_OUT7 +CSET clk_out7_use_fine_ps_gui=false +CSET clk_valid_port=CLK_VALID +CSET clkfb_in_n_port=CLKFB_IN_N +CSET clkfb_in_p_port=CLKFB_IN_P +CSET clkfb_in_port=CLKFB_IN +CSET clkfb_in_signaling=SINGLE +CSET clkfb_out_n_port=CLKFB_OUT_N +CSET clkfb_out_p_port=CLKFB_OUT_P +CSET clkfb_out_port=CLKFB_OUT +CSET clkfb_stopped_port=CLKFB_STOPPED +CSET clkin1_jitter_ps=250.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 +CSET clkout1_drives=BUFG +CSET clkout1_requested_duty_cycle=50.000 +CSET clkout1_requested_out_freq=40.000 +CSET clkout1_requested_phase=0.000 +CSET clkout2_drives=BUFG +CSET clkout2_requested_duty_cycle=50.000 +CSET clkout2_requested_out_freq=100.000 +CSET clkout2_requested_phase=0.000 +CSET clkout2_used=true +CSET clkout3_drives=BUFG +CSET clkout3_requested_duty_cycle=50.000 +CSET clkout3_requested_out_freq=200.000 +CSET clkout3_requested_phase=0.000 +CSET clkout3_used=true +CSET clkout4_drives=BUFG +CSET clkout4_requested_duty_cycle=50.000 +CSET clkout4_requested_out_freq=80.000 +CSET clkout4_requested_phase=0.000 +CSET clkout4_used=false +CSET clkout5_drives=BUFG +CSET clkout5_requested_duty_cycle=50.000 +CSET clkout5_requested_out_freq=100.000 +CSET clkout5_requested_phase=0.000 +CSET clkout5_used=false +CSET clkout6_drives=BUFG +CSET clkout6_requested_duty_cycle=50.000 +CSET clkout6_requested_out_freq=100.000 +CSET clkout6_requested_phase=0.000 +CSET clkout6_used=false +CSET clkout7_drives=BUFG +CSET clkout7_requested_duty_cycle=50.000 +CSET clkout7_requested_out_freq=100.000 +CSET clkout7_requested_phase=0.000 +CSET clkout7_used=false +CSET clock_mgr_type=AUTO +CSET component_name=b205_clk_gen +CSET daddr_port=DADDR +CSET dclk_port=DCLK +CSET dcm_clk_feedback=1X +CSET dcm_clk_out1_port=CLK0 +CSET dcm_clk_out2_port=CLKFX +CSET dcm_clk_out3_port=CLKFX +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 +CSET dcm_clk_out6_port=CLK0 +CSET dcm_clkdv_divide=2.0 +CSET dcm_clkfx_divide=2 +CSET dcm_clkfx_multiply=5 +CSET dcm_clkgen_clk_out1_port=CLKFX +CSET dcm_clkgen_clk_out2_port=CLKFX +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 +CSET dcm_clkgen_clkfx_md_max=0.000 +CSET dcm_clkgen_clkfx_multiply=4 +CSET dcm_clkgen_clkfxdv_divide=2 +CSET dcm_clkgen_clkin_period=10.000 +CSET dcm_clkgen_notes=None +CSET dcm_clkgen_spread_spectrum=NONE +CSET dcm_clkgen_startup_wait=false +CSET dcm_clkin_divide_by_2=false +CSET dcm_clkin_period=25.000 +CSET dcm_clkout_phase_shift=NONE +CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS +CSET dcm_notes=None +CSET dcm_phase_shift=0 +CSET dcm_pll_cascade=NONE +CSET dcm_startup_wait=false +CSET den_port=DEN +CSET din_port=DIN +CSET dout_port=DOUT +CSET drdy_port=DRDY +CSET dwe_port=DWE +CSET feedback_source=FDBK_AUTO +CSET in_freq_units=Units_MHz +CSET in_jitter_units=Units_UI +CSET input_clk_stopped_port=INPUT_CLK_STOPPED +CSET jitter_options=UI +CSET jitter_sel=No_Jitter +CSET locked_port=LOCKED +CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_clkfbout_mult_f=4.000 +CSET mmcm_clkfbout_phase=0.000 +CSET mmcm_clkfbout_use_fine_ps=false +CSET mmcm_clkin1_period=10.000 +CSET mmcm_clkin2_period=10.000 +CSET mmcm_clkout0_divide_f=4.000 +CSET mmcm_clkout0_duty_cycle=0.500 +CSET mmcm_clkout0_phase=0.000 +CSET mmcm_clkout0_use_fine_ps=false +CSET mmcm_clkout1_divide=1 +CSET mmcm_clkout1_duty_cycle=0.500 +CSET mmcm_clkout1_phase=0.000 +CSET mmcm_clkout1_use_fine_ps=false +CSET mmcm_clkout2_divide=1 +CSET mmcm_clkout2_duty_cycle=0.500 +CSET mmcm_clkout2_phase=0.000 +CSET mmcm_clkout2_use_fine_ps=false +CSET mmcm_clkout3_divide=1 +CSET mmcm_clkout3_duty_cycle=0.500 +CSET mmcm_clkout3_phase=0.000 +CSET mmcm_clkout3_use_fine_ps=false +CSET mmcm_clkout4_cascade=false +CSET mmcm_clkout4_divide=1 +CSET mmcm_clkout4_duty_cycle=0.500 +CSET mmcm_clkout4_phase=0.000 +CSET mmcm_clkout4_use_fine_ps=false +CSET mmcm_clkout5_divide=1 +CSET mmcm_clkout5_duty_cycle=0.500 +CSET mmcm_clkout5_phase=0.000 +CSET mmcm_clkout5_use_fine_ps=false +CSET mmcm_clkout6_divide=1 +CSET mmcm_clkout6_duty_cycle=0.500 +CSET mmcm_clkout6_phase=0.000 +CSET mmcm_clkout6_use_fine_ps=false +CSET mmcm_clock_hold=false +CSET mmcm_compensation=ZHOLD +CSET mmcm_divclk_divide=1 +CSET mmcm_notes=None +CSET mmcm_ref_jitter1=0.010 +CSET mmcm_ref_jitter2=0.010 +CSET mmcm_startup_wait=false +CSET num_out_clks=3 +CSET override_dcm=false +CSET override_dcm_clkgen=false +CSET override_mmcm=false +CSET override_pll=false +CSET platform=lin64 +CSET pll_bandwidth=OPTIMIZED +CSET pll_clk_feedback=CLKFBOUT +CSET pll_clkfbout_mult=10 +CSET pll_clkfbout_phase=0.000 +CSET pll_clkin_period=25.0 +CSET pll_clkout0_divide=10 +CSET pll_clkout0_duty_cycle=0.500 +CSET pll_clkout0_phase=0.000 +CSET pll_clkout1_divide=4 +CSET pll_clkout1_duty_cycle=0.500 +CSET pll_clkout1_phase=0.000 +CSET pll_clkout2_divide=2 +CSET pll_clkout2_duty_cycle=0.500 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=5 +CSET pll_clkout3_duty_cycle=0.500 +CSET pll_clkout3_phase=0.000 +CSET pll_clkout4_divide=1 +CSET pll_clkout4_duty_cycle=0.500 +CSET pll_clkout4_phase=0.000 +CSET pll_clkout5_divide=1 +CSET pll_clkout5_duty_cycle=0.500 +CSET pll_clkout5_phase=0.000 +CSET pll_compensation=SYSTEM_SYNCHRONOUS +CSET pll_divclk_divide=1 +CSET pll_notes=None +CSET pll_ref_jitter=0.010 +CSET power_down_port=POWER_DOWN +CSET prim_in_freq=40.000 +CSET prim_in_jitter=0.010 +CSET prim_source=Single_ended_clock_capable_pin +CSET primary_port=CLK_IN1_40 +CSET primitive=MMCM +CSET primtype_sel=PLL_BASE +CSET psclk_port=PSCLK +CSET psdone_port=PSDONE +CSET psen_port=PSEN +CSET psincdec_port=PSINCDEC +CSET relative_inclk=REL_PRIMARY +CSET reset_port=RESET +CSET secondary_in_freq=100.000 +CSET secondary_in_jitter=0.010 +CSET secondary_port=CLK_IN2 +CSET secondary_source=Single_ended_clock_capable_pin +CSET ss_mod_freq=250 +CSET ss_mode=CENTER_HIGH +CSET status_port=STATUS +CSET summary_strings=empty +CSET use_clk_valid=false +CSET use_clkfb_stopped=false +CSET use_dyn_phase_shift=false +CSET use_dyn_reconfig=false +CSET use_freeze=false +CSET use_freq_synth=true +CSET use_inclk_stopped=false +CSET use_inclk_switchover=false +CSET use_locked=true +CSET use_max_i_jitter=false +CSET use_min_o_jitter=false +CSET use_min_power=false +CSET use_phase_alignment=true +CSET use_power_down=false +CSET use_reset=true +CSET use_spread_spectrum=false +CSET use_spread_spectrum_1=false +CSET use_status=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-05-10T12:44:55Z +# END Extra information +GENERATE +# CRC: 14fd4cf9 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc new file mode 100755 index 000000000..992f39959 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen.xdc @@ -0,0 +1,67 @@ +# file: b205_clk_gen.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt new file mode 100644 index 000000000..91dcdd01f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html new file mode 100644 index 000000000..d6deba06c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html @@ -0,0 +1,195 @@ + + +clk_wiz_v3_6_vinfo + + + +

+CHANGE LOG for LogiCORE Clocking Wizard V3.6 
+
+                    Release Date: June 19, 2013
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+   www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+  
+  The following device families are supported by the core for this release.
+  
+  All 7 Series devices
+
+
+  Zynq-7000 devices
+    Zynq-7000
+    Defense Grade Zynq-7000Q (XQ)
+
+
+  All Virtex-6 devices
+  
+  
+  All Spartan-6 devices
+  
+  
+................................................................................
+
+3. NEW FEATURE HISTORY 
+
+
+  3.1 ISE 
+  
+    - Spread Spectrum support for 7 series MMCME2
+
+    - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+  4.1 ISE 
+  
+      Resolved issue with example design becoming core top in planAhead
+
+      Resolved issue with Virtex6 MMCM instantiation for VHDL project
+      Please refer to AR 50719 - www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+  
+  
+  The most recent information, including known issues, workarounds, and
+  resolutions for this version is provided in the IP Release Notes Guide
+  located at
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+  
+  
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date        By            Version      Description
+================================================================================
+06/19/2013  Xilinx, Inc.  3.6(Rev3)    ISE 14.6 support
+10/16/2012  Xilinx, Inc.  3.6(Rev2)    ISE 14.3 support
+07/25/2012  Xilinx, Inc.  3.6          ISE 14.2 support
+04/24/2012  Xilinx, Inc.  3.5          ISE 14.1 support
+01/18/2012  Xilinx, Inc.  3.3          ISE 13.4 support
+06/22/2011  Xilinx, Inc.  3.2          ISE 13.2 support
+03/01/2011  Xilinx, Inc.  3.1          ISE 13.1 support
+12/14/2010  Xilinx, Inc.  1.8          ISE 12.4 support
+09/21/2010  Xilinx, Inc.  1.7          ISE 12.3 support
+07/23/2010  Xilinx, Inc.  1.6          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  1.5          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  1.4          ISE 11.4 support
+09/16/2009  Xilinx, Inc.  1.3          ISE 11.3 support
+06/24/2009  Xilinx, Inc.  1.2          ISE 11.2 support
+04/24/2009  Xilinx, Inc.  1.1          Initial release; 11.1 support
+================================================================================
+                          
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
+
+
+ + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf new file mode 100644 index 000000000..a7daa6089 Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/doc/pg065_clk_wiz.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf new file mode 100755 index 000000000..c98fa6f8c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.ucf @@ -0,0 +1,60 @@ +# file: b205_clk_gen_exdes.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 25.0 ns HIGH 50% INPUT_JITTER 250.0ps; + + +# FALSE PATH constraints +PIN "COUNTER_RESET" TIG; +PIN "RESET" TIG; + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v new file mode 100755 index 000000000..45b605d7f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.v @@ -0,0 +1,178 @@ +// file: b205_clk_gen_exdes.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard example design +//---------------------------------------------------------------------------- +// This example design instantiates the created clocking network, where each +// output clock drives a counter. The high bit of each counter is ported. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +module b205_clk_gen_exdes + #( + parameter TCQ = 100 + ) + (// Clock in ports + input CLK_IN1, + // Reset that only drives logic in example design + input COUNTER_RESET, + output [3:1] CLK_OUT, + // High bits of counters driven by clocks + output [3:1] COUNT, + // Status and control signals + input RESET, + output LOCKED + ); + + // Parameters for the counters + //------------------------------- + // Counter width + localparam C_W = 16; + // Number of counters + localparam NUM_C = 3; + genvar count_gen; + // When the clock goes out of lock, reset the counters + wire reset_int = !LOCKED || RESET || COUNTER_RESET; + + reg [NUM_C:1] rst_sync; + reg [NUM_C:1] rst_sync_int; + reg [NUM_C:1] rst_sync_int1; + reg [NUM_C:1] rst_sync_int2; + + + // Declare the clocks and counters + wire [NUM_C:1] clk_int; + wire [NUM_C:1] clk_n; + wire [NUM_C:1] clk; + reg [C_W-1:0] counter [NUM_C:1]; + + // Instantiation of the clocking network + //-------------------------------------- + b205_clk_gen clknetwork + (// Clock in ports + .CLK_IN1_40 (CLK_IN1), + // Clock out ports + .CLK_OUT1_40_int (clk_int[1]), + .CLK_OUT2_100_bus (clk_int[2]), + .CLK_OUT3_200_ref_pll (clk_int[3]), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +genvar clk_out_pins; + +generate + for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1) + begin: gen_outclk_oddr + assign clk_n[clk_out_pins] = ~clk[clk_out_pins]; + + ODDR2 clkout_oddr + (.Q (CLK_OUT[clk_out_pins]), + .C0 (clk[clk_out_pins]), + .C1 (clk_n[clk_out_pins]), + .CE (1'b1), + .D0 (1'b1), + .D1 (1'b0), + .R (1'b0), + .S (1'b0)); + end +endgenerate + + // Connect the output clocks to the design + //----------------------------------------- + assign clk[1] = clk_int[1]; + assign clk[2] = clk_int[2]; + assign clk[3] = clk_int[3]; + + + // Reset synchronizer + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 + always @(posedge reset_int or posedge clk[count_gen]) begin + if (reset_int) begin + rst_sync[count_gen] <= 1'b1; + rst_sync_int[count_gen]<= 1'b1; + rst_sync_int1[count_gen]<= 1'b1; + rst_sync_int2[count_gen]<= 1'b1; + end + else begin + rst_sync[count_gen] <= 1'b0; + rst_sync_int[count_gen] <= rst_sync[count_gen]; + rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; + rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; + end + end + end + endgenerate + + + // Output clock sampling + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters + + always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin + if (rst_sync_int2[count_gen]) begin + counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; + end else begin + counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; + end + end + // alias the high bit of each counter to the corresponding + // bit in the output bus + assign COUNT[count_gen] = counter[count_gen][C_W-1]; + end + endgenerate + + + + + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc new file mode 100755 index 000000000..0678543c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/example_design/b205_clk_gen_exdes.xdc @@ -0,0 +1,69 @@ +# file: b205_clk_gen_exdes.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 25.0 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.25 + +# FALSE PATH constraint added on COUNTER_RESET +set_false_path -from [get_ports "COUNTER_RESET"] +set_false_path -from [get_ports "RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat new file mode 100755 index 000000000..729bfef85 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.bat @@ -0,0 +1,90 @@ +REM file: implement.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM ----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM ----------------------------------------------------------------------------- + +REM Clean up the results directory +rmdir /S /Q results +mkdir results + +REM Copy unisim_comp.v file to results directory +copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ + +REM Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +move b205_clk_gen_exdes.ngc results\ + +REM Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\b205_clk_gen_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing -pr b b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v +cd .. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh new file mode 100755 index 000000000..ffac9e81c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/implement.sh @@ -0,0 +1,91 @@ +#!/bin/sh +# file: implement.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +# Clean up the results directory +rm -rf results +mkdir results + +# Copy unisim_comp.v file to results directory +cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ + +# Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +mv b205_clk_gen_exdes.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/b205_clk_gen_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc b205_clk_gen_exdes.ucf b205_clk_gen_exdes + +echo 'Running map' +map -timing b205_clk_gen_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm b205_clk_gen_exdes -w routed.ncd routed.v + +cd .. diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat new file mode 100755 index 000000000..8ac771810 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.bat @@ -0,0 +1,58 @@ +REM file: planAhead_ise.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh new file mode 100755 index 000000000..6c8c837d3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.sh @@ -0,0 +1,59 @@ +#!/bin/sh +# file: planAhead_ise.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +rm -rf results +mkdir results +cd results + +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl new file mode 100755 index 000000000..74ddb6a1a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_ise.tcl @@ -0,0 +1,78 @@ +# file: planAhead_ise.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set projDir [file dirname [info script]] +set projName b205_clk_gen +set topName b205_clk_gen_exdes +set device xc6slx75csg484-3 + +create_project $projName $projDir/results/$projName -part $device + +set_property design_mode RTL [get_filesets sources_1] + +## Source files +#set verilogSources [glob $srcDir/*.v] +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../b205_clk_gen.v + + +#UCF file +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/b205_clk_gen_exdes.ucf + +set_property top $topName [get_property srcset [current_run]] + +launch_runs -runs synth_1 +wait_on_run synth_1 + +set_property add_step Bitgen [get_runs impl_1] +launch_runs -runs impl_1 +wait_on_run impl_1 + + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat new file mode 100755 index 000000000..42273f5d4 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.bat @@ -0,0 +1,58 @@ +REM file: planAhead_rdn.sh +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the XADC wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh new file mode 100755 index 000000000..f4c14729e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.sh @@ -0,0 +1,57 @@ +#!/bin/sh +# file: planAhead_rdn.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the XADC wizard +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl new file mode 100755 index 000000000..8c4276049 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/planAhead_rdn.tcl @@ -0,0 +1,69 @@ +# file : planAhead_rdn.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set device xc6slx75csg484-3 +set projName b205_clk_gen +set design b205_clk_gen +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module b205_clk_gen_exdes +set_property top b205_clk_gen_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../b205_clk_gen.v} +add_files -norecurse {../../example_design/b205_clk_gen_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/b205_clk_gen_exdes.xdc} +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module b205_clk_gen_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module b205_clk_gen_exdes -file routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj new file mode 100755 index 000000000..0579440e0 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../b205_clk_gen.v +verilog work ../example_design/b205_clk_gen_exdes.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr new file mode 100755 index 000000000..ce30cf255 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/implement/xst.scr @@ -0,0 +1,9 @@ +run +-ifmt MIXED +-top b205_clk_gen_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-ofn b205_clk_gen_exdes +-keep_hierarchy soft +-equivalent_register_removal no +-max_fanout 65535 diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v new file mode 100755 index 000000000..a324a5983 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/b205_clk_gen_tb.v @@ -0,0 +1,143 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*20) + COUNTER_RESET = 0; + + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + #( + .TCQ (TCQ) + ) dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl new file mode 100755 index 000000000..0c370db66 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simcmds.tcl @@ -0,0 +1,8 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..63b151629 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.bat @@ -0,0 +1,59 @@ +REM file: simulate_isim.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +vlogcomp -work work %XILINX%\verilog\src\glbl.v +vlogcomp -work work ..\..\..\b205_clk_gen.v +vlogcomp -work work ..\..\example_design\b205_clk_gen_exdes.v +vlogcomp -work work ..\b205_clk_gen_tb.v + +REM compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +REM run the simulation script +.\b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..9ea0bb115 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_isim.sh @@ -0,0 +1,61 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# lin64 +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../../b205_clk_gen.v +vlogcomp -work work ../../example_design/b205_clk_gen_exdes.v +vlogcomp -work work ../b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L unisims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..74786e3eb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.bat @@ -0,0 +1,61 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM set up the working directory +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\..\b205_clk_gen.v +vlog -work work ..\..\example_design\b205_clk_gen_exdes.v +vlog -work work ..\b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..2a9f3b03c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -t ps -voptargs="+acc" -L unisims_ver work.b205_clk_gen_tb work.glbl +do wave.do +log b205_clk_gen_tb/dut/counter +log -r /* +run 50000ns diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..0fe952789 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../../b205_clk_gen.v +vlog -work work ../../example_design/b205_clk_gen_exdes.v +vlog -work work ../b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0ca92d135 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,62 @@ +#/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../../b205_clk_gen.v +ncvlog -work work ../../example_design/b205_clk_gen_exdes.v +ncvlog -work work ../b205_clk_gen_tb.v + +# elaborate and run the simulation +ncelab -work work -access +wc work.b205_clk_gen_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.b205_clk_gen_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..13f45cebf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time +vlogan -sverilog \ + ${XILINX}/verilog/src/glbl.v \ + ../../../b205_clk_gen.v \ + ../../example_design/b205_clk_gen_exdes.v \ + ../b205_clk_gen_tb.v + +# prepare the simulation +vcs +vcs+lic+wait -debug b205_clk_gen_tb glbl + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..d125f20f1 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/ucli_commands.key @@ -0,0 +1,5 @@ +call {$vcdpluson} +call {$vcdplusmemon(b205_clk_gen_tb.dut.counter)} +run +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..eedc9fa49 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/vcs_session.tcl @@ -0,0 +1,18 @@ +gui_open_window Wave +gui_sg_create b205_clk_gen_group +gui_list_add_group -id Wave.1 {b205_clk_gen_group} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.test_phase} +gui_set_radix -radix {ascii} -signals {b205_clk_gen_tb.test_phase} +gui_sg_addsignal -group b205_clk_gen_group {{Input_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.CLK_IN1} +gui_sg_addsignal -group b205_clk_gen_group {{Output_clocks}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.clk} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.clk +gui_sg_addsignal -group b205_clk_gen_group {{Status_control}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.RESET} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.LOCKED} +gui_sg_addsignal -group b205_clk_gen_group {{Counters}} -divider +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.COUNT} +gui_sg_addsignal -group b205_clk_gen_group {b205_clk_gen_tb.dut.counter} +gui_list_expand -id Wave.1 b205_clk_gen_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do new file mode 100755 index 000000000..4549dbf6e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.do @@ -0,0 +1,60 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +add wave -noupdate -format Literal -radix ascii /b205_clk_gen_tb/test_phase +add wave -noupdate -divider {Input clocks} +add wave -noupdate -format Logic /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate -divider {Output clocks} +add wave -noupdate -format Literal -expand /b205_clk_gen_tb/dut/clk +add wave -noupdate -divider Status/control +add wave -noupdate -format Logic /b205_clk_gen_tb/RESET +add wave -noupdate -format Logic /b205_clk_gen_tb/LOCKED +add wave -noupdate -divider Counters +add wave -noupdate -format Literal -radix hexadecimal /b205_clk_gen_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal -expand /b205_clk_gen_tb/dut/counter diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv new file mode 100755 index 000000000..a785ec556 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/functional/wave.sv @@ -0,0 +1,119 @@ +# file: wave.sv +# +# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# Get the windows set up +# +if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { + window geometry "Design Browser 1" 1054x819+536+322 +} +window target "Design Browser 1" on +browser using {Design Browser 1} +browser set \ + -scope nc::b205_clk_gen_tb +browser yview see nc::b205_clk_gen_tb +browser timecontrol set -lock 0 + +if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { + window geometry "Waveform 1" 1010x600+0+541 +} +window target "Waveform 1" on +waveform using {Waveform 1} +waveform sidebar visibility partial +waveform set \ + -primarycursor TimeA \ + -signalnames name \ + -signalwidth 175 \ + -units ns \ + -valuewidth 75 +cursor set -using TimeA -time 0 +waveform baseline set -time 0 +waveform xview limits 0 20000n + +# +# Define signal groups +# +catch {group new -name {Output clocks} -overlay 0} +catch {group new -name {Status/control} -overlay 0} +catch {group new -name {Counters} -overlay 0} + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.CLK_IN1}]] + +group using {Output clocks} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.clk[1]} \ + {b205_clk_gen_tb.dut.clk[2]} \ {b205_clk_gen_tb.dut.clk[3]} +group using {Counters} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {b205_clk_gen_tb.dut.counter[1]} \ + {b205_clk_gen_tb.dut.counter[2]} \ {b205_clk_gen_tb.dut.counter[3]} +group using {Status/control} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {nc::b205_clk_gen_tb.RESET} {nc::b205_clk_gen_tb.LOCKED} + + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.COUNT} ]] + +set id [waveform add -signals [list {nc::b205_clk_gen_tb.test_phase} ]] +waveform format $id -radix %a + +set groupId [waveform add -groups {{Input clocks}}] +set groupId [waveform add -groups {{Output clocks}}] +set groupId [waveform add -groups {{Status/control}}] +set groupId [waveform add -groups {{Counters}}] diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v new file mode 100755 index 000000000..04a2cf036 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/b205_clk_gen_tb.v @@ -0,0 +1,157 @@ +// file: b205_clk_gen_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge LOCKED) + +module b205_clk_gen_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 25.0*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [3:1] COUNT; + // Status and control signals + reg RESET = 0; + wire LOCKED; + reg COUNTER_RESET = 0; +wire [3:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + reg [13:0] timeout_counter = 14'b00000000000000; + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + $display ("Timing checks are not valid"); + COUNTER_RESET = 0; + test_phase = "reset"; + RESET = 1; + #(PER1*6); + RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*19.5) + COUNTER_RESET = 0; + #(PER1*1) + $display ("Timing checks are valid"); + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + + always@(posedge CLK_IN1) begin + timeout_counter <= timeout_counter + 1'b1; + if (timeout_counter == 14'b10000000000000) begin + if (LOCKED != 1'b1) begin + $display("ERROR : NO LOCK signal"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + end + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + b205_clk_gen_exdes + dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT), + // Status and control signals + .RESET (RESET), + .LOCKED (LOCKED)); + + +// Freq Check + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file new file mode 100755 index 000000000..755ed5bcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/sdf_cmd_file @@ -0,0 +1,2 @@ +COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", +SCOPE = b205_clk_gen_tb.dut; diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl new file mode 100755 index 000000000..8d4b92771 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simcmds.tcl @@ -0,0 +1,9 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /b205_clk_gen_tb -l 0 +wave add / +run 50000ns +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..45cf4fe5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_isim.sh @@ -0,0 +1,62 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../implement/results/routed.v +vlogcomp -work work b205_clk_gen_tb.v + +# compile the project +fuse work.b205_clk_gen_tb work.glbl -L secureip -L simprims_ver -o b205_clk_gen_isim.exe + +# run the simulation script +./b205_clk_gen_isim.exe -tclbatch simcmds.tcl -sdfmax /b205_clk_gen_tb/dut=../../implement/results/routed.sdf + +# run the simulation script +#./b205_clk_gen_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..51d1d37d6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.bat @@ -0,0 +1,59 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM +# set up the working directory +set work work +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\implement\results\routed.v +vlog -work work b205_clk_gen_tb.v + +REM run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..a984ba095 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl +#do wave.do +#log -r /* +run 50000ns + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..379eb7600 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work b205_clk_gen_tb.v + +# run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax b205_clk_gen_tb/dut=../../implement/results/routed.sdf +no_notifier work.b205_clk_gen_tb work.glbl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..8b73dc5c6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,64 @@ +#!/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../implement/results/routed.v +ncvlog -work work b205_clk_gen_tb.v + +# elaborate and run the simulation +ncsdfc ../../implement/results/routed.sdf + +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.b205_clk_gen_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.b205_clk_gen_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..7ead02584 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time + vlogan -sverilog \ + b205_clk_gen_tb.v \ + ../../implement/results/routed.v + + +# prepare the simulation +vcs -sdf max:b205_clk_gen_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug b205_clk_gen_tb.v ../../implement/results/routed.v + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..0548d1733 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/ucli_commands.key @@ -0,0 +1,5 @@ + +call {$vcdpluson} +run 50000ns +call {$vcdplusclose} +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..1438f6bed --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/vcs_session.tcl @@ -0,0 +1 @@ +gui_open_window Wave diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do new file mode 100755 index 000000000..440a8384f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen/simulation/timing/wave.do @@ -0,0 +1,72 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /b205_clk_gen_tb/CLK_IN1 +add wave -noupdate /b205_clk_gen_tb/COUNT +add wave -noupdate /b205_clk_gen_tb/LOCKED +add wave -noupdate /b205_clk_gen_tb/RESET +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} +configure wave -namecolwidth 238 +configure wave -valuecolwidth 107 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt new file mode 100644 index 000000000..2028a2ab7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_flist.txt @@ -0,0 +1,54 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +b205_clk_gen/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_readme.txt +b205_clk_gen/doc/clk_wiz_v3_6_vinfo.html +b205_clk_gen/doc/pg065_clk_wiz.pdf +b205_clk_gen/example_design/b205_clk_gen_exdes.ucf +b205_clk_gen/example_design/b205_clk_gen_exdes.v +b205_clk_gen/example_design/b205_clk_gen_exdes.xdc +b205_clk_gen/implement/implement.bat +b205_clk_gen/implement/implement.sh +b205_clk_gen/implement/planAhead_ise.bat +b205_clk_gen/implement/planAhead_ise.sh +b205_clk_gen/implement/planAhead_ise.tcl +b205_clk_gen/implement/planAhead_rdn.bat +b205_clk_gen/implement/planAhead_rdn.sh +b205_clk_gen/implement/planAhead_rdn.tcl +b205_clk_gen/implement/xst.prj +b205_clk_gen/implement/xst.scr +b205_clk_gen/simulation/b205_clk_gen_tb.v +b205_clk_gen/simulation/functional/simcmds.tcl +b205_clk_gen/simulation/functional/simulate_isim.bat +b205_clk_gen/simulation/functional/simulate_isim.sh +b205_clk_gen/simulation/functional/simulate_mti.bat +b205_clk_gen/simulation/functional/simulate_mti.do +b205_clk_gen/simulation/functional/simulate_mti.sh +b205_clk_gen/simulation/functional/simulate_ncsim.sh +b205_clk_gen/simulation/functional/simulate_vcs.sh +b205_clk_gen/simulation/functional/ucli_commands.key +b205_clk_gen/simulation/functional/vcs_session.tcl +b205_clk_gen/simulation/functional/wave.do +b205_clk_gen/simulation/functional/wave.sv +b205_clk_gen/simulation/timing/b205_clk_gen_tb.v +b205_clk_gen/simulation/timing/sdf_cmd_file +b205_clk_gen/simulation/timing/simcmds.tcl +b205_clk_gen/simulation/timing/simulate_isim.sh +b205_clk_gen/simulation/timing/simulate_mti.bat +b205_clk_gen/simulation/timing/simulate_mti.do +b205_clk_gen/simulation/timing/simulate_mti.sh +b205_clk_gen/simulation/timing/simulate_ncsim.sh +b205_clk_gen/simulation/timing/simulate_vcs.sh +b205_clk_gen/simulation/timing/ucli_commands.key +b205_clk_gen/simulation/timing/vcs_session.tcl +b205_clk_gen/simulation/timing/wave.do +b205_clk_gen.asy +b205_clk_gen.gise +b205_clk_gen.ucf +b205_clk_gen.v +b205_clk_gen.veo +b205_clk_gen.xco +b205_clk_gen.xdc +b205_clk_gen.xise +b205_clk_gen_flist.txt +b205_clk_gen_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl new file mode 100755 index 000000000..9b1f239ac --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/b205_clk_gen_xmdf.tcl @@ -0,0 +1,140 @@ +# The package naming convention is _xmdf +package provide b205_clk_gen_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::b205_clk_gen_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::b205_clk_gen_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name b205_clk_gen +} +# ::b205_clk_gen_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::b205_clk_gen_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/b205_clk_gen_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path b205_clk_gen_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module b205_clk_gen +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy new file mode 100644 index 000000000..a0153d32c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.asy @@ -0,0 +1,9 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_icon +RECTANGLE Normal 32 32 544 864 +LINE Wide 576 112 544 112 +PIN 576 112 RIGHT 36 +PINATTR PinName control0[35:0] +PINATTR Polarity BOTH + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.constraints/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc new file mode 100644 index 000000000..1beaa38c9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0`47=*981;86>?00684565<2:;<9:4012;0>6799>0<=>01684466<2:9<=:405230>6?89;0=95>0127?40688198618:7GAOTV9GJHSZFF;0>;50?3801=389::75:4812355=?89;3<=>?0133456b3KYHMCQ\P@PWEK033K_MK55MUR]JJCI63J=0OL^[USG1?FC6:2ICINEPLHAFJVCX\PZN>6MF4:AOO5024;eEHF]XD@=2>2?a8GJHSZFF;0<=1c:ALJQTHD96:83m4CNLWVJJ748?5o6M@NUPLH5:6>7i0OB@[RNN38419k2IDBY\@L1>2<;eEHF]XD@=2>>b9@KKRUGE:7>=0l;BMMPWIK858:2n5LOOVQKI6;:;4h7NAATSMO49446j1HCCZ]OM2?618d3JEEX_AC0=06:f=DGG^YCA>327<`?FII\[EG<1<8>b9@KKRUGE:7>50l;BMMPWIK85822o5LOOVQKI6;:7i0OB@[RNN38669k2IDBY\@L1>05;eEHF]XD@=2<3?a8GJHSZFF;0>:1e:ALJQTHD96897>1c:ALJQTHD96893l4CNLWVJJ74:4i7NAATSMO4929j2IDBY\@L1>6:g=DGG^YCA>36?`8GJHSZFF;0:0m;BMMPWIK8525n6M@NUPLH5:>68>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O>6JF4:FQGN2;H08M540@XZ>4:NVP727@[WF478IP^A>;1E<:5AEUULVN0V03Y$=4iQC4:RBVQg;5Z0.W\MFII'@URI^QMSBCM*PYE[&cO^NEPLNABH7d<]9%^SDM@N.W\GHB(OV^J^JK[[02^*LYIM9$^SYO]GDV1f>S7'\UBOB@ U^AN@*AX\HXLIYU>1\,J[KC7&\U_M_IJT3`8Q5)RW@IDB"[PCLF,CZRFZNO_W<V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6=T$BSH_?.T]WEWAB\;k0Y=!Z_HALJ*SXKDN$KRZNRFGW_3[)AVDN<#[PT@PDAQ4f3\:$YRGLOO-V[FKC'NU_M_IJTZ5^*LYIM9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU7]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P5P F_OG3*PYSI[MNX?m4U1-V[LEHF&_TO@J U^ALIHGILVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMNIDHCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOLOBJAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@MLCM@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q2Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFXNSDJPSDN,M1(AAR:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8:V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8;V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS88V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS89V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8>V"XQCUU0g?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8?V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDG[OTEIQ\EM-J0+@NS8W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHZLUBHR]JL.K7*CO\:T$^SA[[2b9V4*SXAJEE#XQLME-V[FIUMVCOS^KC/H6-BL]4U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJTBW@NT_HB I5,EM^2Z&\UGYYn5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY4Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ:^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[8_-QZJR\8=0Y=!Z_HALJ*SXKDN$YR]JL^l2f>S7'\UBOB@ U^AN@*SX\HXLIYQLE048Q5)RW@IDB"[PCLF,mVCKWg;i7X> U^K@KK)RWJGO#dZNRFGW84699k1^<"[PIBMM+PYDEM%bXL\HEU>25;7f3\:$YRGLOO-V[FKC'`^J^JK[<9<2e>S7'\UBOB@ U^AN@*oSI[MNX1711`9V4*SXAJEE#XQLME-jPDT@M]UHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX4X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ33Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ32Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ31Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ37Y+SXDJO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SX@JO9h6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ36Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ3^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[0_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT2\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU=]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV=R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW>S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP8P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ?Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR?V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCST$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]1U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^1Z&\UCOHn5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY;Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ;^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[8_-QZJDM8o0Y=!Z_HALJ*SXK]XGSB[[/T]@IAAUX9;n7X> U^K@KK)RWJ^Y@RAZT.W\GHB@ZY;9=6[?/T]JGJH(]VI_^AQ@UU-V[AGSIV\J@DJ=8:W3+PYNKFD$YRM[RM]LQQ)nKFGFMCJPGSR\W@J;87837X> U^K@KK)RWJ^Y@RAZT.k@KHKFFMUL^]Q\EM>2:76<]9%^SDM@N.W\GQTKWF__#dJNT@]UEIOC:81^<"[PIBMM+PYT\H^$JR][AUY3Y+SX[]K_><5Z0.W\MFII'\UXXLZ F^QWEQ]6U'_T_YO[209V4*SXAJEE#XQ\T@V,BZUSI]Q9Q#[PSUCW64=R8&_TENAA/T]PPDR(NVY_MYU<]/W\WQGS9h1^<"[PIBMM+PYT\H^$YRMBDFPS44b<]9%^SDM@N.W\WQGS'\UOMYOPV@NJ@4g<]9%^SDM@N.W\WQGS'\UXXLZLME3`?P6(]VCHCC!Z_RVBP*SX[]K_O@JPn338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>2:77<]9%^SDM@N.W\WQGS'\UXXLZPCOV,GL:56;;0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(K@682??4U1-V[LEHF&_T_YO[/T]PPDRXKG^$OD2;>338Q5)RW@IDB"[PSUCW+PYT\H^TOCZ CH>6:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,@969:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)C4849<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&N7>3328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ D=6=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-G8085k2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\8T$LbE Z_LW[G\403\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]7U'_TJI\J279V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[1_-QZJR\;20Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"XQWOSAZ6f=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_4[)OgB%YRCZXB[13>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX5X(RWONYI?84U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV?R.T]OQQ4?3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY3a8Q5)RW@IDB"[PSUCW+PYT\H^TOCZ GZ0^*BhO&\UFYUMV269V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[3_-QZ@CZL8=7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ9Q#[PLTV1<>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(RWQEYOT2_;#XQFCNL,QZUSI]%^S^ZNT^AMP*A\;T$^SA[[299V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS9o6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP8P HnI,V[HS_KP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ?Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_1[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.T][KWE^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S=5Z0.W\MFII'\UXXLZ U^QWEQYDF]%X0?0=0:W3+PYNKFD$YR][AU-V[VRF\VIEX"]33?03?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/R>7:76<]9%^SDM@N.W\WQGS'\UXXLZPCOV,W9399j1^<"[PIBMM+PYT\H^$YR][AU]KMBN6j2_;#XQFCNL,QZUSI]%^S^ZNT^NLS40<]9%^SDM@N.W\WQGS'\U_HB?m;T2,QZODGG%^S^ZNT.W\PAIXflr=h5Z0.W\MFII'\UXXLZ iBOG[BTW8VYN@5=i5Z0.W\MFII'\UXXLZ iRVBPZEI\5?5=o5Z0.W\MFII'\UXXLZ iRVBPZNNOA;j7X> U^K@KK)RWZ^JX"g\T@V\HJQ6i2_;#XQFCNL,QZUSI]%bXIAPndzw6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX4X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ3^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT2\,J[KC7&\UMH_o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP8P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR?V"DQAE1,V[CBU:k1^<"[PIBMM+PYTQGI$KR]VNB]TKWB\>T$BSH_?.T]E@W7e3\:$YRGLOO-V[V_IK&_TKBZPSXL@5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]K5a=R8&_TENAA/T]P]KE(]VMDXR]VNB]O52=R8&_TENAA/T]P]KE(]VYRBN?j;T2,QZODGG%^S^WAC.W\mAGSIVIFHR`>c:W3+PYNKFD$YR]VNB-j@DRFWJGOSc?n;T2,QZODGG%^S^WAC.kDKQYTQGI:j6[?/T]JGJH(]VYRBN!fGNV\W\HDWACLDe:W3+PYNKFD$YR]VNB-jW\HDW^EYH1?11d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2=>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J33?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<5<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=7=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>5:45<]9%^SDM@N.W\PAOXzlm8<6[?/T]JGJH(]V^OCRCZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD=S7'\UBOB@ iBMNIDHCWZOG0=0>a:W3+PYNKFD$eNABM@LG[VCK48:5=l5Z0.W\MFII'`IDA@OAD^QFH97668k0Y=!Z_HALJ*oDGDGJBIQ\EM>26;7f3\:$YRGLOO-jGJKJIGNT_HB312<2e>S7'\UBOB@ iBMNIDHCWZOG0<:11`9V4*SXAJEE#dM@MLCM@ZUBD5;>2<74U1-V[LEHF&cHC@CNNE]PAI:66830Y=!Z_HALJ*oDGDGJBIQ\EM>1:4?<]9%^SDM@N.k@KHKFFMUXIA2<>0;8Q5)RW@IDB"gLOLOBJAYTME6?2<74U1-V[LEHF&cHC@CNNE]PAI:26830Y=!Z_HALJ*oDGDGJBIQ\EM>5:4?<]9%^SDM@N.k@KHKFFMUXIA28>0;8Q5)RW@IDB"gLOLOBJAYTME632<74U1-V[LEHF&cHC@CNNE]PAI:>68?0Y=!Z_HALJ*oDG[OTEI2?>078Q5)RW@IDB"gLOSG\MA:668?0Y=!Z_HALJ*oDG[OTEI2=>078Q5)RW@IDB"gLOSG\MA:46830Y=!Z_HALJ*oDG[OTEIQ\EM>3:4g<]9%^SDM@N.k@KWCXAMUXIA2>5?30?P6(]VCHCC!fD@VB[FKC9<1^<"[PIBMM+lBF\HUHAIQaf:W3+PYNKFD$eI\LKd9V4*SXAJEE#d]JL028Q5)RW@IDB"g\EM]mb>S7'\UBOB@ iR[MG`=R8&_TENAA/hVGM`=R8&_TENAA/hVGK40<]9%^SDM@N.kW@JYQMJ6:93?<;T2,QZODGG%bXIAPndzw`>S7'`YCEKZPOTVf?P6(a\ZOMYKPOTV5?PVCI]Oh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}m4_^][HKKXWV;;SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY26X(RWEIN?n5P_^ZOJHYXW8;TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ30Y+SXDJO8o6QP_YNMIZYX9;UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[06^*PYKKL9h7RQPXMLN[ZY6;VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT14_-QZJDM:h0SRQWLOO\[Z73WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>]/W\HFC4j2UTSUBAM^]\50YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW?S!U^N@A6dYXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\<BN^T0\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR?7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]2U'_T@NKl4_^][HKKXWV;TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ31Y+SXD\^8n6QP_YNMIZYX:9UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[6_-QZJDM:h0SRQWLOO\[Z46WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU7]/W\HFC4j2UTSUBAM^]\67YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW4S!U^N@A7bYXWQFEARQP3^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\0T$^SA[[3`9\[Z^KFDUTS9QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_3[)]VF^X>o4_^][HKKXWV?TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ6^*PYK]]9j7RQPXMLN[ZY1WVU^<"[PIBMM+PYDEM%^SNABM@LG[VCK'@>%JDU=]/W\HPR4i2UTSUBAM^]\3ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP

R.G]@IA]69T$^SAMJd:cp}keXllidhh|6;`qzjfYj}qo0oegsbmsaZodgg8m7nffpralt`Ynkfd'oegsbmsaZodggU}=R>9_`.MKKC+FFDN?;o4cikswfiwmVchcc"lhhrpgjvbW`idbRx>_14\e)}dW|ynSckx_qcqw`t:8%iTtnaPlhqw9KsjjlxTOb`{rnn\Tjts{4;0Y~kPEyv\Fveff4lj`~k4Urgq[VeffVIcmd`2URGQ5>CiikfnSGzng^@vbb;sz|o0Y~kPUowpaaYE{jke1kocsd9Vw`YTgo~inoa=gcow`*dWqnnzdmjf`ojh|;txhxmc8#c^alv`Ysqyo6=!mPm`hlvZpbzzcdb0?;,b]nmkiuWoydaa=7.`[gsndmUyi{g|inl>4)eXkfxnS`oeos]uaw;6$jUhckPmhllvZpbz48'oRm`rd]nmkiuWhf{dlQyes?:3)eXeomTei3>,b]vw`Ye}ox60>#c^wpaZehf}xd`>3?,b]vw`Ydgg~yca:20-a\qvcXkfd~bb:=1.`[pubWjeexac6<2/gZstmVidby|`l6?3(fYr{lUhccz}om:>4)eX}zoTob`{rnn:95*dW|ynSnaatsmo55;7$jU~hQloovqki7659&hSx}j_bmmpwik9;7; nQzsd]`kkruge;81="l_tqf[fii|{eg=93?,b]vw`Ybp}Uinoa=1.`[pubW|d~hjPbrabj86+kVxiRv|t^v`oZqhzbkycx`k=0.`[`~feyfnSik|ifl>bdjtm%iTob`|tscjjqYsqyo6iuzjroco(fYr{lxTnoa_bjbmk;6$t997nffpralt`YnkfdTz2ixS`{w8:ap[hs9?k0enaa_cq`ek`;ya5wi~0=$llk96;-2CDu2712JKt4}T=j0:44475;3072>?n38>4v`>8682?k7?03<0(<69:0:3?xU4=3;3576::0103=>a2;?27i?n7;295?7|[=3=9:9<45h524:8 41a2><0(5851c48f4g029098767:6dxL41c3-3j64}6=3w/=5;51`c8 77=9h20(8l51`;8 <5=82.2>7?7a:)60?6=,1219?5a86814>=,=:0;6)67:408j=1=821 9<4?:%:;>04!>?2<80b5952:9(0c<72-2368<4n9597>=,04!>?2<80b5956:9(0d<72-2368<4n9593>=,<00;6)67:408j=1=021 854?:%:;>04!>?2<80b595a:9(03<72-2368<4n959f>=,<<0;6)67:408j=1=k21 894?:%:;>04!>?2<80b595e:9(07<72-2368<4n959b>=,<90;6)67:408j=1=9910'>h50;&;5<#010>>6`77;31?>-4l3:1(565539m<2<6;21 ?n4?:%:;>045$9:917=i0>0:;65$3983>!>?2<80b5951998/61=83.347;=;o:4>4?<3"?j6=4+89866>h??3;j76%:9;29 =>==;1e4:4>b:9(1=<72-2368<4n9595f=<#<=1<7*78;71?k>028n07&;9:18'<=<2:2d3;7?j;:)61?6=,1219?5a8682b>=,5<#010>>6`77;00?>d60=0;6<4?:1y'=d<6?l1C=5<4H05g?j>32900qo?73;295?6=8r.2m788;I3;6>N6?m1d:;4?::a=f<72;0;6=u+9`82g>N60;1C=:j4$9195g3>{e1k0;6o4?:1y'=d<6j2B:4?5G16f8j27=92d<>7>4o8394?=h1>0;66a7e;29?j?12900c<9l:188k=b=831d5=4?::k:26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<87>54;294~">i3<87E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j1a<722e3n7>5;|`217<72=0;6=u+9`857>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9l4=n9l0;66g<4;29?l3c2900c5l50;9~ff>=8381<7>t$8c935=O9180D<9k;%:0>4d23`<36=44o9094?=zjj31<7=50;2x 428h>7)8i:048 =?=90i0e;750;9j2d<722e3>7>5;|`b0?6==3:1o0:7d?j:188m76=831b?94?::k6`?6=3f2i6=44}cc6>5<2290;w)7n:708L4>53A;"1n3;0e5;h7g>5<0<729q/5l492:J2<7=O9>n0(5=51c78 3`=92c:i7>5;h03>5<1<75f5e83>>i?j3:17pl6e;291?6=8r.2m78=;I3;6>N6?m1/4>4>b49'2c<63`;n6=44i3294?=n;=0;66g:d;29?j>e2900qo7i:186>5<7s-3j6;<4H0:1?M70l2.3?7?m5:&5b?7>o4<3:17d;k:188k=d=831vnl>50;794?6|,0k1:?5G1908L41c3-2864=n9l0;66g=0;29?l532900e8j50;9l5}#1h0=>6F>839K52b<,191=o;4$7d95>o6m3:17d7>55;294~">i3<97E?72:J23a=#0:0:n85+6g82?l7b2900e?>50;9j71<722c>h7>5;n:a>5<"?;3;i96*9f;38m4c=831b>=4?::k00?6=3`?o6=44o9`94?=zjho1<7;50;2x 428h>7)8i:0;8 =?=91h0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:018 =?=90<0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:d9'<<<61m1b:44?::k5e?6=3`0<729q/5l489:J2<7=O9>n0(5=51c78 3`=l2.357?6d:k5=?6=3`j0;66a72;29?xde?3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4l;%::>4?b3`<26=44i7c94?=n>k0;66g9c;29?j>52900qol9:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?g<,131=4k4i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~fg3=83?1<7>t$8c93<=O9180D<9k;%:0>4d23-00;66g9a;29?l0e2900e;m50;9l<7<722win94?:483>5}#1h0<56F>839K52b<,191=o;4$7d9<>"?13;2j6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`a7?6==3:1o0<7)66:0c3?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;48 =?=9h:0e;750;9j2d<722c=n7>5;h4`>5<a09j2<<722c=m7>5;h4a>5<>{ej90;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h54:&;=?7f92c=57>5;h4b>5<>i?:3:17plnf;291?6=8r.2m796;I3;6>N6?m1/4>4>b49'2c<43`<26=44i7c94?=n>k0;66g9c;29?j>52900qoo7:186>5<7s-3j6:74H0:1?M70l2.3?7?m5:&5b?4>o1j3:17d8l:188k=4=831vnok50;794?6|,0k1;45G1908L41c3-2864?>o1j3:17d8l:188k=4=831vnoj50;794?6|,0k1;45G1908L41c3-28641>o1j3:17d8l:188k=4=831vnom50;794?6|,0k1;45G1908L41c3-28645>o1j3:17d8l:188k=4=831vnol50;794?6|,0k1;45G1908L41c3-28646>o1j3:17d8l:188k=4=831vnoo50;794?6|,0k1;45G1908L41c3-286`=n>00;66g9a;29?l0e2900e;m50;9l<7<722win44?:483>5}#1h0<56F>839K52b<,191=o;4$7d9`>o113:17d8n:188m3d=831b:n4?::m;6?6=3thh;7>55;294~">i3=27E?72:J23a=#0:0:n85+6g8`?l0>2900e;o50;9j2g<722c=o7>5;n:1>5<30D<6=;I34`>"?;3;i96*9f;c8m3?=831b:l4?::k5f?6=3`428h>7)8i:89j2<<722c=m7>5;h4a>5<>{ek=0;684?:1y'=d<012B:4?5G16f8 =5=9k?0(;h58:k5=?6=3`j0;66a72;29?xdd;3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k48;h4:>5<>o1k3:17b6=:188yge5290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j784i7;94?=n>h0;66g9b;29?l0d2900c5<50;9~ff7=83?1<7>t$8c93<=O9180D<9k;%:0>4d23->o1i3:17d8m:188m3e=831d4?4?::ag5<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l186g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`ab?6==3:1o087d86:188m3g=831b:o4?::k5g?6=3f296=44}c`;>5<2290;w)7n:6;8L4>53A;"1n380e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h80e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90:0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90;0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9080e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9090e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90?0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=90=0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9020e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9030e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h90e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=9h>0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91i0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91n0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91o0e;750;9j2d<722c=n7>5;h4`>5<428h>7)8i:0;8 =?=91l0e;750;9j2d<722c=n7>5;h4`>5<53;294~">i3=37E?72:J23a=#0:0:n85+6g8e?!>>283j7d86:188m3g=831d4?4?::ab7<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=g=n>00;66g9a;29?j>52900qo?=9;290?6=8r.2m79k;I3;6>N6?m1/4>4>b49j2<<722c=m7>5;h:e>5<5<3290;w)7n:6a8L4>53A;o113:17d8n:188m=`=831d4?4?::a570=83>1<7>t$8c93f=O9180D<9k;%:0>4d23`<26=44i7c94?=n0o0;66a72;29?xda>3:197>50z&:e?1>3A;3>6F>7e9'<6<6j<1/:k4m;h4:>5<>o1k3:17b6=:188yg`0290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j78?;h4:>5<>o1k3:17b6=:188yg`?290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?;;h4:>5<>o1k3:17b6=:188yg`>290>6=4?{%;b>2?<@8297E?8d:&;7?7e=2.=j7?>;h4:>5<>o1k3:17b6=:188yg`c29096=4?{%;b>26<@8297E?8d:&;7?7e=2c=47>5;n:1>5<20D<6=;I34`>"?;3;i96*9f;d8m3?=831b:l4?::m;6?6=3thmo7>55;294~">i3=27E?72:J23a=#0:0:n85+6g82=>o113:17d8n:188m3d=831b:n4?::m;6?6=3thmn7>53;294~">i3=37E?72:J23a=#0:0:n85+6g82<>"?13;2n6g99;29?l0f2900c5<50;9~f44?290?6=4?{%;b>35<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n:90;66g:d;29?j>e2900qo??c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:<44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>0;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>4;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=<4?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>8;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=84?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>c;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:=44?:583>5}#1h0839K52b<,191=o;4i3094?=n=l0;66g7f;29?j132900qo?>f;297?6=8r.2m7?71:J2<7=O9>n0(5=51c78m74=831b;>4?::m;6?6=3th:5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd68o0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`256<72;0;6=u+9`843>N60;1C=:j4$9195g3<,?l1=?5f6883>>i?:3:17pl>1683>7<729q/5l487:J2<7=O9>n0(5=51c78 3`=9;1b:44?::m;6?6=3th:=o4?:383>5}#1h0<;6F>839K52b<,191=o;4$7d957=n>00;66a72;29?xd69l0;6?4?:1y'=d<0?2B:4?5G16f8 =5=9k?0(;h5139j2<<722e3>7>5;|`24d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`24`<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`257<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`253<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25d<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`25a<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`201<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1o6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`207<72:0;6=u+9`84<>N60;1C=:j4$9195g3<,?l1=55+8882=f=n>00;66g9a;29?j>52900qo?;6;296?6=8r.2m79?;I3;6>N6?m1/4>4>b49j2=<722e3>7>5;|`206<72<0;6=u+9`84=>N60;1C=:j4$9195g3<,?l1h6g99;29?l0f2900e;l50;9j2f<722e3>7>5;|`200<72<0;6=u+9`851>N60;1C=:j4$9195g3<,?l1=6g>e;29?l472900e8j50;9j5;|`27g<72=0;6=u+9`850>N60;1C=:j4$9195g3<,?l1=6g>e;29?l3c2900e5m50;9lm50;694?6|,0k1:95G1908L41c3-2864=n9l0;66g:d;29?l>d2900c5l50;9~f45c290?6=4?{%;b>32<@8297E?8d:&;7?7e=2.=j7?4i0g94?=n=m0;66g7c;29?j>e2900qo?N6?m1/4>4>b49'2c<63`;n6=44i4f94?=n0j0;66a7b;29?xd6;o0;694?:1y'=d<1<2B:4?5G16f8 =5=9k?0(;h51:k2a?6=3`?o6=44i9a94?=h0k0;66sm15294?2=83:p(4o5659K5=4<@8=o7)6<:0`6?!0a281b=h4?::k6`?6=3`2h6=44o9`94?=zj8>:6=4;:183!?f2?>0D<6=;I34`>"?;3;i96*9f;38m4c=831b9i4?::k;g?6=3f2i6=44}c367?6=?3:1o113:17d8n:188m3d=831b:n4?::k5`?6=3`1<75<5sW?:70jn:908yv372909wS;?;=4m6=4={_6e?8b12180q~:j:181[2b34n?65<4}r6g>5<5sW>o70j=:908yv2e2909wS:m;=4j6=4={_6b?8cd2180q~:6:181[2>34oj65<4}r6;>5<5sW>370k7:908yv202909wS:8;=4=6=4={_65?8c32180q~:::181[2234o965<4}r67>5<5sW>?70k?:908yv242909wS:<;=496=4={_61?8b72180q~:?:181[2734no65<4}r1e>5<5sW9m70jm:908yv5b2909wS=j;=465<4}r1a>5<5sW9i70j<:908yv5f2909wS=n;=45<5sW9<70k6:908yv3f2909wS;n;=45<5sW?<70k>:908yv312909wS;9;=46=4={_76?8b62180q~:>:186[2634;>>76m;0b<58>:68j4=060>3e7>51ey]73=:1j03>63>5382a>;6==0:i63n3;3f?8g528o01l?51d9>e5<6m272j7?j;<;f>4c<5h=1=h52a782a>;f=3;n70o;:0g8944?28o01j:0g8946f28o01<:>:0g8942728o01<=i:0g8945b28o01<=k:0g8945d28o01<=m:0g8942228o0q~9j:18g87?<32?70?:2;03?872<38;70?=8;03?876l38;70?>a;03?876>38;70?>2;03?877m38;70??a;03?877138970??c;01?873=3?o7p};c;293~;60:0=:6P;c:?25<<2m27:=84:e:?254<2m27:=g<>92wx5i4?:3y>=g<2n272o787;|q234<72;q6=8;55e9>500=0;1v<9;:181872=39?70?:7;:1?xu6?<0;6>u2f385=>;aj3<270?;5;:a?xu6?>0;6?u214693cm7>54z?b534n:6;o4=e292d=:nj0=m6s|17394?5|5hl14?52dg85e>;cm36383>6}:j903>63j1;4b?8c72?k0q~?93;297~;e932970k<:7c89`4=>h1v<8;:1808d521801h;56`9>a1<1i2wx=;;50;1x9g5=0;16i:49a:?f2?0f3ty::;4?:2y>f13g53z?a1?>534oi6;o4=dc92d=z{8<36=4<{<`5>=4<5ln1:l52eb85e>{t9?31<7=t=c59<7=:mo0=m63je;4b?xu6=k0;6>u2a88;6>;c;3h16h;49a:p50c=839p1lm5839>`<<1i27o478n;|q21c<72:q6mi472:?gf?0f34nj6;o4}r354?6=;r7ji76=;3g<5mi1:l5rs04f>5<59r7i476=;3d<5mi1:o52dc85f>;ci3=>k16h:49b:?g2?0e34n>6;l4=e692g=:l:0=n63k2;4a?8ca2?h01hk56c9>aa<1j27no78m;3d<5lk1:o52e885f>;b03k16i849b:?f0?0e34o86;l4=d092g=:m80=n63j0;4a?8ba2?h01ik56c9>`4<1j27o<78m;|q22c<72;q6nh472:?eg?0e3ty::l4?:01x9d6=0k165k4:d:?a34hm6;74=b292<=:k80=563l2;4:?8e42?301n:5689>g0<1127h:786;3?<5k31:452b`85=>;ej3<270ll:7;89gb=>016nh499:?216<1k2wx=;l50;308g621h01l>55e9>f=<1i27ij78n;3g<5j;1:l52c385e>;d;3h16o;49a:?`3?0f34h26;o4=cc92d=:jk0=m63mc;4b?8dc2?k01ok56`9>505=>k1v<8l:1827~;f:32i70o>:4f89g>=>k16nk49b:?`4?0e34i:6;l4=b092g=:k:0=n63l4;4a?8e22?h01n856c9>g2<1j27i578m;<`b>3d<5kh1:o52bb85f>;el3g5<1k27h=78l;3e<5j91:n52c585g>;d=3j16n449c:?ae?0d34hi6;m4=ca92f=:jm0=o63me;4`?872;3<27p}>5983>1}:i=03n63i0;4:?8`62?301ko5689~w43>290>w0o::9`89d2==m16j=49a:?e5?0f34lj6;o4}r344?6=502==m16=8=5839~w4142908w0?:7;4;?8?e20:01n65699~w4112909w0?:2;7g?8?e20=0q~mn:18a8g42:>01l<5359>e4<4<27j<7=;;<;e>62<50o1?952a6800>;f>39?70o::2689d2=;=16o5472:pgc<72kq6m>4=0:?b6?4734k:6?>4=`2965=:1o09<636e;03?8g02;:01l85219>e0<5827j87=42z?b2?>e34k>68j4=`:92<=:io0=563m0;4:?8d62?301o<5689>f6<1127i8786;<`6>3?<5k<1:452b685=>;f13<270on:7;89dd=>016mn499:?b`?0>34kn6;74}raf>5<6:r7j;76m;0b<5h21:l52ag85e>;e83:7c89g4=>h16n>49a:?a0?0f34h>6;o4=c492d=:j>0=m63n9;4b?8gf2?k01ll56`9>ef<1i27jh78n;3g2z?:a?>e34k<68j4=`:92g=:io0=n63m0;4a?8d62?h01o<56c9>f6<1j27i878m;<`6>3d<5k<1:o52b685f>;f13k16mn49b:?b`?0e34kn6;l4}ra`>5<6:r72j76m;<;f>0b<5h21:n52ag85g>;e83:7a89g4=>j16n>49c:?a0?0d34h>6;m4=c492f=:j>0=o63n9;4`?8gf2?i01ll56b9>ef<1k27jh78l;3e1z?e5?>534nh6;m4=ec92f=:l10=o63k6;4`?8b32?i01i<56b9>a`<1k27no78l;3e<5l21:n52e785g>;b<3j16hh49c:?g4?0d3tym?7>510y>b53e<5m31:n52d685g>;c=3j16ii49c:?ff?0d34o26;m4=d592f=:m<0=o63j3;4`?8c62?i01ih56b9>`4<1k2wxj84?:33x9c4=0;16hi499:?gg?0>34ni6;74=ec92<=:l00=563k8;4:?8b02?301i85689>`0<1127o8786;3?<5m81:452eg85=>;bm3<270kk:7;89`e=>016io499:?fe?0>34o26;74=d:92<=:m>0=563j6;4:?8c22?301h:5689>a6<1127n>786;3?<5l:1:452dg85=>;cm3<270j>:7;89a6=>01v<=n:18187503?o70?=9;:1?xu6;00;6?u21359<7=:9;31:45rs01;>5<5s4;9:76=;<31=?0f3ty:>n4?:3y>b3:499:p57b=838p1k95839>571=>h1v<v3i9;:1?875>33083>2}:nm03>63>1e8;g>;69h03o63>178;g>;69;03o63>0d8;g>;68h03o6s|13c94?4|5oi1:n52f`8;6>{t9::1<7{t9:=1<7=t=00:>=`<58;o65l4=03f>3?54z?263:47f:?25db=<1k27m;78l;3e<58;=65l4=034>3?56z?e=?0e34l36;l4=g592g=:n?0=n63>138;f>;69:0=56s|12194?0|5o31:l52f985e>;a?3i:7;8yv74:3:1:v3i9;4:?8`?2?301k95689>b3<1127:l:908yv`b2908w0??d;01?876838970??9;57?xu68=0;6?u211g91a=:98:14?5rsgd94?5|58;:6?<4=037>74<58:o6::4}r331?6=:r7:=?4:d:?25150;1x94722;801>0q~??6;296~;69?0>h63>198;6>{t99;1<7=t=03:>74<58;h6?<4=036>2252z?25d<2l27:=n472:p554=838p12>>0q~??8;296~;69m0>h63>1g8;6>{t9;:1<7=t=02a>=4<58:265h4=02`>2553z?24c:9d894732>90q~?=3;297~;69>03>63>148;b>;6910=`<58;h6:=4}r311?6=:r7:=h472:?25c<0;2wx=9o50;0x94252?301<:;:908yv7303:1>v3>44814>;6<;03>6s|15594??|58>:65m4=063>=e<589m65m4=01f>=e<589o65m4=01`>=e<589i65m4=066>=e<58>=65<4}r37f?6=:r7:?o47b:?201<112wx=9m50;1x945d21h01<=m:4f894232?k0q~?;d;297~;6;m03n63>3b86`>;6<=0=n6s|15g94?5|589n65l4=01g>0b<58>?6;m4}r37b?6=;r7:?k47b:?27`<2l27:8>499:p506=839p1<:?:9`8945a2v3>428;6>;6<;0=m6s|14394?5|58>:65l4=063>0b<58>86;l4}|l04g<728qC=:j4}o13g?6=9rB:;i5rn22g>5<6sA;4}O9>n0qc=>0;295~N6?m1vb>?>:182M70l2we?<<50;3xL41c3td8=>4?:0yK52b51zJ23a=zf:;>6=4>{I34`>{i;8<1<7?tH05g?xh49>0;67e9~j67>290:wE?8d:m74g=83;pD<9k;|l05g<728qC=:j4}o12g?6=9rB:;i5rn23g>5<6sA;4}O9>n0qc==0;295~N6?m1vb><>:182M70l2we??<50;3xL41c3td8>>4?:0yK52b51zJ23a=zf:8>6=4>{I34`>{i;;<1<7?tH05g?xh4:>0;67e9~j64>290:wE?8d:m77g=83;pD<9k;|l06g<728qC=:j4}o11g?6=9rB:;i5rn20g>5<6sA2:7E6?;I34`>{i;;o1<7?tH05g?xh4:o0;6N6?m1vb>=>:182M>63A2;7E?8d:m764=83;pD<9k;|l076<728qC=:j4}o100?6=9rB:;i5rn216>5<6sA;4}O9>n0qc=<8;295~N6?m1vb>=6:182M70l2we?>o50;3xL41c3td8?o4?:0yK52b51zJ23a=zf:9o6=4>{I34`>{i;:o1<7?tH05g?xh4;o0;67e9~j626290:wE?8d:m714=83;pD<9k;|l006<728qC=:j4}o170?6=9rB:;i5rn266>5<6sA;4}O9>n0qc=;8;295~N6?m1vb>:6:182M70l2we?9o50;3xL41c3td88o4?:0yK52b51zJ23a=zf:>o6=4>{I34`>{i;=o1<7?tH05g?xh47e9~j636290:wE?8d:m704=83;pD<9k;|l016<728qC=:j4}o160?6=9rB:;i5rn276>5<6sA;4}O9>n0qc=:8;295~N6?m1vb>;6:182M70l2we?8o50;3xL41c3td89o4?:0yK52bo7>51zJ23a=zf:?o6=4>{I34`>{i;7e9~j606290:wE?8d:m734=83;pD<9k;|l026<728qC=:j4}o150?6=9rB:;i5rn246>5<6sA;4}O9>n0qc=98;295~N6?m1vb>86:182M70l2we?;o50;3xL41c3td8:o4?:0yK52b51zJ23a=zf:{I34`>{i;?o1<7?tH05g?xh4>o0;67e9~j616290:wE?8d:m724=83;pD<9k;|l036<728qC=:j4}o140?6=9rB:;i5rn256>5<6sA;4}O9>n0qc=88;295~N6?m1vb>96:182M70l2we?:o50;3xL41c3td8;o4?:0yK52b51zJ23a=zf:=o6=4>{I34`>{i;>o1<7?tH05g?xh4?o0;67e9~j6>6290:wE?8d:m7=4=83;pD<9k;|l0<6<728qC=:j4}o1;0?6=9rB:;i5rn2:6>5<6sA;4}O9>n0qc=78;295~N6?m1vb>66:182M70l2wvqpNOCz63=?548:?<;8sO@Cy3yEFWstJK \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf new file mode 100644 index 000000000..b83296f8e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.ucf @@ -0,0 +1,9 @@ +NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ; +TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ; +#Update Constraints +NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ; +NET "U0/iSHIFT_OUT" TIG ; +TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ; +TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ; +TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ; +TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v new file mode 100644 index 000000000..24282de22 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.v @@ -0,0 +1,27 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.v +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_icon( + CONTROL0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo new file mode 100644 index 000000000..094dbf8de --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.veo @@ -0,0 +1,28 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.6 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_icon.veo +// /___/ /\ Timestamp : Tue Apr 14 17:06:06 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_icon YourInstanceName ( + .CONTROL0(CONTROL0) // INOUT BUS [35:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco new file mode 100644 index 000000000..211cf181f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xco @@ -0,0 +1,56 @@ +############################################################## +# +# Xilinx Core Generator version 14.6 +# Date: Wed Apr 15 00:05:36 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a +# END Select +# BEGIN Parameters +CSET component_name=chipscope_icon +CSET constraint_type=external +CSET enable_jtag_bufg=true +CSET example_design=false +CSET number_control_ports=1 +CSET use_ext_bscan=false +CSET use_softbscan=false +CSET use_unused_bscan=false +CSET user_scan_chain=USER1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-06-08T17:28:33Z +# END Extra information +GENERATE +# CRC: b52cbe62 diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc new file mode 100644 index 000000000..903799425 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon.xdc @@ -0,0 +1,7 @@ +# icon XDC +create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] +create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}] +set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}] +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2 +set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1 +set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK} diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt new file mode 100644 index 000000000..bf55dc492 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_flist.txt @@ -0,0 +1,15 @@ +# Output products list for +chipscope_icon.asy +chipscope_icon.constraints/chipscope_icon.ucf +chipscope_icon.constraints/chipscope_icon.xdc +chipscope_icon.gise +chipscope_icon.ngc +chipscope_icon.ucf +chipscope_icon.v +chipscope_icon.veo +chipscope_icon.xco +chipscope_icon.xdc +chipscope_icon.xise +chipscope_icon_flist.txt +chipscope_icon_readme.txt +chipscope_icon_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt new file mode 100644 index 000000000..304eb5252 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'chipscope_icon' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_icon.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_icon.constraints/chipscope_icon.ucf + * chipscope_icon.constraints/chipscope_icon.xdc + * chipscope_icon.ngc + * chipscope_icon.ucf + * chipscope_icon.v + * chipscope_icon.veo + * chipscope_icon.xdc + * chipscope_icon_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_icon.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * chipscope_icon.gise + * chipscope_icon.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_icon_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_icon_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl new file mode 100755 index 000000000..241f4d5ae --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_icon_xmdf.tcl @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide chipscope_icon_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_icon_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_icon_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_icon +} +# ::chipscope_icon_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_icon_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.constraints/chipscope_icon.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xcf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_icon_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_icon +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy new file mode 100644 index 000000000..4b7848a2a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 chipscope_ila +RECTANGLE Normal 32 32 288 704 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName control[35:0] +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 0 176 32 176 +PIN 0 176 LEFT 36 +PINATTR PinName trig0[127:0] +PINATTR Polarity IN + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc new file mode 100644 index 000000000..3cb2c112f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.cdc @@ -0,0 +1,144 @@ +#ChipScope Core Generator Project File Version 3.0 +#Tue Jun 30 11:48:11 PDT 2015 +SignalExport.bus<0000>.channelList=0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 +SignalExport.bus<0000>.name=TRIG0 +SignalExport.bus<0000>.offset=0.0 +SignalExport.bus<0000>.precision=0 +SignalExport.bus<0000>.radix=Bin +SignalExport.bus<0000>.scaleFactor=1.0 +SignalExport.clockChannel=CLK +SignalExport.dataEqualsTrigger=true +SignalExport.triggerChannel<0000><0000>=TRIG0[0] +SignalExport.triggerChannel<0000><0001>=TRIG0[1] +SignalExport.triggerChannel<0000><0002>=TRIG0[2] +SignalExport.triggerChannel<0000><0003>=TRIG0[3] +SignalExport.triggerChannel<0000><0004>=TRIG0[4] +SignalExport.triggerChannel<0000><0005>=TRIG0[5] +SignalExport.triggerChannel<0000><0006>=TRIG0[6] +SignalExport.triggerChannel<0000><0007>=TRIG0[7] +SignalExport.triggerChannel<0000><0008>=TRIG0[8] +SignalExport.triggerChannel<0000><0009>=TRIG0[9] +SignalExport.triggerChannel<0000><0010>=TRIG0[10] +SignalExport.triggerChannel<0000><0011>=TRIG0[11] +SignalExport.triggerChannel<0000><0012>=TRIG0[12] +SignalExport.triggerChannel<0000><0013>=TRIG0[13] +SignalExport.triggerChannel<0000><0014>=TRIG0[14] +SignalExport.triggerChannel<0000><0015>=TRIG0[15] +SignalExport.triggerChannel<0000><0016>=TRIG0[16] +SignalExport.triggerChannel<0000><0017>=TRIG0[17] +SignalExport.triggerChannel<0000><0018>=TRIG0[18] +SignalExport.triggerChannel<0000><0019>=TRIG0[19] +SignalExport.triggerChannel<0000><0020>=TRIG0[20] +SignalExport.triggerChannel<0000><0021>=TRIG0[21] +SignalExport.triggerChannel<0000><0022>=TRIG0[22] +SignalExport.triggerChannel<0000><0023>=TRIG0[23] +SignalExport.triggerChannel<0000><0024>=TRIG0[24] +SignalExport.triggerChannel<0000><0025>=TRIG0[25] +SignalExport.triggerChannel<0000><0026>=TRIG0[26] +SignalExport.triggerChannel<0000><0027>=TRIG0[27] +SignalExport.triggerChannel<0000><0028>=TRIG0[28] +SignalExport.triggerChannel<0000><0029>=TRIG0[29] +SignalExport.triggerChannel<0000><0030>=TRIG0[30] +SignalExport.triggerChannel<0000><0031>=TRIG0[31] +SignalExport.triggerChannel<0000><0032>=TRIG0[32] +SignalExport.triggerChannel<0000><0033>=TRIG0[33] +SignalExport.triggerChannel<0000><0034>=TRIG0[34] +SignalExport.triggerChannel<0000><0035>=TRIG0[35] +SignalExport.triggerChannel<0000><0036>=TRIG0[36] +SignalExport.triggerChannel<0000><0037>=TRIG0[37] +SignalExport.triggerChannel<0000><0038>=TRIG0[38] +SignalExport.triggerChannel<0000><0039>=TRIG0[39] +SignalExport.triggerChannel<0000><0040>=TRIG0[40] +SignalExport.triggerChannel<0000><0041>=TRIG0[41] +SignalExport.triggerChannel<0000><0042>=TRIG0[42] +SignalExport.triggerChannel<0000><0043>=TRIG0[43] +SignalExport.triggerChannel<0000><0044>=TRIG0[44] +SignalExport.triggerChannel<0000><0045>=TRIG0[45] +SignalExport.triggerChannel<0000><0046>=TRIG0[46] +SignalExport.triggerChannel<0000><0047>=TRIG0[47] +SignalExport.triggerChannel<0000><0048>=TRIG0[48] +SignalExport.triggerChannel<0000><0049>=TRIG0[49] +SignalExport.triggerChannel<0000><0050>=TRIG0[50] +SignalExport.triggerChannel<0000><0051>=TRIG0[51] +SignalExport.triggerChannel<0000><0052>=TRIG0[52] +SignalExport.triggerChannel<0000><0053>=TRIG0[53] +SignalExport.triggerChannel<0000><0054>=TRIG0[54] +SignalExport.triggerChannel<0000><0055>=TRIG0[55] +SignalExport.triggerChannel<0000><0056>=TRIG0[56] +SignalExport.triggerChannel<0000><0057>=TRIG0[57] +SignalExport.triggerChannel<0000><0058>=TRIG0[58] +SignalExport.triggerChannel<0000><0059>=TRIG0[59] +SignalExport.triggerChannel<0000><0060>=TRIG0[60] +SignalExport.triggerChannel<0000><0061>=TRIG0[61] +SignalExport.triggerChannel<0000><0062>=TRIG0[62] +SignalExport.triggerChannel<0000><0063>=TRIG0[63] +SignalExport.triggerChannel<0000><0064>=TRIG0[64] +SignalExport.triggerChannel<0000><0065>=TRIG0[65] +SignalExport.triggerChannel<0000><0066>=TRIG0[66] +SignalExport.triggerChannel<0000><0067>=TRIG0[67] +SignalExport.triggerChannel<0000><0068>=TRIG0[68] +SignalExport.triggerChannel<0000><0069>=TRIG0[69] +SignalExport.triggerChannel<0000><0070>=TRIG0[70] +SignalExport.triggerChannel<0000><0071>=TRIG0[71] +SignalExport.triggerChannel<0000><0072>=TRIG0[72] +SignalExport.triggerChannel<0000><0073>=TRIG0[73] +SignalExport.triggerChannel<0000><0074>=TRIG0[74] +SignalExport.triggerChannel<0000><0075>=TRIG0[75] +SignalExport.triggerChannel<0000><0076>=TRIG0[76] +SignalExport.triggerChannel<0000><0077>=TRIG0[77] +SignalExport.triggerChannel<0000><0078>=TRIG0[78] +SignalExport.triggerChannel<0000><0079>=TRIG0[79] +SignalExport.triggerChannel<0000><0080>=TRIG0[80] +SignalExport.triggerChannel<0000><0081>=TRIG0[81] +SignalExport.triggerChannel<0000><0082>=TRIG0[82] +SignalExport.triggerChannel<0000><0083>=TRIG0[83] +SignalExport.triggerChannel<0000><0084>=TRIG0[84] +SignalExport.triggerChannel<0000><0085>=TRIG0[85] +SignalExport.triggerChannel<0000><0086>=TRIG0[86] +SignalExport.triggerChannel<0000><0087>=TRIG0[87] +SignalExport.triggerChannel<0000><0088>=TRIG0[88] +SignalExport.triggerChannel<0000><0089>=TRIG0[89] +SignalExport.triggerChannel<0000><0090>=TRIG0[90] +SignalExport.triggerChannel<0000><0091>=TRIG0[91] +SignalExport.triggerChannel<0000><0092>=TRIG0[92] +SignalExport.triggerChannel<0000><0093>=TRIG0[93] +SignalExport.triggerChannel<0000><0094>=TRIG0[94] +SignalExport.triggerChannel<0000><0095>=TRIG0[95] +SignalExport.triggerChannel<0000><0096>=TRIG0[96] +SignalExport.triggerChannel<0000><0097>=TRIG0[97] +SignalExport.triggerChannel<0000><0098>=TRIG0[98] +SignalExport.triggerChannel<0000><0099>=TRIG0[99] +SignalExport.triggerChannel<0000><0100>=TRIG0[100] +SignalExport.triggerChannel<0000><0101>=TRIG0[101] +SignalExport.triggerChannel<0000><0102>=TRIG0[102] +SignalExport.triggerChannel<0000><0103>=TRIG0[103] +SignalExport.triggerChannel<0000><0104>=TRIG0[104] +SignalExport.triggerChannel<0000><0105>=TRIG0[105] +SignalExport.triggerChannel<0000><0106>=TRIG0[106] +SignalExport.triggerChannel<0000><0107>=TRIG0[107] +SignalExport.triggerChannel<0000><0108>=TRIG0[108] +SignalExport.triggerChannel<0000><0109>=TRIG0[109] +SignalExport.triggerChannel<0000><0110>=TRIG0[110] +SignalExport.triggerChannel<0000><0111>=TRIG0[111] +SignalExport.triggerChannel<0000><0112>=TRIG0[112] +SignalExport.triggerChannel<0000><0113>=TRIG0[113] +SignalExport.triggerChannel<0000><0114>=TRIG0[114] +SignalExport.triggerChannel<0000><0115>=TRIG0[115] +SignalExport.triggerChannel<0000><0116>=TRIG0[116] +SignalExport.triggerChannel<0000><0117>=TRIG0[117] +SignalExport.triggerChannel<0000><0118>=TRIG0[118] +SignalExport.triggerChannel<0000><0119>=TRIG0[119] +SignalExport.triggerChannel<0000><0120>=TRIG0[120] +SignalExport.triggerChannel<0000><0121>=TRIG0[121] +SignalExport.triggerChannel<0000><0122>=TRIG0[122] +SignalExport.triggerChannel<0000><0123>=TRIG0[123] +SignalExport.triggerChannel<0000><0124>=TRIG0[124] +SignalExport.triggerChannel<0000><0125>=TRIG0[125] +SignalExport.triggerChannel<0000><0126>=TRIG0[126] +SignalExport.triggerChannel<0000><0127>=TRIG0[127] +SignalExport.triggerPort<0000>.name=TRIG0 +SignalExport.triggerPortCount=1 +SignalExport.triggerPortIsData<0000>=true +SignalExport.triggerPortWidth<0000>=128 +SignalExport.type=ila + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf new file mode 100644 index 000000000..6be9051a2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ncf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2 = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2 = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2 = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc new file mode 100644 index 000000000..1c4da9412 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$ed27=*981;86>?01784567811;<=>?012:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?0122<>6789::<=:401270>67;O>0<<>>1193547788;;==??0137?54?I8:0NM86>8F1684C4592;?7=AGZ^X7Z]IF2?571=87;j7<=5IORVP?GCL[K\^LJKR=31>586i2;86D@_UU8B@ATEZMKOH_2>2;2=51=6<3CE\XZ5AEFQE974294:86?;:HLSQQ0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11:01?766<281EC^ZT;FJTDBNX5;1<3?;;38JJUSS2MC[NIG_<083:45<:3CE\XZ5WDCTAI:6294:?6<5IORVP?QBJ^O^0<4?>0786?IR\Y__6IA_AEMS84<768?0>7AZTQWW>AIWJME[0<4?>0087701877586>29=6D@@UU8@KKRUGE6897>11:67?17788;087GAPTV9@LVF4:0;24?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281177??9999??5533a>3=AGZ^X7O34;2=5>>53H:97L?=;@0;?D4A:H=M;?5N359B85833H6:295N<3<7?D:46?1J094?>59B818?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d720MIJ]B=5=<>GCL[H74364AEFQF9?9m2KOH_L]D@FGV969n2KOH_L]D@FGV9776o1JHI\MRECG@W:697;:7LJKRCPGEABU4881<3h4AEFQFWBFLMX7=?0j;@FGVGTCIMNY0<0j;@FGVGTCIMNY0?0j;@FGVGTCIMNY0>0j;@FGVGTCIMNY090j;@FGVGTCIMNY080j;@FGVGTCIMNY0;0j;@FGVGTCIMNY0:0j;@FGVGTCIMNY050j;@FGVGTCIMNY040>0:@EFGGBIH9>;01:8FPUXAGLD=6M=;BC1?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN28118GIT>3JEFADZ[EEc8GJHSZFF7<3l4CNLWVJJ;994i7NAATSMO8479j2IDBY\@L=31:g=DGG^YCA2>3?`8GJHSZFF7=90m;BMMPWIK48?5n6M@NUPLH9716k1HCCZ]OM>23;d15;dEHF]XD@1<7>c9@KKRUGE6953o4CNLWVJJ;:7h0OB@[RNN?758e3JEEX_AC<23=f>EHF]XD@1==>c9@KKRUGE68?3l4CNLWVJJ;;=4o7NAATSMO863=87h0OB@[RNN?708f3JEEX_AC<2BN>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;169GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>611OELJF<1<:?AOFL@6:<374DHCGM976601OELJF<00==>BNIMC7=>06;EKB@L:6<7h0HDOKI=36>58>3MCJHD2>5?:8@LGCA5;546JFAEK?6;>BNIMC7:364DHCGM91902NBMIG38?:8@LGCA535:6JFB=2=3>BNJ5;;2:5KIC>25;169GMG:6=7=0HDL317<4?AOE48=5;6JFB=3;:2=CAK6:5384DH@?5;1=08;EKA8779?2NBN1<=>69GMG:5;7=0HDL325<4?AOE4;?5;6JFB=05:2=CAK69;394DH@?6=803MCI0?716:FJF949?2NBN1=?>89GMG:493:5;6JFB=12:3=CAK682;5KIC>7:3=CAK6>2;5KIC>5:3=CAK6<2;5KIC>;:3=CAK62255KICFJ858>3MCIHD2>0?;8@LDCA5;:245KICFJ844912NBNIG312<:?AOEL@6:83l4DH@GM97229427IGMDH>21;>BNJMC78364DH@GM93902NBNIG36?:8@LDCA5=546JFBEK?<;>1OE]O31?58@LVF4;427IG_A=194;10n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYH7<394DHRA84803MC[N1<19:FJTG:4294<7IG_B=1=e>BNXKNB\1>1c:FJTGBNX5;1<3o4DHRA@LV;97<0HBO30?58@JG;994<7IAN<03=3>BHI5;92:5KO@>27;169GKD:6?7=0HBO319<4?AIF4835:6J@A=3=3>BHI58;2:5KO@>15;1?08;EMB8759?2NDM1<;>69GKD:5=7=0HBO327<4?AIF4;=5;6J@A=0;:2=CGH695384DNC?6;14=7IAN<9<5?AIF40437IANDN>3:<=CGHND0<>19:FLEAI;98427IANDN>26;?89GKDBH48>5n6J@AEM?50<7601OCLJ@<07=<>BHIME7=364DNCGK94902NDMIA33?:8@JGCG5>546J@AEM?1;>BHIME75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?902NDNIA30?;8@JDCG5;;245KOCFL847912NDNIA313<:?AIELF6:?374DN@GK9736k1OCOJ@<0794;?99GKGBH48437IAMDN>1:==CGKND0>07;EMA@J:3611OCOJ@<4<;?AIELF6=255KOCFL828?3MEIHB27>99GKGBH404<7IAM_SGD3>BHXH6;2:5KOQC?5;11OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3?4E39F01=B?9897HH<;DLB3>CII^XNB>5JNC58AKDULLDi7H@PRRVQEHYFj2OES_][R@O\F1=A89=:<6H?D1G3G5D799K;<=:4F7331>@FDZO97KJ>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD:8BA@?0M23?6HKP29E@W20JKH?4:DEB@>5>N29224>@ANOLJJ?HIFGD;B5773OLMJKHIF476003288:0JKHIFGDE?0123=6I<;FLG5>O53@:97D?=;H01?L553@>97D;7;HLJPUY7811BBDZ__13;?LHN\YU;>55FNHVS[55?3@DBX]Q?499JJLRWW9?37D@FTQ]32==NF@^[S=96;HLJPVRF\L=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G194IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G194IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1M8;HLJPZ5C?2CEEYQJR\:>0@XZ;4:NVP02829M655HHFL;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Yi0\#3YATDA[[NL18TWC13YYOCCK>;P18U4343X9:=6\n;SCNF40E_LX27_OBB9@TAW>2XNKNKMb:PFCFCEZLMHI95]SUC7?WUSJ>1Y_YL]SU58VVRSQYOn7_][_QPJKWOSQVKn7_][_QPJKWOSQVH:7^?>;RJQABYBP]XJOYQAGBg8WMTBOVGBBR]FZD30?VOJWJEG@D]FOO]@L@ELi2YBARJJVHAF2>UH][IN46]_ASVBJ33<[[F::;5\RM35A2=TZEI::H94SSN@77C03ZX]MAQN7:QQRDJXJ?1XXLZZS59P]KE43]N[46Z]IF2?4;g<\[CL<1??0?c8PWO@85;;=3o4TSKD4977:7k0X_GH0=337;g<\[CL<1??4?c8PWO@85;;93o4TSKD4977>7k0X_GH0=333;g<\[CL<1??8?c8PWO@85;;5374TSKD49776h1_^DI?<033:d=SZ@M;0>`9WVLA748;92l5[RHE384746h1_^DI?<037:d=SZ@M;0`9WVLA748;=2l5[RHE384706h1_^DI?<03;:d=SZ@M;089WVLA748;5m6Z]IF2?5769i2^YEJ>3133=e>RUAN:7=?<1a:VQMB6;9;95m6Z]IF2?5729i2^YEJ>3137=e>RUAN:7=?81c:VQMB6;9;=1<3o4TSKD4975?730X_GH0=31:<=SZ@M;0<=19:VQMB6;9=427Y\FG1>21;?<\[CL<1?9>89WVLA748=556Z]IF2?5=8>3]XBK=2>9?:8PWO@85;556Z]IF2?658>3]XBK=2=1?;8PWO@8589245[RHE3875912^YEJ>325<:?QTNO9699374TSKD4941601_^DI?<35==>RUAN:7>506;UPJC5:51720X_GH0=0==>RUAN:7?=06;UPJC5:49730X_GH0=11:<=SZ@M;0>=19:VQMB6;;=427Y\FG1>01;?<\[CL<1=9>89WVLA74:=556Z]IF2?7=8>3]XBK=2<9?:8PWO@859556Z]IF2?058>3]XBK=2;1?;8PWO@85>9245[RHE3815912^YEJ>345<:?QTNO96?9374TSKD4921601_^DI?<55==>RUAN:78506;UPJC5:31720X_GH0=6==>RUAN:79=06;UPJC5:29730X_GH0=71:<=SZ@M;08=19:VQMB6;==427Y\FG1>61;?<\[CL<1;9>89WVLA74<=556Z]IF2?1=8>3]XBK=2:9?:8PWO@85?556Z]IF2?258>3]XBK=291?;8PWO@85<9245[RHE3835912^YEJ>365<:?QTNO96=9374TSKD4901601_^DI?<75==>RUAN:7:506;UPJC5:11720X_GH0=4==>RUAN:7;=06;UPJC5:09730X_GH0=51:<=SZ@M;0:=19:VQMB6;?=427Y\FG1>41;?<\[CL<199>89WVLA74>=556Z]IF2?3=8>3]XBK=289?:8PWO@85=556Z]IF2?<58>3]XBK=271?;8PWO@8529245[RHE38=5912^YEJ>385<:?QTNO9639374TSKD49>1601_^DI?<95==>RUAN:74506;UPJC5:?1720X_GH0=:==>RUAN:75=06;UPJC5:>9730X_GH0=;1:<=SZ@M;04=19:VQMB6;1=427Y\FG1>:1;?<\[CL<179>89WVLA740=556Z]IF2?==8>3]XBK=269?:8PWO@853586ZVPD1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T0\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[1_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T112^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY245[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX557Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]688W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\998V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[02_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T116^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY241[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX553Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]68;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[06_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T11:^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY24=[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX55?Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]680W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\99W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;;Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:

WNOEW1\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[032Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ326X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_475U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76;T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;8Q#GPSSNW[KCX8'LM8=5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;:8P F_RPOPZHBW9$O@T7WNOEW5\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[036Y+OX[[F_SCKP0/DE05=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ322X(NWZXGXR@J_1,GH\?4m2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_471U'CT__B[_OG\4+@A<91^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^76?T$BS^\CT^LF[5(CDP38i6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS8;WNOEW9\,J[VTK\VDNS= KLX;0a>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[03:Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ32Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX54[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX576Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:9W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;;V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[23_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T131^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY266[)AVYY@YQAE^2-BC273\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX572Z&@UX^AZPND]3*AJ^1:o0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)CDPU2"IBV_8]DAK]6:=W%ER]]LU]MAZ6)NO>;7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9;?V"DQ\RMV\J@Y7&MFR5>k4U1-J[KIXL'_TEAO I^FR*PYCYX%O@TQ6.ENZ[8S!I^QQHQYIMV:%JK:?;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=?8R.H]PVIRXFLU;"IBV92g8Q5)NWGETH#[PIMC,MZBV&\UO]\!KLX]:*AJ^W0ULICU>27_-MZUUD]UEIR>!FG63?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T135^*LYTZE^TBHQ?.ENZ=6c<]9%BSCAPD/W\MIG(AVNZ"XQKQP-GH\Y>&MFRS4QHEOY262[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX57[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^75U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^74U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\9=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR;>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=8S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP=;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV?8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T16_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T19_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ3:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX5X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX65[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^47U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^46U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR89Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR88Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP>9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV<:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T24_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T27_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ05Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ04Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX62[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX6=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^4>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\:T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS;W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR9:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T35_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ17Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ16Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX70[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX73[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^51U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^50U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\;1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR93Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR92Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP?P F_RPOPZHBW9$O@T7WNOEW>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP8=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV:>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T40_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T43_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ61Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ60Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX06[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX01[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^23U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^22U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\< I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR>!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T4\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[5_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T51_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ73Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ72Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX14[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX17[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^35U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^34U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\=:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\==W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR??Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR?>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP98S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP9;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;9]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV;8]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T56_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T59_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ7:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX1X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_0[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX25[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^07U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^06U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>8W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>;W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<9Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR<8Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:>S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP:9S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8;]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV8:]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T64_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T67_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ45Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ44Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX22[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX2=[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0?U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^0>U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>0W%ER]]LU]MAZ6)NO9n7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\>T$BS^\CT^LF[5(CDP38o6[?/H]MKZB)]VCGM"GPDP,V[AWV'MFRS4 KLX]:[BCIS?W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?9W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=;Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=:Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T75_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ57Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ56Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX30[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX33[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^11U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^10U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?>W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\?1W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=3Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR=2Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;4S!I^QQHQYIMV:%JK=j;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP;P F_RPOPZHBW9$O@T7WNOEW:S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP4=S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6?]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV6>]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T80_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T83_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:1Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ:0Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<6[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX<1[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>3U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^>2U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0 I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\0?W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2=Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR2!FG1f?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T8\,J[VTK\VDNS= KLX;0g>S7'@UECRJ!U^KOE*OXLX$^SI_^/ENZ[<(CDPU2SJKA[9_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T91_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;3Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;2Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=4[)AVYY@YQAE^2-BC5a3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=7[)AVYY@YQAE^2-@I_>;m1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?5U'CT__B[_OG\4+@A;o1^<"GPNN]G*PYNDH%BSI_!U^FRU*BKQV3%HAWP9^EFJ^?4U'CT__B[_OG\4+BKQ09o7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1:W%ER]]LU]MAZ6)NO9m7X> I^LL[A(RW@FJ#DQKQ/W\@TW(LEST5#JCY^;\C@H\1=W%ER]]LU]MAZ6)LES2?i5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3?Q#GPSSNW[KCX8'LM?k5Z0.K\JJYC&\UB@L!F_ES-QZBVY&NGUR7!DM[\=ZABFR3>Q#GPSSNW[KCX8'NGU4=k;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP58S!I^QQHQYIMV:%JK=i;T2,MZHHWM$^SDBN/H]GU+SXLX[$HAWP9/FO]Z?XOLDP5;S!I^QQHQYIMV:%HAW63e9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV79]/K\WWJSWGOT<#HI3g9V4*OXFFUO"XQFL@-J[AW)]VNZ]"JCY^;-@I_X1VMNBV78]/K\WWJSWGOT<#JCY81g?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T96_-MZUUD]UEIR>!FG1e?P6(AVDDSI Z_HNB+LYCY'_TH\_ DM[\=+BKQV3TKH@T99_-MZUUD]UEIR>!DM[:7a=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;;Y+OX[[F_SCKP0/DE7c=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.FO]Z?)LEST5RIJNZ;:Y+OX[[F_SCKP0/FO]<5c3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=<[)AVYY@YQAE^2-BC5b3\:$ER@@_E,V[LJF'@UO]#[PDPS,@I_X1'NGUR7PGDLX=X(NWZXGXR@J_1,GH\?4k2_;#DQAO^F-QZOKI&CTH\ Z_ESR+AJ^W0$O@TQ6_FGM_<[)AVYY@YQAE^2-BC453\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw494986[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1??0?07?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos84666;>0Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7==<1259V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>24685<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;;83<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<026:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;99<5>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>06<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt977078?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<>6>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5585<2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;:<3<;;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<032:72<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9885>95Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2>12<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt976<78?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5409:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:=:0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=32<;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw48;22?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~310<10>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt975878?7X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0<<>>368Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5749:=1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6:>>0=4:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=310;433\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw488>2?:4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~3134=61=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:6:>49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?5685;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5;?2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~314<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9716;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7=:0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=3;:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;9049>6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>14;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;;5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=2?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8759::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6983<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<37=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:5>7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0?91229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>1<;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4;35>?5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2=>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7585;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx59:2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~333<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9546;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7?90=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=16:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;;?49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1=8>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?7=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5922?<4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~33?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8169::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?=3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<50=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:3;7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{09:1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>71;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4=<5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}2;7?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos81>9::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6?53<=;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<5<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9376;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz79<0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=71:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;=:49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|1;;>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?1085;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5?=2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~356<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt93?6;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7940=2:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=7=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:187887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;?1229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>56;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4?95>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}294?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8339::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey6=:3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<75=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:107887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0;71239V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>5:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?949?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|19>>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3785;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5=82?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~375<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9126;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz7;;0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=54:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;?149?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|196>308Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw41:5>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}271?00?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=49::1^<"GPNN]G*PYNDH%BSI_!U^FRU*rbey63?3<<;T2,MZHHWM$^SDBN/H]GU+SXLX[$xhc<96=66=R8&CTBBQK.T]JHD)NWM[%YRJ^Q.vfiu:?=7887X> I^LL[A(RW@FJ#DQKQ/W\@TW(|lg{0581229V4*OXFFUO"XQFL@-J[AW)]VNZ]"zjmq>;3;443\:$ER@@_E,V[LJF'@UO]#[PDPS,p`kw4125>>5Z0.K\JJYC&\UB@L!F_ES-QZBVY&~na}279?01?P6(AVDDSI Z_HNB+LYCY'_TH\_ tdos8=85;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx53;2?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~390<17>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?56;90Y=!F_OM\@+SXAEK$ERJ^.T]GUT)smdz75>0=3:W3+LYIGVN%YRGCA.K\@T(RWM[Z#ykbp=;7:75<]9%BSCAPD/W\MIG(AVNZ"XQKQP-wahv;1<49?6[?/H]MKZB)]VCGM"GPDP,V[AWV'}of|179>318Q5)NWGETH#[PIMC,MZBV&\UO]\!{elr?=285;2_;#DQAO^F-QZOKI&CTH\ Z_ESR+qcjx5332?=4U1-J[KIXL'_TEAO I^FR*PYCYX%i`~398<16>S7'@UECRJ!U^KOE*OXLX$^SI_^/ugnt9?9>:1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ I^UJ@QNX0'Txn9:;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*OX_@N_DR6!u^v`[5)^MZUGYY8!U^NVP3023\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"GPWHFWLZ>)}V~hS<;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*tt| I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.v`[scd484=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'JC7=38?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$OD2=>728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!LI=1=25=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.AJ818182_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+FO;=7<;7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(K@6=2;>4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%HE1915g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"J30?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz D=3=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.F?6;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,@959=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*B;<7?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(L5?59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&N7:3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$H1916c9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT0\,DjM(RWD_SOT89;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$KV>R.T]E@WC1=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]7U'_T@XZ97:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU?]/W\\JTDQ?h0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S8W%KcF!U^OV\F_1>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_!z_blw+B]6U'_TJI\J649V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT1\,V[ISS>>1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\9T$^SUA]CX4a?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ0^*BhO&\UFYUMV679V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT2\,V[CBUM??0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S;W%YRBZT758Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABU'|Uhby!H[3_-QZ^HZJS=n6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ8Q#IaH/W\IP^DQ?<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*OX[@DL@HQ]OV,V[WBXKFFTMIJ]/t]`jq)@S:W%YRHKRD46?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ1^*PYK]]<<7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr(OR9V"XQWOSAZ2g=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX0X(@fA$^S@[WCX45?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz GZ6^*PYAL[O=96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ?Q#[PLTV53>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[%~Sn`{/FY7Y+SXPFXHU;l4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW8S!GoJ-QZKRPJS=:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(AVYBBJBJ_SMT*PYULVID@ROKDS-v[fhs'NQ>Q#[PFEPF20=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX1X(RWE__::5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&MP9P Z_YMQG\0e3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,C^0Z&NdC"XQBUYAZ23=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.EX2X(RWONYI;;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&CT_D@HLD]QKR(RW[NTOBBPAEFQ+pYdf}%LW;S!U^NVP31<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNY#xQlnu-D_3[)]VRD^NW96:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$ER]FNFNF[WIP&\UYHRM@L^CG@W)rWjd#JU8]/W\BATB><1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*A\?T$^SA[[669V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"IT7\,V[]IUKP?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\gkr([5:59k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'@UXECICE^PLS+SXZMUHCAQNDEP,qZei|&Y7=3;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%BS^GAGMG\VJQ)]VXOSNAC_@FGV*sXkg~$_1<15g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@AT(}Viex"]33?7e?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZ&Tocz S=6=1c=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMX$yRmat.Q?1;3a3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^"{Pcov,W909=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+LYTAGMGIR\@W/W\VAYDGEUJHI\ u^amp*U;?7?j7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)NWZCEKAKPRNU-QZTCWJEGSLJKR.w\vvr1k2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,MZUNFNFNS_AX.T]Q@ZEHDVKOH_QKLX-D[WC@WKC_W=S!U^DGV@0d3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-J[VOIOEOT^BY!U^PG[FIKWHNO^RJCY.E\V@AXJ@^P=P Z_GFQA3e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.K\WLH@DLUYCZ Z_SF\GJJXIMNYSIBV/F]QABYEA]Q9Q#[PFEPF2f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/H]PMKAKMVXD[#[PRE]@KIYFLMXTHAW G^PFCZDN\R9V"XQIDSG5g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ I^QJJBJBW[E\"XQ]D^ALHZGCL[UO@T!H_SGD[GOSS=W%YRHKRD4`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!F_RKMCICXZF]%YR\K_BMO[DBCZVNGU"IPRDE\FLR\=T$^SKJ]E7a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"GPSHLDH@YUG^$^S_JPCNN\EABUWMFR#JQ]EF]AMQ]1U'_TJI\J6b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#DQ\IOEOAZTH_'_T^IQLOM]B@ATXLES$KR\JG^@JP^1Z&\UMH_K6c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R:V"xQ}al`2<*SXZHGI=5o9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_OB/H]P2+SXKZUI^LCPC@Q@EACX[?%BSIK_TI]OP@WX9?A%YR]MR@O\4*OXJ82@KY>!G^PBIG7?S9W%yR|nmc3;+PYUIDH:4Rgastnbp`7f>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTFE&CT_; Z_BQ\FWGJWJKXOLJJ_R4,MZBBX]BT@YK^_04H*PYTJ[KFS=!F_C3;OBR7&NUYM@L>8Z2^*pYuidh:4"[PR@OA5=Ynfzgmyk99b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q:Q#{Pr`oa5=)RW[KFN<6n6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\NM.K\W3(RWJYTN_OB_BCPGDBBWZ<$ERJJPUJ\HQCVW8<@"XQ\BSCN[5)NWK;3GJZ?.F]QEHD60R;V"xQ}al`2<*SXZHGI=5Qfnrwoeqc5i?1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYUID%BS^8!U^AP[GTFEVIJ_NOKE^Q5+LYCMY^CSAZJQ^35O+SX[KXJAR> I^@2Xagy~`lzj58a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P>P z_scnf4>(]VXJAO?7a79V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]AL-J[V0)]VIXSO\NM^ABWFGCMVY=#DQKEQVK[IRBYV;=G#[PSCPBIZ6(AVH:4FI[0/E\VDKE91Q9Q#{Pr`oa5=)RW[KFN<6Pioqvhdrb;h<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZHG$ER]9.T]@WZDUIDUHM^MNDD]P2*OXLLZ_DRB[EP]22N(RWZHYM@Q?/H]A5=M@\9$LS_OBB0:X6X(rW{kfn<6 U^PBIG7?W`dxyao{e5;`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W>S!u^pbig7?'\UYM@L>8`48Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PR@O,MZU1&\UH_RL]AL]@EVEFLLUX:"GPDDRWLZJSMXU::F Z_R@QEHY7'@UI=5EHT1,D[WGJJ82P?P z_scnf4>(]VXJAO?7_hlpqigsm:k=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[KF#DQ\6/W\GVYEZHGTOL]LAEG\W3)NWMO[XEQCTDS\53M)]VYI^LCP0.K\F4>LO]:%KR\NMC3;_6[)}Vxjao?7/T]QEHD60Vcexbntd6:g>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V:R.t]qehd60&_T^LCM19c5?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SCN+LYT>'_TO^QMR@O\GDUDIMOT_;!F_EGSPMYK\L[T=;E!U^QAVDKX8&CTN<6DGU2-CZTFEK;3W9S!u^pbig7?'\UYM@L>8^kmwpjf|l8j:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXJA"GPS7,V[FUXJ[KFSNO\C@FF[V0(AVNN\YFPLUGR[40L&\UXN_OB_1-J[G7?CN^;"JQ]AL@2<^2Z&|Uym`l>8.W\VDKE91Ubb~{caug6=f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]QEH)NWZ<%YRM\_CPBIZEF[JKOIR]9/H]GAUROWE^N]R?9K/W\WGTFEV:$ERL>8JEW4+AXZHGI=5U:]/w\vdke91%^S_OBB0:b2>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PBI*OX[?$^SN]PBSCN[FGTKHNNS^8 I^FFTQNXD]OZS<8D.T]PFWGJW9%BSO?7KFV3*BYUIDH:4V;R.t]qehd60&_T^LCM19]jjvski}o:m;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYM@!F_R4-QZETWKXJARMNSBCGAZU1'@UOI]ZG_MVFUZ71C'_T_O\NM^2,MZD60BM_<#IPR@OA5=]2U'T~lcm19-V[WGJJ82Tec}zl`vf2'\UYM@L6_hlpqigsm>?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY68Vl39k5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8;Tj:;i;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:=Rh7619V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDSS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP10]e<7063\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]25Z`?W9<:7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY69Vl3S<;l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:>8h4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;9Sk990:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=?Qi7043?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>2^d4636<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^31[c14>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[44Xn>U;:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj:Q>609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<&GfyuQ@_00\b=073\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXKFFTAXV I9,V[HS_:<<$A`{w_N]26Z`?9?:0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ75Wo29:<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW88Tj5Q?609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<&GfyuQ@_016`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1236`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1206`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1216`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1266`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP1276b>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[FIKWD_S#D6!U^OV\731'Dg~tRAP12]e336<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^30[c16>91^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>8=<6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOSNAC_LW[+L>)]VG^T?;9/Lov|ZIX9:Um;>8?;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPCNN\IP^(A1$^S@[W244,IhsWFU:?Rh84728Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?<_g5624=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y7>81^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn>U::<5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW89Tj:Q=609V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<=Pf6]024=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZEHDVG^T"G7.T]NQ]42>&GfyuQ@_01\b2Y3=o1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+PYULVID@RCZX.K;*PYJ]Q8>:"Cbuy]L[45Xn1?h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6< I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<;?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<:?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<=?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6< I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6 I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<>?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<1?o7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6<0?m7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NTOBBPMTZ,M=(RWD_S>88 Mlw{[JY6.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHRM@L^OV\*O?&\UFYU<:6.Onq}YHW8>Tj:?90:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_BMO[HS_'@2%YRCZX375+HkrpVET=9Qi7343?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>4^d4737<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYDGEUFYU!F8/W\IP^5=?%FaxvPO^37[c1X8?;0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUHCAQBUY-J<+SXE\R99;!Bmtz\KZ73Wo=T=;?4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQLOM]NQ])N0'_TAXV=57-Nip~XGV;?Sk9P24a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;>5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;=5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;<5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;;5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;:5e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;95e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;85e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;75e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;65g9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^ALHZKRP&C3"XQBUY062*Kj}qUDS<;Pf67`?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\GJJXE\R$E5 Z_LW[600(EdsSBQ>64f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?914f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?924f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?934f8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?944a8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]@KIYJ]Q%B4#[PMTZ113)Je|rTCR?84e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1?14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1<14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1=14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1:14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1;14e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1814e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1914e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1614e9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%HE1714b9V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%O0=0;c:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&N7=3:l;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'M6929m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT(L5958n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)C4=4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*B;=7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+A:16=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,@919R.T]E@WC2:2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX4X(RWE__995Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S9W%YRV@RB[6<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT1\,DjM(RWD_SOT;<;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ:Q#[PFEPF17=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[0_-QZJR\<>0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^7Z&\USC_MV599V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#XQ]D^PLSZGCL[%LW?S!GoJ-QZKRPJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\:T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KVS7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ U^PG[WIPWHNO^"IT3\,V[]IUKP?37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]3U'MeD#[PMTZ@]05<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ GZ6^*PYAL[O>>6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\ I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+B]1U'_TTB\LY4:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV9R.FlK*PYJ]QIR9>5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)@S>W%YRHKRD71?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!Z_SF\VJQXIMNY#JU8]/W\HPR2<2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,QZTCW[E\SLJKR.EX3X(RWQEYOT;7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%^S_JPRNU\EABU'NQ3Q#IaH/W\IP^DQ<90Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,C^>Z&\UMH_K:2:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$YR\K_SMT[DBCZ&MP4P Z_MWW11=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!H[9_-QZ^HZJS>?6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*A\1T$^SKJ]E408Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"[PRE]QKRYFLMX$KV7R.T]OQQ333\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-V[WBXZF]TMIJ]/FY:Y+SXPFXHU9m4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&_T^IQ]OV]B@AT([5:58n5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'\UYHR\@W^CG@W)T484?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(]VXOS_AX_@FGV*U;:7>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)RW[NT^BYPAEFQ+V:46=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*SXZMUYCZQNDEP,W9294:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.W\VAYUG^UJHI\ S=:=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/T]Q@ZTH_VKOH_!\<8<7<>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmx7<3:7;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRm`l^cg`w:66=20Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr=0=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimny0>0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQlom]b`at;<7>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds>6:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~181499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPcnn\eabu4>4?o6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUhcaQndep\`i;87>h7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVid`Rokds]gh|:66=i0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWjegSljkr^fo}9496:1e<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[fikWhno~Rjcy=4=0f=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\gjjXimnySibv<6<7`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?37`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?07`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?17`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?67`>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]`kiYflmxThaw37?770>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;87>=7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2>01<72>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;99;58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<021:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;;?3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>24183>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7==;1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84616=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1??7?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6814?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo311;=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48:58;5Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<033:10<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>25783>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=<=1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84736=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?>5?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:69?4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3105=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf48;32984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=32=;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:=3:9;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>26583>2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7=??1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84456=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?=3?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6:=4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3137=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf488=2984U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=313;213\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6:>50;6:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?57?9<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0<<1479V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb84576=<0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1?<1?65?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:6;;4?:6[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo3121=03=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf489?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=30:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;?29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=36:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;=29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=34:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=3::12<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi5;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<32=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;;5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<30=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;95885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<36=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;?5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<34=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;=5885Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3:=00=R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\`drf4;35895Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nThlzn<3<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;94?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo330<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;;4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo332<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;=4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo334<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;?4?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo336<71>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;14?96[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUomyo338<70>S7'@UECRJ!U^KOE*SXKHZXXB\ I^ABW@7)AVSN_RZM.T]WVDEBWK_MJH\ re]geqg;;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;0?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:397>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;2?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3;7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;4?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3=7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;6?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:3?7>>7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;8?66?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^fbpd:317>?7X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVnjxl2;>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9376=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;>>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9356=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;<>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9336=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;:>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe9316=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;8>578Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe93?6=?0Y=!F_OM\@+SXAEK$YRMNPRVLV*OXKHYN=#GPYDQ\PG(RW]XJOHQMUGDFV*tcWmkm1;6>568Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_ecwe939<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;>1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8379<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;<1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8359<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;:1449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8339<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;81449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb8319<<1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;61449V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPd`vb83?9<=1^<"GPNN]G*PYNDH%^SNO_SUMQ+LYDIZO:"DQVER]WF+SX\[KHIRLZFGGQ+wbXlh~j0;0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3583=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;<0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3783=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;>0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3183=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;80;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3383=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;:0;5:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3=83=2_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYci}k7;40;4:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQkauc?3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63<3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;5;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63>3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;7;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6383::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;1;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h63:3::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;3;223\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6343::;T2,MZHHWM$^SDBN/T]@EUUSG[%BSNO\E0,J[\CTW]H%YRZ]ABG\FP@AM[%yhRjnt`>;=;233\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`Zbf|h6329;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;3:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53:29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;1:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53829;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;7:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53>29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;5:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53<29;4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;;:13<]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[agsi53229:4U1-J[KIXL'_TEAO U^ABTVRHZ&CTOL]J1/K\]@UX\K$^SY\NCD]AQC@BZ&xoSio{a=;=0==R8&CTBBQK.T]JHD)RWJK[_YA]/H]@EVC6&@URI^Q[B/W\PWGDMVH^JKK]/sf\vjqXimny0=0;8:W3+LYIGVN%YRGCA.W\GDVT\FX$ERMNSD3-MZ_B[V^I"XQ[R@AF[GSANLX$~iQ}ov]b`at;97>37X> I^LL[A(RW@FJ#XQLAQQWKW)NWJKXI< F_XGP[QD)]V^YMNKPBTDEAW)ulVxd{Rokds>1:1><]9%BSCAPD/W\MIG(]VIJ\^Z@R.K\GDUB9'CTUH]PTC,V[QTFKLUIYKHJR.pg[wipWhno~1=1499V4*OXFFUO"XQFL@-V[FGW[]EY#DQLARG2*LY^MZU_N#[PTSC@AZDRNOOY#jPrnu\eabu4=4?46[?/H]MKZB)]VCGM"[PC@RPPJT(AVIJ_H?!I^[FWZRE&\U_^LMJ_CWEB@T(zmUyczQndep?1;2?3\:$ER@@_E,V[LJF'\UHM]][OS-J[FGTM8$BSTK\_U@-QZRUIJOTNXHIES-q`ZthVkoh29>5:8Q5)NWGETH#[PIMC,QZEFXZ^D^"GPC@QF5+OXQLYTXO Z_UPBG@YE]OLN^"|k_smt[dbcz5=5855Z0.K\JJYC&\UB@L!Z_BCSWQIU'@UHM^K>.H]ZAVYSJ'_TX_OLE^@VBCCU'{nT~byPaefq8=8302_;#DQAO^F-QZOKI&_TOL^\TNP,MZEF[L;%ERWJS^VA*PYSZHINSO[IFDP,vaYug~Ujhi|39?60?P6(AVDDSI Z_HNB+PYDIYY_C_!F_BCPA4(NWPOXSYL!U^VQEFCXJ\LMI_!}d^plsZci9?1^<"GPNN]G*PYNDH%^SIAZT3`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*EJXVXN_HZ<5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZOI\JK[SK Z_BCSS@64=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ERGATBCS[C(RWJK[[H?:b:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^AGKKC(AVSN_A[[6/K\JJYUXD$BSTK\_NPFC+IR\VXNK8>4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PCEMMA*OXQLYGYY8!I^LL[WVJ&\UFYUH8539V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]@@JHB'@URI^BZT7,J[KIXZYG%YR]]L20\E04<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKMEEI"GPYDQOQQ0)AVDDS_^B.T]PVI55WK><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNJ@ND-J[\CTD\^="^\C_LW[02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDLFDN#DQVERNVP3(TZEUZ?<:7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_BFLJ@)NWPOX@XZ9.RPO[T:76=20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_TOIAAE.K\]@UK]]<%__BPQ=3=16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'@UX^AZPND]2*PYDEYXN_HZ I^[FWISS>'CTBBQ]PL,V[HS_N>?>7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!I^LL[WVJ&\UX^A==_@76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[FKWZLYNX"GPYDQOQQ0)AVDDS_^B.T]PVI55WK>j7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SNC_RDQFP*OXQLYGYY8!SSN\U673j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VIF\_K\EU-J[\CTD\^="^\C_P>3:1d<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXKDZYI^K[/H]ZAVJR\?$X^AQ^<0<6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"DQVER]LV@A)G\^T^HI:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!I^LL[WVJ&\UFYUH8_@@65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZHT8&CTUH]CUU4-MZHHW[ZF"XQBUYD4[FB3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$ER]]LU]MAZ7)]VDX<"GPYDQOQQ0)AVDDS_^B.T]NQ]@?=91^<"GPNN]G*PYNDH%^SJ<6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%ER@@_SRN*PYTZE99SO;?;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ3+LY^MZF^X; F_OM\VUK)]VYY@>1489V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[HS_?5;58;5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/H]PVIRXFLU:"XQAS1-J[\CTD\^="^\C_LW[<1><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ:$ERWJSMWW2+UUDV[8=1>1499V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+LYTZE^TBHQ>.T]MW5)NWPOX@XZ9.RPO[T56484?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR@\0.K\]@UK]]<%__BPQ23?6;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY;#DQVERNVP3(TZEUZ0=0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP4*OXQLYGYY8!SSN\U979548Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\JV6(AVSN_A[[6/QQHZW;;7?27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-MZ_B[VEYIJ @UU]QAB363\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(NWGET^]C!U^OV\C1XIK?:7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!F_RPOPZHBW8$^SC]>/H]ZAVJR\?$BSCAPRQO-QZKRPO=TOI:j;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_OQ2+LY^MZF^X; F_OM\VUK)]VG^TK6:0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!I^LL[WVJ&\UX^A==_@73?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,J[KIXZYG%YR]]L20\F06<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&CT__B[_OG\5+SXFZ;$ERWJSMWW2+OXFFUY\@ Z_RPO77YD=91^<"GPNN]G*PYNDH%^SJ?31?6;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)NWZXGXR@J_0,V[KU6'@URI^BZT7,PVIYV;8692984U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PNR3,MZ_B[E__:#]]L^S?4;213\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWGY:#DQVERNVP3(TZEUZ0<0;6:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,MZUUD]UEIR?!U^LP5*OXQLYGYY8!SSN\U949558Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*OX[[F_SCKP1/W\WFC(AVSN_A[[6/W\WWJD9?O?46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B I^QQHQYIMV;%YR]LMQAF+LY^MZF^X; Z_RPO77373\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%BS^\CT^LF[4(RWZIY_Y!F_XGPHPR1&@UECR\_M/W\IP^A?<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T_N\\T.K\]@UK]]<%ER@@_SRN*PYTZE99SL;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-J[VTK\VDNS< Z_RAQWQ)NWPOX@XZ9.H]MKZTWE'_T__B<2^@73>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZUDZZ^$ERWJSMWW2+UUDV[8=964U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PSBPPP*OXQLYGYY8!SSN\U969<11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(AVYY@YQAE^3-QZQDM&CTUH]CUU4-QZUUDJ;=I974U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.K\WWJSWGOT=#[PWIANTFC(AVSN_A[[6/W\WWJ4:=30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"GPSSNW[KCX9'_T[AMBPBG,MZ_B[E__:#[PSSN067?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&YHBYQLE3c8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*UDF]UHA]1:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(KHZTMIJ]_og{p959<91^<"GPNN]G*PYNDH%^SJ528Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,GDVXIMNYSckwt=5=05=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)DIYUJHI\Pndzw8=8382_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&IJ\ROKDS]ma}r;17>27X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V>R.T]PAI2f3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@U;SYAP65I-BZUBDR;;Q#[PSDN7e>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX8V^DS;:D.G]PAI]69T$^S^KC4`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[5YSGVj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ?_UM\21M)NVYN@V?;]/W\W@J3i2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][1_-QZEFXVKOH_;:;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_5[)]VIJ\ROKDS]NQ]353\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW=S!U^k@EUYFLMX>=6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ3^*PYDIYUJHI\:5:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^7Z&\UHM]QNDEP\IP^2:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V?R.T]jGDVXIMNY9<5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY1Y+SXKHZTMIJ]549V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]5U'_TOL^PAEFQ[HS_=;1^<"GPNN]G*PYNDH%^SJ;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_1[)]VIJ\ROKDS76?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS=W%YRMNP^CG@WYJ]Q?97X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQFNUABT+@XKHZTMIJ][5_-QZoDIYUJHI\:1:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^3Z&\UHM]QNDEP61>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR?V"XQLAQ]B@ATXE\R>>6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPIOV@EU(AWJK[SLJKRZ7^*PYnKHZTMIJ]509V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[LHSKHZ%JRMNP^CG@W]1U'_TOL^PAEFQ10=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NW@D_OL^!F^ABTZGCL[Q=Q#[PC@R\EABUWD_S9?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_HLWGDV)NVIJ\ROKDSY5Y+SXaJK[SLJKR438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZOI\JK["KQLAQ]B@AT\?T$^SNO__@FGV03<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVCEXNO_.G]@EUYFLMXP;P Z_BCS[DBCZVG^T8<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^KMPFGW&OUHM]QNDEPX3X(RW`IJ\ROKDS72?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYNF]IJ\#HPC@R\EABUS1W%YRMNP^CG@W323\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UBBYMNP/D\GDVXIMNYW5S!U^ABTZGCL[UFYU;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]JJQEFX'LTOL^PAEFQ_=[)]VcHM]QNDEP65>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXAG^HM] I_BCS[DBCZR3V"XQLAQ]B@AT2=2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTECZLAQ,E[FGWWHNO^V7R.T]@EUYFLMXTAXV:2:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\MKRDIY$MSNO__@FGV^?Z&\UbOL^PAEFQ17=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,GL:66<80Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'JC7>3;=;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"MF<2<66>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-@M929=;1^<"GPNN]G*PYNDH%^SJ.T]PGKR(K@6>28<4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#NG36?71?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.AJ8282:2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)DA5259?5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$OD26>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>3:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:66<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6928?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I2<>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>7:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:26<;0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'M6=28?4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#I28>438Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/E>;:07<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+A:>6 I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P HnI,V[HS_KP?37X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP=P Z_GFQA01<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]6U'_T@XZ:9:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[0_-QZ^HZJS>h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!GoJ-QZKRPJS>46[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW?S!U^DGV@303\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UECRZ\EP,J[VTK\VDNS< Z_RAMP*A\:T$^SA[[589V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ0^*PY_G[IR9i5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.FlK*PYJ]QIR955Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_OM\PVCV&@UX^AZPND]2*PYTKG^$KV=R.T]E@WC2?2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CTBBQ[SDS-MZUUD]UEIR?!U^Q@JQ)@S:W%YRBZT4;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY0Y+SXPFXHU8j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/EmL+SXE\RHU864U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^LL[QUBY'CT__B[_OG\5+SX[JD_#JU;]/W\BATB=>1^<"GPNN]G*PYNDH%^SJ.T]PGKR(OR>V"XQCUU7:?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYIGV^XI\ F_RPOPZHBW8$^S^MAT.EX0X(RWQEYOT;k;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,DjM(RWD_SOT;7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]MKZRTMX$BS^\CT^LF[4(RWZIEX"IT5\,V[CBUM<=0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$ER@@_UQFU+OX[[F_SCKP1/W\WFHS'NQ>Q#[PLTV6=>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OXFFU__H_!I^QQHQYIMV;%YR]LNU-D_0[)]VRD^NW:d:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-CkN)]VG^TNW:8:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\JJYS[L[%ER]]LU]MAZ7)]VYHBY!H[7_-QZ@CZL?<7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQAO^VPAT(NWZXGXR@J_0,V[VEI\&MP:P Z_MWW1<=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWGETX^K^.H]PVIRXFLU:"XQ\COV,C^0Z&\USC_MV5e9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*BhO&\UFYUMV599V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[KIX\ZOZ"DQ\RMV\J@Y6&\UXOCZ GZ5^*PYAL[O>;6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPNN]WW@W)AVYY@YQAE^3-QZUDF]%LW:S!U^NVP0?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVDDSY]JQ/K\WWJSWGOT=#[PSBLW+B]0U'_TTB\LY4f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZHHW]YN]#GPSSNW[KCX9'_T_N@[/FY;Y+Ai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`G.T]NQ]E^?6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY2Y+Ai@'_TAXVLY5g8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S8W%YRHKRD6g?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQCUU6e?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+LYTZE^TBHQ>.T]TGKR(OR;V"XQWOSAZ16=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP>P HnI,V[HS_KP>n7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ0^*PYAL[O?h6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXD\^?j6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"GPSSNW[KCX9'_T[N@[/FY1Y+SXPFXHU8=4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_6[)OgB%YRCZXB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ8Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP?P Z_YMQG\343\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV:R.FlK*PYJ]QIR8h5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.EX0X(RWONYI9j4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VF^X9h4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ I^QQHQYIMV;%YRYLNU-D_1[)]VRD^NW:3:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.K\WWJSWGOT=#[PWBLW+B]2U'MeD#[PMTZ@]1c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(AVYY@YQAE^3-QZQDF]%LW8S!U^DGV@2c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T]OQQ2a3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$KV;R.T][KWE^=:1^<"GPNN]G*PYNDH%^SJW%KcF!U^OV\F_3m2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&CT__B[_OG\5+SX_JD_#JU8]/W\BATB I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ GZ:^*BhO&\UFYUMV4d9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*A\0T$^SKJ]E5f8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRBZT5d8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,MZUUD]UEIR?!U^U@JQ)@S1W%YRV@RB[7a>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*OX[[F_SCKP1/W\SFHS'NQ2Q#[PFEPF0a=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_MWW0c=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&MP5P Z_YMQG\203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_1>1469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;97><7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#DQ\RMV\J@Y6&\U\OCZ S=0=02=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)NWZXGXR@J_0,V[REI\&Y7?3:8;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/H]PVIRXFLU:"XQXCOV,W929<>1^<"GPNN]G*PYNDH%^SJ.T]TGKR([5<58:5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!F_RPOPZHBW8$^SZMAT.Q?3;203\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'@UX^AZPND]2*PYPKG^$_161469V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-J[VTK\VDNS< Z_VAMP*U;179=7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQMRJ202>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SXJ[A:ok5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#DQFS^VFVHOII]CDBR]CIBG\J@6)]VG^TKl;;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]PGKRXKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg2<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIn95Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_RAMPZEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAa0>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,QZKRPMh?7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAc68Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.W\WWJEj=1^<"GPNN]G*PYNDH%^SJ'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C U^QQHAdf3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%^SNO__@FGVBCI'\UXOCZPCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<1S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*SX[JD_SNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1b`9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[VEI\VIF\"GPCR]DEKB)]VIXSJOAD^QQH*OX[?$^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U[M_ZFAM]PHLEB&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx692o74U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76k30Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YR]LNU]@IU)NWJYTKL@K.T]@WZAFFMUX^A!F_R4-QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\TDTSAHFT_AGLE/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>2:g?<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]VYHBYQLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>c;8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZUDF]UHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf682oo4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^Q@JQYDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87hj7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQ\COV\GHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T_N@[_BOS+LYD[VMJBI Z_BQ\CDHCWZXG#DQ\6/W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^RBVQOFDVYGENK!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RWZIEXRMBP.K\GVY@IGN%YRM\_FCM@ZUUD&CT_; Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQ_ASVJEIYTD@IN"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>e28Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UCO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-J[LUX\LXFECO[INL\WIODMVDN<#[PMTZEf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZGf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FJn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNAf0=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHn85Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNGfg=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRFLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R30?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh494im6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[MEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979jh1^<"GPNN]G*PYNDH%^SJ1:gg<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQGCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa33?`a?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+PYPKG^TDNC_/H]@WZAFFM$^SN]PG@LG[VTK'@UX:#[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ER^NRUKBHZUKAJO%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1bc9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-V[REI\VBHA]!F_BQ\CDHC&\UH_RINNE]PVI)NWZ<%YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT\L\[I@N\WIODM'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3lm;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRX@JG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd585no5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!Z_VAMPZNDEY%BSN]PG@LG*PYD[VMJBIQ\RM-J[V0)]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OXXHX_ELBPSMK@A+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7n;7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#XQXCOV\HFKW'@UH_RINNE,V[FUXOHDOS^\C/H]P2+SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZVFZ]CJ@R]CIBG-QZAFFMUX^AQ\LHAF[KIXZYG$ERG\_UGQILHF\@EES^BFCD]MA5(RWD_SJo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Eg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SHo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOEg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNo;4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOGg3<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHol4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ U^U@JQYKKDZ$ERM\_FCM@+SXKZULMCJPSSN,MZU1&\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NWYKYXDOC_RNJG@(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878ei2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=fd=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UHM]QNDEPDAK)RW^IEXRBLMQ-J[FUXOHDO"XQLS^EBJAYTZE%BS^8!U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPP@PWMDJX[ECHI#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>cc8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,QZQDF]UGO@^ I^AP[BGIL'_TO^QHAOF\WWJ(AVY="XQLS^EBJAYTZEUX:"GPURG\VUKXMX:%YRINNE]PVIYTM]%BS]O]THCO[VJNKL$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3ln;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/T]TGKRXDJG[#DQLS^EBJA(RWJYTKL@K_RPO+LYT>'_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AVZJ^YGNL^QOMFC)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4:4in6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"[PWBLW[IEJX&CTO^QHAOF-QZETWNKEHR]]L.K\W3(RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYWI[^BMAQ\LHAF*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76kh0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$YRYLNU]OGHV(AVIXSJOAD/W\GVY@IGNT__B I^Q5*PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[UGU\@KGS^BFCD,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848ej2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&_T[N@[_MANT*OXKZULMCJ!U^AP[BGILVYY@"GPS7,V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]SEWRNIEUX@DMJ.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:gd<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(]V]HBYQCCLR,MZETWNKEH#[PCR]DEKBX[[F$ER]9.T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_QCQPLGKWZFBOH Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2<0f>S7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*eaoVnjxl2?>2`8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,gcaXlh~j0<0l4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ cge\`drf4:48n6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"mig^fbpd:36=:0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$okiPd`vb[scd48:58=5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li7=<0;0:W3+LYIGVN%YRGCA.W\C7YTY'_TOL^LTSN,QZEFXVKOH_IJN.aecZbf|hU}in2>2?63?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+f``WmkmRxjc=30:16<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TOL^PAEFQC@H(komThlzn_wg`8429<91^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'jllSio{a^tfg939;o1^<"GPNN]G*PYNDH%^SJ79m7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#nhh_ecweZpbk5=5?k5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!lff]geqgX~li743=i;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/bdd[agsiV|no1713b9V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYDIYUJHI\HEO-jGDVXIMNY0=0m4U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iBCS[DBCZ595?n5Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fC@R\EABU4=48o6[?/H]MKZB)]VCGM"[PG3]PU+SXKHZHX_B U^ABTZGCL[MNB"gLAQ]B@AT;=79h7X> I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_BCS[DBCZNOE#dMNP^CG@W:16:i0Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$eNO__@FGV919;j1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%^SNO__@FGVBCI'`YHBY2?>2:8Q5)NWGETH#[PIMC,QZA5WZ[%YRMNPBVQH*SXKHZTMIJ]GDL,mVEI\5;5?55Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]@EUYFLMXLIC!fSBLW878402_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$YRMNP^CG@WABF&cXOCZ33?1;?P6(AVDDSI Z_HNB+PY@:VYZ"XQLAQAWVI)RWJK[SLJKRFGM+lUDF]6?2>64U1-J[KIXL'_TEAO U^E1[VW)]VIJ\NZ]L.W\GDVXIMNYKH@ iRAMP939;11^<"GPNN]G*PYNDH%^SJS7'@UECRJ!U^KOE*SXO;UX]#[PC@R@PWJ(]VIJ\ROKDSEFJ*oTKG^7;3=7;T2,MZHHWM$^SDBN/T]D6ZUV&\UHM]M[RM-V[FGWWHNO^JKA/hQ@JQ:?6:20Y=!F_OM\@+SXAEK$YRI=_RS-QZEFXJ^Y@"[PC@R\EABUOLD$e^MAT=;=6`=R8&CTBBQK.T]JHD)RWN8T_\ Z_BCSGQTK'\UXOCZPCLR\U7><]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&_TX_GH289V4*OXFFUO"XQFL@-V[B4X[X$^SNO_CUPO+PYSZ@M;>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/T]WVLA6:o1^<"GPNN]G*PYNDH%^SJ I^LL[A(RW@FJ#XQH2^QR*PYDIYI_^A!Z_VAMPZJDEYUZ>45Z0.K\JJYC&\UB@L!Z_F0\WT(RWJK[OY\C/VAMPZEB:k1^<"GPNN]G*PYNDH%^SJR]^.T]@EUESZE%\OCZPHBOS[FC5l2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_IANTZW5j2_;#DQAO^F-QZOKI&_TK?Q\Q/W\GDVD\[F$[N@[_MANT7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&]HBYQCCLR\G@4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%\OCZPLBOS[T4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?4;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?5;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?6;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?7;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?0;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?1;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?2;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?3;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?<;4c3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%hjjQkauc?=;4d3\:$ER@@_E,V[LJF'\UL>R]^.T]@EUESZE%bOL^PWS]FJ7b<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]JJ7c<]9%BSCAPD/W\MIG(]VM9S^_!U^ABTFRUD&c_^DIHES]LQQ433\:$ER@@_E,V[LJF'\UY_Y!H_SQW_5[)]VXXX?:4U1-J[KIXL'_TEAO U^PPP*AXZZ^P=P Z_SQW61=R8&CTBBQK.T]JHD)RW[Y_#JQ]SUY1Y+SXZZ^986[?/H]MKZB)]VCGM"[PRRV,CZTT\R9V"XQ]SU07?P6(AVDDSI Z_HNB+PYU[]%LS_][[5_-QZTT\;>0Y=!F_OM\@+SXAEK$YR\\T.E\VVR\=T$^S_][259V4*OXFFUO"XQFL@-V[WUS'NUY_YU9]/W\VVR5<2_;#DQAO^F-QZOKI&_T^^Z G^PPP^1Z&\UY_Y4U1-J[KIXL'_TEAO U^PPP*VUMVXN_HZ?219V4*OXFFUO"XQFL@-V[WUS'YXNS_K\EU31<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWJFNM_<8;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\@JSS:11^<"GPNN]G*PYNDH%^S_][/T]BVHY_NLX$YRJ@UU21<>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWME^X<=;;T2,MZHHWM$^SDBN/T]QWQ)RWHXFSUHJR.W\C@HXLLFJUV?R.T]E@62<]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\ULICQKEMCZ_7[)]VLO?95Z0.K\JJYC&\UB@L!Z_SQW+PYFZDUSJH\ U^EFJZBBDHSP?P Z_GF00>S7'@UECRJ!U^KOE*SXZZ^$YRO]M^ZEAW)RWNOESIKCAXY7Y+SXNM837X> I^LL[A(RW@FJ#XQ]SU-V[DTJWQLN^"[PRGFQA7><]9%BSCAPD/W\MIG(]VXXX"[PASO\\CCU'\U_JI\J2b9V4*OXFFUO"XQFL@-V[WUS'\UJ^@QWFDP,`lhXdh~hdhj=5:W3+LYIGVN%YRGCA.W\VVR(]VKYARVIES-jGIT502_;#DQAO^F-QZOKI&_T^^Z U^CQIZ^AM[%bHD@30?0;?P6(AVDDSI Z_HNB+PYU[]%^SL\B_YDFV*oCAG6:2?84U1-J[KIXL'_TEAO U^PPP*SXI[GTTKK]/hFLQQ4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?4;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?5;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?6;4c3\:$ER@@_E,V[LJF'\UY_Y!Z_@PN[]@BZ&cOCXZPdm{?0;4>3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%^SNBJAS0;?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)RWME^X?74U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\@JSS8;30Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"[PDNWW563<]9%BSCAPD/W\MIG(]VXXX"[PH@NW[]@BZ&_TKH@PDDNB]^7Z&\UMH>;4U1-J[KIXL'_TEAO U^PPP*SX@HF_SUHJR.W\C@HXLLFJUV3\:$ER@@_E,V[LJF'\UY_Y!Z_ICOPZ^AM[%bHD@30?0:?P6(AVDDSI Z_HNB+PYU[]%^SEOCT^ZEAW)nL@D7=3<8;T2,MZHHWM$^SDBN/T]QWQ)RWAKGXRVIES-j@JSS:l1^<"GPNN]G*PYNDH%^S_][/T]KEIRXPOOY#dJ@UU]gh|:76;o0Y=!F_OM\@+SXAEK$YR\\T.W\LDJSWQLN^"gKOTV\`i;:7;i7X> I^LL[A(RW@FJ#XQ]SU-V[UIU9m1^<"GPNN]G*PYNDH%^S_][/T]SVVR79m1^<"GPNN]G*PYNDH%^S_][/T]SVVR69j1^<"GPNN]G*PYNDH%^S_][/T]QWQ66n2_;#DQAO^F-QZOKI&_T^^Z iSGPAQ:76;?0Y=!F_OM\@+SXAEK$YR][AU-BGQTB[L^T|xb|e328Q5)NWGETH#[PIMC,QZUSI]%JOYQksucw65=R8&CTBBQK.T]JHD)RWZ^JX"O]M^fppdr5=2_;#DQAO^F-QZOKI&_T_YO[/BCS[WCTM]Uo`t?>f:W3+LYIGVN%YRGCA.W\WQGS'MCYXTQK00d8Q5)NWGETH#[PIMC,QZUSI]%OE_ZV_E314>S7'@UECRJ!U^KOE*SX[]K_#IG]TX]PAI453\:$ER@@_E,V[LJF'\UXXLZ DHPW]Zbt|h~986[?/H]MKZB)]VCGM"[PSUCW+AUSI]UnbRjcy007?P6(AVDDSI Z_HNB+PYT\H^$H^ZNT^gm[aj~:;>0Y=!F_OM\@+SXAEK$YR][AU-GWQGSWldThaw<209V4*OXFFUO"XQFL@-V[VRF\&NXXLZPlncg6<=R8&CTBBQK.T]JHD)RWZ^JX"KWTBCS[WCFLPUoyo{209V4*OXFFUO"XQFL@-V[VRF\&L^@AQksucw6c=R8&CTBBQK.T]JHD)RWZ^JX"HPSRVBP^6Z&@UXXLZ!U^QWEQ573\:$ER@@_E,V[LJF'\UXXLZ F^QPPDR\99W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q:Q#GPSUCW*PYT\H^9j6[?/H]MKZB)]VCGM"[PSUCW+CYT[]K_W?S!I^QWEQ(RWZ^JX?h4U1-J[KIXL'_TEAO U^QWEQ)AWZY_MYU<]/K\WQGS&\UXXLZ=f:W3+LYIGVN%YRGCA.W\WQGS'OUX_YO[[5_-MZUSI]$^S^ZNT3d8Q5)NWGETH#[PIMC,QZUSI]%MS^][AUY6Y+OX[]K_"XQ\T@V1b>S7'@UECRJ!U^KOE*SX[]K_#KQ\SUCW_3[)AVY_MY Z_RVBP7`<]9%BSCAPD/W\MIG(]VY_MY!I_RQWEQ]0U'CT_YO[.T]PPDR5n2_;#DQAO^F-QZOKI&_T_YO[/G]PWQGSS1W%ER][AU,V[VRF\;l0Y=!F_OM\@+SXAEK$YR][AU-E[VUSI]Q2Q#GPSUCW*PYT\H^986[?/H]MKZB)]VCGM"[PSUCW+BYI[R:V"XQASP07?P6(AVDDSI Z_HNB+PYT\H^$KR@\[0_-QZHTY;>0Y=!F_OM\@+SXAEK$YR][AU-D[KU\:T$^SC]^259V4*OXFFUO"XQFL@-V[VRF\&MTB^U<]/W\JVW5<2_;#DQAO^F-QZOKI&_T_YO[/F]MW^2Z&\UE_\<;;T2,MZHHWM$^SDBN/T]PPDR(OVDXW8S!U^LPU72<]9%BSCAPD/W\MIG(]VY_MY!H_OQX2X(RWGYZ>95Z0.K\JJYC&\UB@L!Z_RVBP*AXFZQS7'@UECRJ!U^KOE*SX[]K_#JQASZ:^*PYI[X8?7X> I^LL[A(RW@FJ#XQ\T@V,CZHTS0W%YR@\Q3;8Q5)NWGETH#[PIMC,QZUSI]%FaxvPDHPW]Zbt|h~:=?<4U1-J[KIXL'_TEAO U^QWEQ)I[Vnxxlz30?01?P6(AVDDSI Z_HNB+PYT\H^$B^Qksucw8485:2_;#DQAO^F-QZOKI&_T_YO[/OQ\`vrf|585>?5Z0.K\JJYC&\UB@L!Z_RVBP*HTWmymy2<>308Q5)NWGETH#[PIMC,QZUSI]%E_Rj|t`v?0;453\:$ER@@_E,V[LJF'\UXXLZ NR]gwqgs4<49>6[?/H]MKZB)]VCGM"[PSUCW+KUXlz~jx181239V4*OXFFUO"XQFL@-V[VRF\&DXSi}{au>4:74<]9%BSCAPD/W\MIG(]VY_MY!AS^fppdr;07897X> I^LL[A(RW@FJ#XQ\T@V,JVYc{}k040>e:W3+LYIGVN%YRGCA.W\WQGS'GYT`bok249V4*OXFFUO"XQFL@-V[VRF\&Y_MYKPdrvbp969:<1^<"GPNN]G*PYNDH%^S^ZNT.QWEQCXlz~jx1?11g9V4*OXFFUO"XQFL@-V[VRF\&^OCR`jxu07?P6(AVDDSI Z_HNB+PYT\H^$X_GHGDP\`vrf|8i0Y=!F_OM\@+SXAEK$YR][AU-V[DTJ:<1^<"GPNN]G*PYNDH%^S^ZNT.W\EWKXoenS~k{1c9V4*OXFFUO"XQFL@-V[VRF\&_TO_<<;T2,MZHHWM$^SDBN/T]PPDR(]VNB^YWPFEAF66=R8&CTBBQK.T]JHD)RWZ^JX"[PDHPW]Z@CXL897X> I^LL[A(RW@FJ#XQ\T@V,QZBNZ]ST@IM/T]GKPR5=2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VNDYY>=5:W3+LYIGVN%YRGCA.W\WQGS'\UO_A? U^FLQQ7492_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(]VMNBRJJL@[X5X(RWON996[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$YR\IDSG11>S7'@UECRJ!U^KOE*SX[]K_#XQKSM3,QZRAL[O956[?/H]MKZB)]VCGM"[PSUCW+PYC[E;$hd`Pl`v`l`b5:2_;#DQAO^F-QZOKI&_T_YO[/T]GWI7(aJFY>85Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJFN=2=60=R8&CTBBQK.T]JHD)RWZ^JX"[PDRN2+lBNF5;5>l5Z0.K\JJYC&\UB@L!Z_RVBP*SXLZF:#dJ@UU]gh|:76;k0Y=!F_OM\@+SXAEK$YR][AU-V[AUK9&cOCXZPdm{?5;7c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH77c3\:$ER@@_E,V[LJF'\UXXLZ U^FPH67d3\:$ER@@_E,V[LJF'\UXXLZ U^FPV4e<]9%BSCAPD/W\MIG(]VY_MY!Z_DAQ60=R8&CTBBQK.T]JHD)RWZ^JX"[PEBP\cisbWzo=i5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FG>;5Z0.K\JJYC&\UB@L!Z_RVBP*SXN\FGSjbze^qfp61<]9%BSCAPD/W\MIG(]VY_MY!Z_LW[+PYD[VG^T"G>.T]NQ]4(EdsSB?<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH98927X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2555>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>101a?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:= I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M2565>3\:$ER@@_E,V[LJF'\UXXLZ U^OV\*SXKZUFYU!F1/W\IP^5'Dg~tRA>151:?P6(AVDDSI Z_HNB+PYT\H^$YRCZX.W\GVYJ]Q%B=#[PMTZ1+HkrpVE:=8=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI6::20Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N307==R8&CTBBQK.T]JHD)RWZ^JX"[PMTZ,QZETWD_S#D?!U^OV\7)Je|rTC<;<8:W3+LYIGVN%YRGCA.W\WQGS'\UFYU!Z_BQ\IP^(A8$^S@[W2.Onq}YH9?9h7X> I^LL[A(RW@FJ#XQ\T@V,QZKRP&_TO^QBUY-J5+SXE\R9#@czx^M22ZUP8:i0Y=!F_OM\@+SXAEK$YR][AU-V[HS_'\UH_RCZX.K2*PYJ]Q8$A`{w_N35[VQ6;11^<"GPNN]G*PYNDH%^S^ZNT.W\IP^(]VIXS@[W/H3-QZKRP;%FaxvPO050<>S7'@UECRJ!U^KOE*SX[]K_#XQBUY-V[FUXE\R$E< Z_LW[6*Kj}qUD=5=7;T2,MZHHWM$^SDBN/T]PPDR(]VG^T"[PCR]NQ])N9'_TAXV=/Lov|ZI618i0Y=!F_OM\@+SXAEK$YR][AU-V[KUK;91^<"GPNN]G*PYNDH%^S^ZNT.W\V@UB\VOOKH!F_I0O*PYCG\^9n6[?/H]MKZB)]VCGM"[PSUCW+PYUMZO_SHJHE.W\@JSS8;h0Y=!F_OM\@+SXAEK$YR][AU-V[WCTM]UNHJK U^FLQQ75k2_;#DQAO^F-QZOKI&_T_YO[/T]QAVCSWLNLI"gKOTV?4;4d3\:$ER@@_E,V[LJF'\UXXLZ U^PFW@RXMMMN#dJ@UU>2:4`<]9%BSCAPD/W\MIG(]VY_MY!Z_SKPMKA582_;#DQAO^F-QZOKI&_T_YO[/T]PPDRDEM897X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]IFHR`>f:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZJ00d8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNTD313>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@6:2?94U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.AJ8785?2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$OD2<>358Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*EN4=49;6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ CH>6:71<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&IB0;0=7:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,GL:06;=0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"MF<9<13>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(K@622?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.F?4;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%O0<0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,@949:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#I2<>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*B;<78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!K<4<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(L5<5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/E>4:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&N743<9;T2,MZHHWM$^SDBN/T]PPDR(]VY_MYQLNU-G8<84:2_;#DQAO^F-QZOKI&_T_YO[/T]PPDRXKG^$KV>R.FlK*PYJ]QIR>i5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXNMXN>n5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^9i6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ2^*PY_G[IR??5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/FY2Y+Ai@'_TAXVLY3f8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SKJ]E3a8Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\9T$^SA[[2d9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]6U'_TTB\LY208Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*A\:T$LbE Z_LW[G\4c3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^DGV@4d3\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW?S!U^NVP7c<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP>P Z_YMQG\553\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%LW>S!GoJ-QZKRPJS9h6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYAL[O9o6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ1^*PYK]]8n7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[2_-QZ^HZJS8>6[?/H]MKZB)]VCGM"[PSUCW+PYT\H^TOCZ GZ6^*BhO&\UFYUMV2e9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_TJI\J2b9V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=e:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^2Z&\USC_MV339V4*OXFFUO"XQFL@-V[VRF\&_T_YO[_BLW+B]2U'MeD#[PMTZ@]7b<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_GFQA7e<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP9P Z_MWW6`=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ>Q#[PXNP@]64<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&MP:P HnI,V[HS_KP8o7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZ@CZL8h7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[7_-QZJR\;o0Y=!F_OM\@+SXAEK$YR][AU-V[VRF\VIEX"IT6\,V[]IUKP997X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!H[6_-CkN)]VG^TNW=d:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UMH_K=c:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,C^1Z&\UGYYZ&NdC"XQBUYAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ3Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR2V"XQWOSAZ6a=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PFEPF6f=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'NQ2Q#[PLTV1a>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR(OR3V"XQWOSAZ63=R8&CTBBQK.T]JHD)RWZ^JX"[PSUCW[FHS'Z6;2?84U1-J[KIXL'_TEAO U^QWEQ)RWZ^JXRMAT.Q?5;413\:$ER@@_E,V[LJF'\UXXLZ U^QWEQYDF]%X0?0=6:W3+LYIGVN%YRGCA.W\WQGS'\UXXLZPCOV,W959:?1^<"GPNN]G*PYNDH%^S^ZNT.W\WQGSWJD_#^2;>348Q5)NWGETH#[PIMC,QZUSI]%^S^ZNT^AMP*U;=78=7X> I^LL[A(RW@FJ#XQ\T@V,QZUSI]UHBY!\<7<12>S7'@UECRJ!U^KOE*SX[]K_#XQ\T@V\GKR([5=5>;5Z0.K\JJYC&\UB@L!Z_RVBP*SX[]K_SN@[/R>;:70<]9%BSCAPD/W\MIG(]VY_MY!Z_RVBPZEI\&Y753?l;T2,MZHHWM$^SDBN/T]PPDR(]V^OC?>4U1-J[KIXL'_TEAO U^QWEQ)RW]XBKJK]289V4*OXFFUO"XQFL@-V[VRF\&_TX_GHGDP\cisbWzo=k5Z0.K\JJYC&\UB@L!Z_RVBP*oT\H^7<30d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<0<2b>S7'@UECRJ!U^KOE*SX[]K_#d][AU>1:4`<]9%BSCAPD/W\MIG(]VY_MY!fSUCW8686n2_;#DQAO^F-QZOKI&_T_YO[/hQWEQ:368l0Y=!F_OM\@+SXAEK$YR][AU-jWQGS4<4:j6[?/H]MKZB)]VCGM"[PSUCW+lUSI]6=2f:W3+LYIGVN%YRGCA.W\WQGS'`Y_MY27>0d8Q5)NWGETH#[PIMC,QZUSI]%b_YO[<8<16>S7'@UECRJ!U^KOE*SX[]K_#d][AUAN@ZEB:=1^<"GPNN]G*PYNDH%^S^ZNT.kPPDRDEMUHIR`=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>3:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4849?6[?/H]MKZB)]VCGM"[PSUCW+lUSI]UHBY2=>318Q5)NWGETH#[PIMC,QZUSI]%b_YO[_BLW8685;2_;#DQAO^F-QZOKI&_T_YO[/hQWEQYDF]6?2?=4U1-J[KIXL'_TEAO U^QWEQ)n[]K_SN@[<4<17>S7'@UECRJ!U^KOE*SX[]K_#d][AU]@JQ:16;90Y=!F_OM\@+SXAEK$YR][AU-jWQGSWJD_0:0=3:W3+LYIGVN%YRGCA.W\WQGS'`Y_MYQLNU>;:75<]9%BSCAPD/W\MIG(]VY_MY!fSUCW[FHS4049:6[?/H]MKZB)]VCGM"[PTSKD+CYIGV^HAN Z_GFQ77=R8&CTBBQK.T]JHD)RW]XBK"[PTB-J[VRHZHMNS\[NL/W\GDVXJ:>0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AVY_C_OHE^SVEI(RWJK[SIBV7d9V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_RVLVDABWX_J@#[PSUMQEBCXY\KG#DQ\RMV\J@Y6&@UEAXQ>_UM\0+SX\JF$ER@BU^GR5+SXaME^X"GPYDQOQQ0)]VYY@N?9E7:8Q5)NWGETH#[PIMC,QZRUAN%^SYM I^QWKWG@MV[^MA Z_RVLVDABWX_J@"GPSSNW[KCX9'CTB@[P1^VL[1(RW]IG#DQ@UUPFC+SXLF__9h5Z0.K\JJYC&\UB@L!Z_UPJC*SX\J%BS^Z@R@EF[TSFD'_T_YA]AFG\UPGK'@UX^AZPND]2*LYIE\U:SYAP4/W\PFJ(aME^X8o4U1-J[KIXL'_TEAO U^VQMB)RW]I$ER][OSCDAZWRIE$^S^Z@R@EF[TSFD&CT__B[_OG\5+OXFD_T=RZ@_5,V[QTNOX>97X> I^LL[A(RW@FJ#XQ[RHE,QZRD'@UXXB\NGD]RQDJ)]VY_C_OHE^SVEI)n\[CLKH\8a:W3+LYIGVN%YRGCA.W\PWO@'\U_O"GPTRGR[KCV:'_TXNQJQTCWMJH(AVYY@YQAE^3-MZHJ]V;TXBQ;.T]WGI)NWGG^SH_>.T]j@JSS'@URI^BZT7,V[VTKK8.H]MIPY6W]ET8#[PTBN,MZIR\[OL"XQKOTV6e>S7'@UECRJ!U^KOE*SX\[CL#XQ[C.K\PVCVWGOZ>#[PTB]FUPGSAFD$ER]]LU]MAZ7)AVDFYR?PTN]7*PYSKE%bHB[[579V4*OXFFUO"XQFL@-V[QTNO&_TXN!F_UQFUZHBY;$^SYMPEPWBPLII'@UX^AZPND]2*LYIE\U:SYAP4/W\PWO@Y:o0Y=!F_OM\@+SXAEK$YRZ]IF-V[QE(AV^XI\QAEP0-QZRDWL[^MYG@N.kWVLA@M[8?7X> I^LL[A(RW@FJ#XQ[RHE,QZRD'`IJ\Y[]E3:8Q5)NWGETH#[PIMC,QZRUAN%^SYM iBDD[AGSI5:5?n5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(AVGHSCA!U^LL[HEXZLM8:6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$HB[[_uosef=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^6Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_467U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^779T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]68;W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\999V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:<;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P==9R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<>7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V??9\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lj4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU0\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU11_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[031Y+OXAGUYMCIJ.H]VW@YNFY__S_KH_OG3*PY@IGNTHAW>ad9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV1Z327X(NW@DT^L@HE/K\QVCXAGZ^XR\JG^LF4+SXOHDOSIBV1`g8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY0Y251[)AVCES_OAGD,J[PUBW@D[YYQ]EF]MA5(RWNKEHRJCY0cf?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX3X543Z&@UBBR\NNFG-MZSTMVCE\XZPRDE\J@6)]VMJBIQKLX3ba>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[2_471U'CTECQ]AOEF*LYR[LUBB][[_SGD[KC7&\ULMCJPDM[2e`=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ5^76?T$BSD@PR@LDA+OX]ZOTEC^ZT^PFCZHB8'_TKL@K_ENZ5dc<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]4]691W%ERGA_SCMC@(NW\YNSD@_UU]QABYIM9$^SJOAD^FO]4gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\7\983V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q:>?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P=?=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W<<;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V?=5\,J[LHXZHDLI#GPURG\MKVR\VXNKR@J0/W\CDHCWMFR=lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU27_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU[00^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[01^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[06^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[07^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[04^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[05^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0:^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0;^*LYNFVXJBJK!I^WPAZOIX\^T^HIPND2-QZAFFMUO@T?nc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW>[0_-MZOIW[KEKH F_TQF[LHW]]UYIJQAE1,V[BGILVNGU]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:=]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:<]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:;]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V::]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:9]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:8]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:7]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mi5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:6]/K\MKYUIGMN"DQZSD]JJUSSW[OLSCK?.T]DEKBXLES:mn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR=V:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8>R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8?R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8=R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8:R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8;R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W88R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W89R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W86R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jh6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W87R.H]JJZTFFNO%ER[\E^KMTPRXZLMTBH>!U^EBJAYCDP;jo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES:W8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:=S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P: I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:?S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:>S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:9S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:8S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:;S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P::S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:5S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8ko7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:4S!I^KM[WGIOL$BSX]J_HLSQQYUMNUEI= Z_FCM@ZBKQ8kh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP;P:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<=P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<>P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8QR.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<8P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<9P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<:P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<;P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<4P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hn0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8Q<5P F_HL\VDH@M'CTY^KPIORVPZTBOVDN<#[PG@LG[AJ^9hi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ8QQ#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2=Q#GPIO]QEKAB&@U^_HQFNQWW[WC@WGO;"XQHAOF\@I_6im1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^9R2V"DQFN^PBJBC)AV_XIRGAPTV\V@AXFL:%YRINNE]GH\7fl2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\8T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y245[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T=]/K\MKYUIGMN"XQHAOF\@I_51h1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R;;?P F_HL\VDH@M'_TKL@K_ENZ6V??7\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:<5S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\993V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_46Z&@UBBR\NNFG-QZAFFMUO@T<6a:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[033Y+OXAGUYMCIJ.T]DEKBXLES95l5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V?>1\,J[LHXZHDLI#[PG@LG[AJ^:0k0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:=?S!I^KM[WGIOL$^SJOAD^FO]7?f3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\989V"DQFN^PBJBC)]VMJBIQKLX0:e>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_473U'CTECQ]AOEF*PY@IGNTHAW=9`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z321X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>17_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=<9R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^761T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y25X(NW@DT^L@HE/W\CDHCWMFR>4o4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>21_-MZOIW[KEKH Z_FCM@ZBKQ;3j7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P=??R.H]JJZTFFNO%YRINNE]GH\4>i2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

&MTKL@K_ENZ6^75;T$BSD@PR@LDA+SXOHDOSIBV28c8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y261[)AVCES_OAGD,V[BGILVNGU?7n;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>4\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:9P F_HL\VDH@M'_TKL@K_ENZ6T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y23X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U>8\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q:5P F_HL\VDH@M'_TKL@K_ENZ6<><]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%LSJOAD^FO]7]6U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z03Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<>]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R89Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^44U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z07Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<:]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8=Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^40U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z0;Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V<6]/K\MKYUIGMN"XQHAOF\@I_5111^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_66Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[23^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_62Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[27^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>8R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_6>Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[2;^*LYNFVXJBJK!U^EBJAYCDP8246[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<9W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X04[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8>S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<=W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X00[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P8:S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\<1W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X0<[)AVCES_OAGD,V[BGILVNGU?77;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@TR.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>

474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:3\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>8P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U:7\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q>4P F_HL\VDH@M'_TKL@K_ENZ6V8?]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<:Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^05U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z40Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V8;]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<>Q#GPIO]QEKAB&\ULMCJPDM[1=<=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^01U'CTECQ]AOEF*PY@IGNTHAW=989V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*AXOHDOSIBV2Z44Y+OXAGUYMCIJ.T]DEKBXLES9545Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.E\CDHCWMFR>V87]/K\MKYUIGMN"XQHAOF\@I_5101^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"IPG@LG[AJ^:R<2Q#GPIO]QEKAB&\ULMCJPDM[1===R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&MTKL@K_ENZ6^0Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[62^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:?R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

8V"DQFN^PBJBC)]VMJBIQKLX0:=>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_25Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[66^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:;R.H]JJZTFFNO%YRINNE]GH\4>12_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'NULMCJPDM[1_21Z&@UBBR\NNFG-QZAFFMUO@T<69:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+BY@IGNTHAW=[6:^*LYNFVXJBJK!U^EBJAYCDP8256[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/F]DEKBXLES9W:7R.H]JJZTFFNO%YRINNE]GH\4>02_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<5[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4?S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0:W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<1[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4;S!I^KM[WGIOL$^SJOAD^FO]7?>3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$KRINNE]GH\4\0>W%ERGA_SCMC@(RWNKEHRJCY3;:?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(OVMJBIQKLX0X<=[)AVCES_OAGD,V[BGILVNGU?76;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,CZAFFMUO@T I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 G^EBJAYCDP8P4P F_HL\VDH@M'_TKL@K_ENZ68T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:5X(NW@DT^L@HE/W\CDHCWMFR>474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U62\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2?P F_HL\VDH@M'_TKL@K_ENZ6474U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U66\,J[LHXZHDLI#[PG@LG[AJ^:030Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!H_FCM@ZBKQ;Q2;P F_HL\VDH@M'_TKL@K_ENZ60T$BSD@PR@LDA+SXOHDOSIBV28;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)@WNKEHRJCY3Y:=X(NW@DT^L@HE/W\CDHCWMFR>464U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-D[BGILVNGU?U6]/K\MKYUIGMN"XQHAOF\@I_5lj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^6Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Gck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX4X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Gae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZG`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ2^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LCf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT0\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU?]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BKe39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;97o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0?0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW=S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[1_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl848b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S9W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV>R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY3Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\8T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0>0kd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYULkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AOkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AMkd:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<>R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>3:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY24X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+iekWzxgS|2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>0\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8:V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUgc1<1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV??]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX55[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd5;5i>5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;;Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT11_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh959lm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^76U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\98W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ32Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]69T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW2:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY25X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8;V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2<>ef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CAef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CCef8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>2\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r858b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[00^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:>P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa32?g0?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT13_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^75U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7=3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ31Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7no7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDHno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDJno7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?5;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C lbn\wwjXy585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR;8Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX56[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zjh4;4n?6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S89V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d18Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU>3\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo848b;2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMCg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@g`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMAg`>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf7>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[06^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]61:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY20X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<:R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=0=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ37Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o87X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e29V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV?;]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?6;c43\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX51[)]VMJBIQ\RM]PHLEBWGET^]C mtz`}Zubd595==j4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/K\QVCXG\^[YYQ]EF]MA5(RWFXNKk64U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:9P Z_FCM@ZUUDVYGENKPNN]QTH)NW@YTXH\BIOCWMJHX[ECHIR@J0/W\IP^Alm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Elm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJFlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJDlm1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm:1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^72U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7<3k<;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a6=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ36Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7?3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<5S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[07^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:76l90Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j3:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW<;R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`5<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY21X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4:4oo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S8W%YRINNE]PVIYTD@INSCAPRQO,QZKRPHnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Jmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]6U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Dlj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Bck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!Z_RPOFae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY2Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSN@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ3^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RMFf6>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$`nbPssn\u969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R32?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT1\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?4;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX5X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;97o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\9T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP=P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<1S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[0_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh979m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^7Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme692h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ:Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb33?f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT2\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WAea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVMdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTVP Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR8V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEIoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S;W%YRINNE]PVIYTD@INSCAPRQO,QZUUDMo97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-ogiYtzeUz0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:56l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]5U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6;2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ9Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2>>d38Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU=]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPln>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY1Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;87o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\:T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0<0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW?S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=0=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ0^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:46mi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]4U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Flj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/T]NQ]Dck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[@ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY0Y+SXOHDOS^\C_RNJG@YIGVX[A"[PSSNB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#XQ\RM@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[2_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]LBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT3\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CDd08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&fh`R}}l^s?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1<1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV=R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=2=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ1^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok979m81^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^5Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ykg585i?5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR9V"XQHAOF\WWJX[ECHIR@@_SRN+hskpUxia2?>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU<]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?5;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX7X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck4;4n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S:W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1=1db9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV:R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUOkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(]VG^TOjl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP8P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SOim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\ROhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR>V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZEKoo6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,QZUUDKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]3U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJCm;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/mao[vtkWx6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*jddVyy`R31?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%goaQ|rm]r878b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S=W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0<0j1:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW9S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuRb`<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[5_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^qfh969m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^2Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6:2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ?Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsThb32?g1?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT4\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_rgo868ck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!Z_LW[Fae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY6Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZ@`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUYFgg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[4_-QZAFFMUX^AQ\LHAF[KIXZYG$YR]]L@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%^S^\CBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU:]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV;R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^AJj2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(djfTbPq=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7>3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP9P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa30?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT5\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?5;c63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX1X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[ii;:7o97X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\=T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wzog0=0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW8S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=3=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ7^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:56l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]2U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7?3jl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP:P Z_FCM@ZUUDVYGENKPNN]QTH)RWD_SMim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*SXE\RIhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,QZKRPMnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\>T$^SJOAD^QQHZUKAJOTBBQ]PL-V[VTKImi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.W\WWJElj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^0Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIEck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!ccm]pviYv494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>1:`7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY5Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\hj:76l;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]1U'_TKL@K_RPO[VJNKLUECR\_M.ov|fXdf6:2h?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ=Q#[PG@LG[VTKWZFBOHQAO^PSI*krpjsT`b2=>d08Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU9]/W\CDHCWZXGS^BFCD]MKZTWE&g~tnwPsdn?4;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX2X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck484n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S?W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1<1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV8R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>0:ae<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY4Y+SXOHDOS^\C_RNJG@YIGVX[A"[PMTZB`f=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#XQBUY@gg>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[6_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZXBf`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT7\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WDea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU8]/W\CDHCWZXGS^BFCD]MKZTWE&_T__BNdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'\UX^ALkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW:S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Njl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP;P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGHh<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ1e09V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV9R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQco=3=a4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ5^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]ok949m;1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^1Z&\ULMCJPSSN\WIODMVDDS_^B/lw{g|Ytme6;2h<4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,QZKRPKnh7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\0T$^SJOAD^QQHZUKAJOTBBQ]PL-V[HS_Kmi0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.W\IP^Clj1^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"[PCR]DEKBX[[FT_;!F_TQF[WVJWL[;"XQHAOF\WWJX[L^$ERYGOMG\WIODM'MT_AGLE^KG\^>Z&\ULMCJPSSN\WIODMVDDS_^B/T]PVIGck2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#amc_rpo[t:76l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.n`hZuudV{7=3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)kkeUx~aQ~<3S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[9_-QZAFFMUX^AQ\LHAF[KIXZYG$axvly^nl858b92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S1W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVfd0?0j2:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW5S!U^EBJAYTZEUX@DMJ_OM\VUK(e|rhuR}jl=2=a7=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(AV]CCAKPSMK@A+AX[ECHIRGKXZ:^*PY@IGNT__BPSMK@AZHHW[ZF#`{wcx]pai:66l80Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#DQXHNNF[VJNKL$LS^BFCD]J@]]?U'_TKL@K_RPO[VJNKLUECR\_M.ov|fX{lf7>3k=;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP4P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirS~kc<2S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)NW^BD@HQ\LHAF*BYTD@INSDJW[8_-QZAFFMUX^AQ\LHAF[KIXZYG$YRCZX@f`?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%^S@[WBea8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+LYP@FFNS^BFCD,D[VJNKLUBHUU6]/W\CDHCWZXGS^BFCD]MKZTWE&_TAXVLdb9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'\UFYUJkc:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-J[RNHDLUX@DMJ.F]PHLEBW@NSW4S!U^EBJAYTZEUX@DMJ_OM\VUK(]VYY@Ljl;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)RWZXGNim4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/H]TLJJBWZFBOH H_RNJG@YNLQQ2Q#[PG@LG[VTKWZFBOHQAO^PSI*SX[[FHhn5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ I^UKKICX[ECHI#IPSMK@AZOCPR3V"XQHAOF\WWJX[ECHIR@@_SRN+PYTZENn>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,hfjX{{fT}1>1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'eigS~|c_p>2:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"bll^qqhZw;:7o:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"GPWIMOAZUKAJO%KR]CIBG\MA^\1T$^SJOAD^QQHZUKAJOTBBQ]PL-nq}e~Wee7<3k>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.K\SMIKMVYGENK!G^QOMFCXAMRP5P Z_FCM@ZUUDVYGENKPNN]QTH)j}qirSaa31?g2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*OX_AEGIR]CIBG-CZUKAJOTEIVT9\,V[BGILVYY@R]CIBG\JJYUXD%fyumv_mm?6;c53\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&CT[EACE^QOMFC)OVYGENKPIEZX=X(RWNKEHR]]L^QOMFCXFFUY\@!buyaz[vck494n>6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!F_VJLH@YTD@IN"JQ\LHAF[LB_S0W%YRINNE]PVIYTD@INSCAPRQO,ip~dqVyn`1?1e39V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,MZQOGEOT_AGLE/E\WIODMVCOTV7R.T]DEKBX[[FT_AGLE^LL[WVJ'dsotQ|em>1:`4<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'@U\DBBJ_RNJG@(@WZFBOHQFDYY:Y+SXOHDOS^\C_RNJG@YIGVX[A"czxb{\w`j;;7km7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~48:5mk5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6:=3oi;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr0<<1ag9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,cdhcWjky~t2>3?ce?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(]VIXSJOAD^QQHZU1'@U^_HQ]PL]FU5(RWNKEHR]]L^QFP*affmUhm|v<06=ec=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&_TO^QHAOF\WWJX[?%BSX]J_SRN[@W7&\ULMCJPSSN\W@R(ohdoSno}rx>21;gb3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&mjbiQlaspz848fm2_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#joad^abvw;<7kn7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 U^AP[BGILVYY@R]9/H]VW@YUXDUN]= Z_FCM@ZUUDVYNX"inne]`ewt~4<4ji6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/T]@WZAFFMUX^AQ\6.K\QVCXZYGTI\>!U^EBJAYTZEUXIY!haof\gdtuq5<5mh5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ g`lg[fguzp6<2lk4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/fcm`Zefz{s743oj;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,QZETWNKEHR]]L^Q5+LYR[LUY\@QJQ1,V[BGILVYY@R]JT.ebjaYdi{xr040na:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+PYD[VMJBIQ\RM]P2*OX]ZOT^]CPEP2-QZAFFMUX^AQ\EU-pviYv48:5ml5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.W\GVY@IGNT__BPS7-J[PUBW[ZFSH_?.T]DEKBX[[FT_HZ ssn\u9766hk0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>26;gf3\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$YRM\_FCM@ZUUDVY=#DQZSD]QTHYBY9$^SJOAD^QQHZUB\&yy`R312S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'\UH_RINNE]PVIYT>&CTY^KPRQO\AT6)]VMJBIQ\RM]PAQ)tzeUz0<:1a`9V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*SXKZULMCJPSSN\W3)NW\YNS_^B_DS3*PY@IGNT__BPSDV,wwjXy5;>2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:66h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>1:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|2<>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx6?2l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:26h30Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!Z_BQ\CDHCWZXGS^8 I^WPAZTWEVOZ<#[PG@LG[VTKWZO_#~|c_p>5:d?<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%^SN]PG@LG[VTKWZ<$ER[\E^PSIZCV8'_TKL@K_RPO[VCS'zxgS|28>`;8Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)RWJYTKL@K_RPO[V0(AV_XIR\_M^GR4+SXOHDOS^\C_RGW+vtkWx632l74U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-V[FUXOHDOS^\C_R4,MZSTMVX[ARK^0/W\CDHCWZXGS^K[/rpo[t:>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64943=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<=071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>00<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2478?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

04<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2438?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

43=6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86:<5071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu<2>08<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>24;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976872:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:=36>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>2?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=327;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976<72:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:936>;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>6?:2?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv1=323;>63\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz5976072:7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;:536?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1?>>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><003:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844661;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488925?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<<>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><007:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{2844261;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6488=25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<<8>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><00=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?568?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95;>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0<81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?316<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>2<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz597>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64843<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq869<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1<>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><30=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?668?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~958>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0?81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?326<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>1<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz594>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64;43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq868<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1=>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><20=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?768?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~959>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0>81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?336<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>0<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz595>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64:43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86?<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1:>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><50=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?068?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95>>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0981819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?346<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>7<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz592>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64=43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86><36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=1;>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><40=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?168?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95?>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0881819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?356<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>6<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz593>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64<43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86=<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=18>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><70=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?268?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95<>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0;81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?366<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>5<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz590>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64?43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq86<<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=19>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><60=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?368?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~95=>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0:81819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?376<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>4<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz591>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64>43<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq863<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=16>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><90=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?<68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~952>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0581819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?386<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>;<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59>>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i64143<6[?/H]MKZB)]VCGM"[PTSKD+PYSE&MTB@[T0\,V[H)RWD_$ERCZT^EBJA^)]Vgjxnf I^AP[BGILQ$^SN]PG@LG\ZUUD&CT_; Z_BQ\CDHCPVYY@R]9/fcm`Zbkq862<36?;T2,MZHHWM$^SDBN/T]WVLA(]V^F#JQAMTY3Y+SXE&_TAX!F_LWW[BGILQ$^S`o{ci-J[FUXOHDOT#[PCR]DEKB_WZXG#DQ\6/W\GVY@IGNSS^\C_R4,cdhcWmfr=17>>928Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw><80=<5=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx3?=68?82_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

<72;7X> I^LL[A(RW@FJ#XQ[RHE,QZRJ'NUEAXU?]/W\I*SXE\%BS@[[_FCM@](RWdkoe!F_BQ\CDHCP'_TO^QHAOF[[VTK'@UX:#[PCR]DEKB_WZXGS^8 g`lg[aj~953>25>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles:0481819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t?396<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy0>:<;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz59?>6>l0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i6404?>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<022:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846561;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:825?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>;>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<026:=7<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{1846161;0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548:<25?4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90<>7>938Q5)NWGETH#[PIMC,QZRUAN%^SYC G^LNQ^6Z&\UF#XQBU.K\IPRXOHDOT#[Pm`v`l*OXKZULMCJW.T]@WZAFFMRT__B I^Q5*PYD[VMJBIVPSSN\W3)`ignThaw=<02::=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18469081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98:54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=&mjbiQklx0?5459081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98>54<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<;1809V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<3104=<4=R8&CTBBQK.T]JHD)RW]XBK"[PTL-D[KKRS9W%YRC U^OV+LYJ]]ULMCJW.T]neqeo'@UH_RINNEZ-QZETWNKEHUQ\RM-J[V0)]VIXSJOADY]PVIYT>&mjbiQklx0?5419081^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;98254<5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=<71819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<310<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2658?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

?071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>22<;5>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>2618?92_;#DQAO^F-QZOKI&_TX_GH/T]WI*AXFD_P

;071:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>26<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>26;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz697461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i548>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87=8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2>6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=34:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{184>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;9044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90?>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<320<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>16;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz694461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54;>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87>8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2=6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=04:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{187>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;:044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90>>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<330<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>06;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz695461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54:>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87?8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2<6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=14:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{186>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;;044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles909>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<340<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>76;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz692461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54=>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8788070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2;6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=64:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{181>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;<044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles908>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<350<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>66;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz693461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54<>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8798070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?2:6?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=74:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{180>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;=0425>4U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90;>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<360<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>56;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz690461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54?>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87:8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?296?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=44:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{183>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;>044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles90:>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<370<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>46;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz691461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i54>>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp87;8070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?286?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=54:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{182>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;?044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles905>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<380<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>;6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69>461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i541>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8748070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?276?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=:4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18=>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;0044U1-J[KIXL'_TEAO U^VQMB)RW]G$KR@BUZ2^*PYJ'\UFY"GPMTV\CDHCP'_Talzlh.K\GVY@IGNS"XQLS^EBJA^X[[F$ER]9.T]@WZAFFMRT__BPS7-dekbXles904>1819V4*OXFFUO"XQFL@-V[QTNO&_TX@!H_OOV_5[)]VG$YRCZ/H]NQQY@IGNS"XQbauak+LYD[VMJBIV!U^AP[BGILQUX^A!F_R4-QZETWNKEHUQ\RM]P2*affmUo`t<390<;4>S7'@UECRJ!U^KOE*SX\[CL#XQ[M.E\JHS\8T$^S@!Z_LW,MZKR\VMJBIV!U^obpfn(AVIXSJOADY,V[FUXOHDOTR]]L.K\W3(RWJYTKL@KX^QQHZU1'nkehRjcy3>:6;>73\:$ER@@_E,V[LJF'\U_^DI U^VN+BYIE\Q;Q#[PM.W\IP)NWD__SJOADY,V[hgska%BSN]PG@LG\+SXKZULMCJW_RPO+LYT>'_TO^QHAOF[[VTKWZ<$kl`k_enz69?461:0Y=!F_OM\@+SXAEK$YRZ]IF-V[QK(OVDFYV>R.T]N+PYJ]&CTAXZPG@LG\+SXeh~hd"GPCR]DEKB_&\UH_RINNEZ\WWJ(AVY="XQLS^EBJA^X[[FT_;!haof\`i540>54=5Z0.K\JJYC&\UB@L!Z_UPJC*SX\D%LSCCZ[1_-QZK(]VG^#DQBUU]DEKB_&\Ufmymg/H]@WZAFFMR%YRM\_FCM@]YTZE%BS^8!U^AP[BGILQUX^AQ\6.ebjaYcdp8758070:W3+LYIGVN%YRGCA.W\PWO@'\U_A"IPNLWX4X(RWD%^S@[ I^OVPZAFFMR%YRcntbj,MZETWNKEHU Z_BQ\CDHCPVYY@"GPS7,V[FUXOHDOTR]]L^Q5+bgilVngu?266?:3?P6(AVDDSI Z_HNB+PYSZ@M$YRZB/F]MIP]7U'_TA"[PMT-J[HSSWNKEHU Z_lcwgm)NWJYTKL@KX/W\GVY@IGNSS^\C/H]P2+SXKZULMCJW_RPO[V0(ohdoSibv2=;4:=6<]9%BSCAPD/W\MIG(]V^YEJ!Z_UO,CZHJ]R:V"XQB/T]NQ*OXE\^TKL@KX/W\idrd`&CTO^QHAOF[*PYD[VMJBIVPSSN,MZU1&\UH_RINNEZ\WWJX[?%lmcjPdm{18<>9091^<"GPNN]G*PYNDH%^SY\FG.W\PH)@WGG^W=S!U^O,QZKR'@UFYYQHAOF[*PYji}ic#DQLS^EBJA^)]VIXSJOADY]PVI)NWZ<%YRM\_FCM@]YTZEUX:"inne]gh|4;104 I^LL[A(RW@FJ#XQ[RHE,pwo`KfdoEc<=;T2,MZHHWM$^SDBN/T]WVLA(|{clOb`kOtv20>S7'@UECRJ!U^KOE*oFZD;37X> I^LL[A(RW@FJ#dMNPUWQA4?<]9%BSCAPD/W\MIG(aJK[SIAAE318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8585;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6:2?=4U1-J[KIXL'_TEAO iBCS[KSJWZKF\AK\<3<17>S7'@UECRJ!U^KOE*oDIYUEY@Q\ALROAV:46;90Y=!F_OM\@+SXAEK$eNO__OWN[VGJXEOX090=3:W3+LYIGVN%YRGCA.k@EUYI]DUXM@^CER>6:75<]9%BSCAPD/W\MIG(aJK[SC[B_RCNTICT4?49?6[?/H]MKZB)]VCGM"gLAQ]MQHYTIDZGI^28>318Q5)NWGETH#[PIMC,mFGWWG_FS^OBPMGP8=85;2_;#DQAO^F-QZOKI&cHM]QAUL]PEHVKMZ6224U1-J[KIXL'_TEAO iBCS[QTNONOYSB[[1g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\30?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=3=5c=R8&CTBBQK.T]JHD)nKHZT[_QNDEP?6;7a3\:$ER@@_E,V[LJF'`IJ\RY]_@FGV9599o1^<"GPNN]G*PYNDH%bOL^PWS]B@AT;<7;m7X> I^LL[A(RW@FJ#dMNP^UQ[DBCZ5?5=k5Z0.K\JJYC&\UB@L!fC@R\SWYFLMX7:3?i;T2,MZHHWM$^SDBN/hABTZQUWHNO^1911g9V4*OXFFUO"XQFL@-jGDVX_[UJHI\38?3e?P6(AVDDSI Z_HNB+lEFXV]YSLJKR=;=5d=R8&CTBBQK.T]JHD)nKHZT[_QJN0:8Q5)NWGETH#[PIMC,mAGSI5:5=l5Z0.K\JJYC&\UB@L!fD@VB846768k0Y=!F_OM\@+SXAEK$eIO[A=335;7f3\:$ER@@_E,V[LJF'`NJXL2>03<2e>S7'@UECRJ!U^KOE*oCI]K7===11`9V4*OXFFUO"XQFL@-j@DRF48:?2 I^LL[A(RW@FJ#dJNT@>24386i2_;#DQAO^F-QZOKI&cOMYO3115=5d=R8&CTBBQK.T]JHD)nLH^J0<>7>0c8Q5)NWGETH#[PIMC,mAGSI5;;53?6;T2,MZHHWM$^SDBN/hFBPD:687;j7X> I^LL[A(RW@FJ#dJNT@>25586i2_;#DQAO^F-QZOKI&cOMYO3103=5d=R8&CTBBQK.T]JHD)nLH^J00c8Q5)NWGETH#[PIMC,mAGSI5;:?3?n;T2,MZHHWM$^SDBN/hFBPD:69=4:m6[?/H]MKZB)]VCGM"gKAUC?54399h1^<"GPNN]G*PYNDH%bHLZN<035:4g<]9%BSCAPD/W\MIG(aMK_M1?>7?3b?P6(AVDDSI Z_HNB+lBF\H6:=50>a:W3+LYIGVN%YRGCA.kGEQG;9835=45Z0.K\JJYC&\UB@L!fD@VB84799h1^<"GPNN]G*PYNDH%bHLZN<003:4g<]9%BSCAPD/W\MIG(aMK_M1?=1?3b?P6(AVDDSI Z_HNB+lBF\H6:>?0>a:W3+LYIGVN%YRGCA.kGEQG;9;95=l5Z0.K\JJYC&\UB@L!fD@VB844368k0Y=!F_OM\@+SXAEK$eIO[A=311;7f3\:$ER@@_E,V[LJF'`NJXL2>27<2e>S7'@UECRJ!U^KOE*oCI]K7=?91189V4*OXFFUO"XQFL@-j@DRF4885=45Z0.K\JJYC&\UB@L!fD@VB8459901^<"GPNN]G*PYNDH%bHLZN<06=5<=R8&CTBBQK.T]JHD)nLH^J0<;1189V4*OXFFUO"XQFL@-j@DRF48<5=45Z0.K\JJYC&\UB@L!fD@VB8419901^<"GPNN]G*PYNDH%bHLZN<0:=5<=R8&CTBBQK.T]JHD)nLH^J0<71199V4*OXFFUO"XQFL@-j@DRF484:56[?/H]MKZB)]VCGM"gKAUC?658612_;#DQAO^F-QZOKI&cOMYO320<2=>S7'@UECRJ!U^KOE*oCI]K7>?0>9:W3+LYIGVN%YRGCA.kGEQG;::4:56[?/H]MKZB)]VCGM"gKAUC?618612_;#DQAO^F-QZOKI&cOMYO324<2=>S7'@UECRJ!U^KOE*oCI]K7>;0>9:W3+LYIGVN%YRGCA.kGEQG;:>4:56[?/H]MKZB)]VCGM"gKAUC?6=8612_;#DQAO^F-QZOKI&cOMYO328<2<>S7'@UECRJ!U^KOE*oCI]K7>3?6;T2,MZHHWM$^SDBN/hFBPD:487;27X> I^LL[A(RW@FJ#dJNT@>05;7>3\:$ER@@_E,V[LJF'`NJXL2<2?3:?P6(AVDDSI Z_HNB+lBF\H68?3?6;T2,MZHHWM$^SDBN/hFBPD:4<7;27X> I^LL[A(RW@FJ#dJNT@>01;7>3\:$ER@@_E,V[LJF'`NJXL2<6?3:?P6(AVDDSI Z_HNB+lBF\H68;3?6;T2,MZHHWM$^SDBN/hFBPD:407;27X> I^LL[A(RW@FJ#dJNT@>0=;7?3\:$ER@@_E,V[LJF'`NJXL2<>0;8Q5)NWGETH#[PIMC,mAGSI5>;2<74U1-J[KIXL'_TEAO iECWE9266830Y=!F_OM\@+SXAEK$eIO[A=61:4?<]9%BSCAPD/W\MIG(aMK_M1:<>0;8Q5)NWGETH#[PIMC,mAGSI5>?2<74U1-J[KIXL'_TEAO iECWE9226830Y=!F_OM\@+SXAEK$eIO[A=65:4?<]9%BSCAPD/W\MIG(aMK_M1:8>0;8Q5)NWGETH#[PIMC,mAGSI5>32<74U1-J[KIXL'_TEAO iECWE92>6820Y=!F_OM\@+SXAEK$eIO[A=6=5<=R8&CTBBQK.T]JHD)nLH^J08>1189V4*OXFFUO"XQFL@-j@DRF4<;5=45Z0.K\JJYC&\UB@L!fD@VB8049901^<"GPNN]G*PYNDH%bHLZN<41=5<=R8&CTBBQK.T]JHD)nLH^J08:1189V4*OXFFUO"XQFL@-j@DRF4S7'@UECRJ!U^KOE*oCI]K7:<0>9:W3+LYIGVN%YRGCA.kGEQG;>;4:56[?/H]MKZB)]VCGM"gKAUC?268612_;#DQAO^F-QZOKI&cOMYO365<2=>S7'@UECRJ!U^KOE*oCI]K7:80>9:W3+LYIGVN%YRGCA.kGEQG;>?4:56[?/H]MKZB)]VCGM"gKAUC?228612_;#DQAO^F-QZOKI&cOMYO369<2=>S7'@UECRJ!U^KOE*oCI]K7:40>8:W3+LYIGVN%YRGCA.kGEQG;>7;27X> I^LL[A(RW@FJ#dJNT@>44;7>3\:$ER@@_E,V[LJF'`NJXL281?3:?P6(AVDDSI Z_HNB+lBF\H6<>3?6;T2,MZHHWM$^SDBN/hFBPD:0;7;27X> I^LL[A(RW@FJ#dJNT@>40;7>3\:$ER@@_E,V[LJF'`NJXL285?3:?P6(AVDDSI Z_HNB+lBF\H6<:3?6;T2,MZHHWM$^SDBN/hFBPD:0?7;27X> I^LL[A(RW@FJ#dJNT@>4<;7>3\:$ER@@_E,V[LJF'`NJXL289?3;?P6(AVDDSI Z_HNB+lBF\H6<2<74U1-J[KIXL'_TEAO iECWE9>76830Y=!F_OM\@+SXAEK$eIO[A=:2:4?<]9%BSCAPD/W\MIG(aMK_M16=>0;8Q5)NWGETH#[PIMC,mAGSI5282<74U1-J[KIXL'_TEAO iECWE9>36830Y=!F_OM\@+SXAEK$eIO[A=:6:4?<]9%BSCAPD/W\MIG(aMK_M169>0;8Q5)NWGETH#[PIMC,mAGSI52<2<74U1-J[KIXL'_TEAO iECWE9>?6830Y=!F_OM\@+SXAEK$eIO[A=:::4><]9%BSCAPD/W\MIG(aMK_M161189V4*OXFFUO"XQFL@-j@DRF40:5=45Z0.K\JJYC&\UB@L!fD@VB8<79901^<"GPNN]G*PYNDH%bHLZN<80=5<=R8&CTBBQK.T]JHD)nLH^J04=1189V4*OXFFUO"XQFL@-j@DRF40>5=45Z0.K\JJYC&\UB@L!fD@VB8<39901^<"GPNN]G*PYNDH%bHLZN<84=5<=R8&CTBBQK.T]JHD)nLH^J0491189V4*OXFFUO"XQFL@-j@DRF4025=45Z0.K\JJYC&\UB@L!fD@VB8S7'@UECRJ!U^KOE*oCI]KTHB[[189V4*OXFFUO"XQFL@-jV@UB\5:5=45Z0.K\JJYC&\UB@L!fRDQFP979901^<"GPNN]G*PYNDH%b^H]JT=0=5<=R8&CTBBQK.T]JHD)nZLYNX1=1189V4*OXFFUO"XQFL@-jV@UB\5>5=45Z0.K\JJYC&\UB@L!fRDQFP939901^<"GPNN]G*PYNDH%b^H]JT=4=5<=R8&CTBBQK.T]JHD)nZLYNX1911`9V4*OXFFUO"XQFL@-jWQGSWME^X<64U1-J[KIXL'_TEAO iUPJCBCU9<1^<"GPTP2-CZRPS9W%YRZ^169V4*OX\X:%KRZX[023Y+SX\X;<7X> I^VR4+AX\^Q:<!G^VT_465U'_TX\?8;T2,MZRV8'MTXZU>02_-QZRV9>1^<"GPTP2-CZRPS8:?Q#[PTP34?P6(AV^Z<#IPTVY240[)]V^Z=:5Z0.K\PT6)OV^\W<>9]/W\PT703\:$ERZ^0/E\PR]68>W%YRZ^169V4*OX\X:%KRZX[02;Y+SX\X;<7X> I^VR4+AX\^Q:<4S!U^VR53=R8&CTX\>!G^VT_46Z&\U_]<94U1-J[QW7&NU_[V?>0\,V[QW6?2_;#DQ[Q1,D[QQ\98;V"XQ[Q058Q5)NW][;"JQ[WZ326X(RW][:;6[?/H]WU5(@W]]P=<=R.T]WU41<]9%BSY_?.F]WS^767:W3+LYSY9$LSYYT107^*PYSY8=0Y=!F_US3*BYS_R;::P Z_US23>S7'@U_]= H_UUX541Z&\U_]<94U1-J[QW7&NU_[V?>8\,V[QW6?2_;#DQ[Q1,D[QQ\983V"XQ[Q048Q5)NW][;"JQ[WZ32Y+SX\X;<7X> I^VR4+AX\^Q:>=S!U^VR52=R8&CTX\>!G^VT_446U'_TX\?8;T2,MZRV8'MTXZU>23_-QZRV9>1^<"GPTP2-CZRPS888Q#[PTP34?P6(AV^Z<#IPTVY261[)]V^Z=:5Z0.K\PT6)OV^\W<<:]/W\PT703\:$ERZ^0/E\PR]6:?W%YRZ^169V4*OX\X:%KRZX[004Y+SX\X;=7X> I^VR4+AX\^Q:>P Z_US22>S7'@U_]= H_UUX56[)]V^Z=;5Z0.K\PT6)OV^\W<:R.T]WU40<]9%BSY_?.F]WS^72U'_TX\?9;T2,MZRV8'MTXZU>6\,V[QW6>2_;#DQ[Q1,D[QQ\9>W%YRZ^179V4*OX\X:%KRZX[0:^*PYSY8<0Y=!F_US3*BYS_R;2Q#[PTP36?P6(AV^Z<#IPTVY2Y+SX\X;=7X> I^VR4+AX\^Q9

S7'@U_]= H_UUX64[)]V^Z=;5Z0.K\PT6)OV^\W?2_;#DQ[Q1,D[QQ\:4S!U^VR50=R8&CTX\>!G^VT_7[)]V^Z=;5Z0.K\PT6)OV^\W>>R.T]WU40<]9%BSY_?.F]WS^56U'_TX\?9;T2,MZRV8'MTXZU<2\,V[QW6>2_;#DQ[Q1,D[QQ\;:W%YRZ^179V4*OX\X:%KRZX[26^*PYSY8<0Y=!F_US3*BYS_R9>Q#[PTP35?P6(AV^Z<#IPTVY02X(RW][::6[?/H]WU5(@W]]P?:S!U^VR53=R8&CTX\>!G^VT_6>Z&\U_]<84U1-J[QW7&NU_[V=6]/W\PT723\:$ERZ^0/E\PR]4U'_TX\?9;T2,MZRV8'MTXZU;0\,V[QW6>2_;#DQ[Q1,D[QQ\<8W%YRZ^179V4*OX\X:%KRZX[50^*PYSY8<0Y=!F_US3*BYS_R>8Q#[PTP35?P6(AV^Z<#IPTVY70X(RW][::6[?/H]WU5(@W]]P88S!U^VR53=R8&CTX\>!G^VT_10Z&\U_]<84U1-J[QW7&NU_[V:8]/W\PT713\:$ERZ^0/E\PR]30T$^SY_>6:W3+LYSY9$LSYYT48_-QZRV9<1^<"GPTP2-CZRPS=W%YRZ^179V4*OX\X:%KRZX[42^*PYSY8<0Y=!F_US3*BYS_R?:Q#[PTP35?P6(AV^Z<#IPTVY66X(RW][::6[?/H]WU5(@W]]P9>S!U^VR53=R8&CTX\>!G^VT_02Z&\U_]<84U1-J[QW7&NU_[V;:]/W\PT713\:$ERZ^0/E\PR]2>T$^SY_>6:W3+LYSY9$LSYYT56_-QZRV9?1^<"GPTP2-CZRPS<2V"XQ[Q048Q5)NW][;"JQ[WZ7:Y+SX\X;>7X> I^VR4+AX\^Q>Q#[PTP35?P6(AV^Z<#IPTVY54X(RW][::6[?/H]WU5(@W]]P:!G^VT_34Z&\U_]<84U1-J[QW7&NU_[V8<]/W\PT713\:$ERZ^0/E\PR]16:W3+LYSY9$LSYYT64_-QZRV9?1^<"GPTP2-CZRPS? I^VR4+AX\^Q=4P Z_US22>S7'@U_]= H_UUX2<[)]V^Z=85Z0.K\PT6)OV^\W;S!U^VR53=R8&CTX\>!G^VT_26Z&\U_]<84U1-J[QW7&NU_[V9>]/W\PT713\:$ERZ^0/E\PR]0:T$^SY_>6:W3+LYSY9$LSYYT72_-QZRV9?1^<"GPTP2-CZRPS>>V"XQ[Q048Q5)NW][;"JQ[WZ56Y+SX\X;=7X> I^VR4+AX\^Q<:P Z_US22>S7'@U_]= H_UUX32[)]V^Z=;5Z0.K\PT6)OV^\W:6R.T]WU40<]9%BSY_?.F]WS^1>U'_TX\?:;T2,MZRV8'MTXZU8]/W\PT713\:$ERZ^0/E\PR]?8T$^SY_>6:W3+LYSY9$LSYYT80_-QZRV9?1^<"GPTP2-CZRPS18V"XQ[Q048Q5)NW][;"JQ[WZ:0Y+SX\X;=7X> I^VR4+AX\^Q38P Z_US22>S7'@U_]= H_UUX<0[)]V^Z=;5Z0.K\PT6)OV^\W58R.T]WU40<]9%BSY_?.F]WS^>0U'_TX\?9;T2,MZRV8'MTXZU78\,V[QW6>2_;#DQ[Q1,D[QQ\00W%YRZ^149V4*OX\X:%KRZX[9_-QZRV9?1^<"GPTP2-CZRPS0:V"XQ[Q048Q5)NW][;"JQ[WZ;2Y+SX\X;=7X> I^VR4+AX\^Q2>P Z_US22>S7'@U_]= H_UUX=6[)]V^Z=;5Z0.K\PT6)OV^\W4:R.T]WU40<]9%BSY_?.F]WS^?2U'_TX\?9;T2,MZRV8'MTXZU66\,V[QW6>2_;#DQ[Q1,D[QQ\1>W%YRZ^179V4*OX\X:%KRZX[8:^*PYSY8<0Y=!F_US3*BYS_R32Q#[PTP36?P6(AV^Z<#IPTVY:Y+SX\Xo0Y=!fTSKD[LH;87;;7X> iUPJCZOI48:;2<>4U1-jPWO@W@D7==?1119V4*oSZ@MTEC2>03<24>S7'`^YEJQFN=337;773\:$eY\FG^KM846368:0Y=!fTSKD[LH;99?5==5Z0.kWVLAXAG6:<;0>0:W3+lRUANUBB1??7?33?P6(a]XBKRGA<02;:46<]9%bX_GH_HL?55?9n2_;#dZ]IF]JJ97768:0Y=!fTSKD[LH;98:5==5Z0.kWVLAXAG6:=<0>0:W3+lRUANUBB1?>2?33?P6(a]XBKRGA<030:46<]9%bX_GH_HL?5429991^<"g[RHE\MK:69<4:<6[?/hVQMBYNF5;::3??;T2,mQTNOVCE0028Q5)n\[CLSD@310:=55=R8&c_^DIPIO>25<8a3\:$eY\FG^KM8479991^<"g[RHE\MK:6:94:<6[?/hVQMBYNF5;9=3??;T2,mQTNOVCE0<<=>028Q5)n\[CLSD@3131=55=R8&c_^DIPIO>2618682_;#dZ]IF]JJ975=7;;7X> iUPJCZOI488=2<>4U1-jPWO@W@D7=?91f:W3+lRUANUBB1?=>g9V4*oSZ@MTEC2>3?d8Q5)n\[CLSD@315S7'`^YEJQFN=35:c=R8&c_^DIPIO>23;`<]9%bX_GH_HL?5=8a3\:$eY\FG^KM84?9m2_;#dZ]IF]JJ979n2_;#dZ]IF]JJ9476o1^<"g[RHE\MK:597l0Y=!fTSKD[LH;:;4m7X> iUPJCZOI4;95j6[?/hVQMBYNF58?2k5Z0.kWVLAXAG6993h4U1-jPWO@W@D7>;0i;T2,mQTNOVCE0?91f:W3+lRUANUBB1<7>g9V4*oSZ@MTEC2=9?g8Q5)n\[CLSD@32?d8Q5)n\[CLSD@331S7'`^YEJQFN=11:c=R8&c_^DIPIO>07;`<]9%bX_GH_HL?718a3\:$eY\FG^KM8639n2_;#dZ]IF]JJ9516o1^<"g[RHE\MK:4?7l0Y=!fTSKD[LH;;14m7X> iUPJCZOI4:35i6[?/hVQMBYNF595j6[?/hVQMBYNF5>;2k5Z0.kWVLAXAG6?=3h4U1-jPWO@W@D78?0i;T2,mQTNOVCE09=1f:W3+lRUANUBB1:;>g9V4*oSZ@MTEC2;5?d8Q5)n\[CLSD@347S7'`^YEJQFN=6;:c=R8&c_^DIPIO>7=;c<]9%bX_GH_HL?0;`<]9%bX_GH_HL?158a3\:$eY\FG^KM8079n2_;#dZ]IF]JJ9356o1^<"g[RHE\MK:2;7l0Y=!fTSKD[LH;==4m7X> iUPJCZOI4;3h4U1-jPWO@W@D7950i;T2,mQTNOVCE0871e:W3+lRUANUBB1;1f:W3+lRUANUBB18?>g9V4*oSZ@MTEC291?d8Q5)n\[CLSD@363S7'`^YEJQFN=47:c=R8&c_^DIPIO>51;`<]9%bX_GH_HL?238a3\:$eY\FG^KM8319n2_;#dZ]IF]JJ90?6o1^<"g[RHE\MK:117o0Y=!fTSKD[LH;>7l0Y=!fTSKD[LH;?94m7X> iUPJCZOI4>;5j6[?/hVQMBYNF5=92k5Z0.kWVLAXAG6g9V4*oSZ@MTEC287?d8Q5)n\[CLSD@379S7'`^YEJQFN=5=b>S7'`^YEJQFN=:3:c=R8&c_^DIPIO>;5;`<]9%bX_GH_HL?<78a3\:$eY\FG^KM8=59n2_;#dZ]IF]JJ9>36o1^<"g[RHE\MK:?=7l0Y=!fTSKD[LH;0?4m7X> iUPJCZOI41=5j6[?/hVQMBYNF5232k5Z0.kWVLAXAG6353k4U1-jPWO@W@D743h4U1-jPWO@W@D75=0i;T2,mQTNOVCE04?1f:W3+lRUANUBB17=>g9V4*oSZ@MTEC263?d8Q5)n\[CLSD@395S7'`^YEJQFN=;5:c=R8&c_^DIPIO>:3;`<]9%bX_GH_HL?==8a3\:$eY\FG^KM8QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?374WDCTAI:76k1\ILYJL=394;?<_LK\IA2>>79TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:427ZKMWDW?4;d<_LH\IX2>:1<:?RCE_L_7=3l4WSKWAZ@NZZ^h7Z\FTD]NKACXIj1\^DZJ_LMGAZD6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG14Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn?o4_^][HKKXWV:TSR[?/H]MKZB)]VCGM"[PSUCW+PYI[E>?7RQPXMLN[ZY6WVU^<"GPNN]G*PYNDH%^SJgtqgiTaxvP2c9bw|hdWdsS>??;`qzjfYszhdxjh|P1028evikV~ymc}ies]155=f{pdhSy|nnrdfvZ5c3jbb|~m`pd]jhd4c3jbb|~m`pd]jhd*d``zxob~j_hnb[s7X8Sl"tc^z`kZjn{}7Hc`~`ndlw[Kgjm4ice}}loqg\mig1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX=4lj`~k4Tskdc`tXXfxSZgkti]59==Ji}icSX`ftr]594=Dg|diQXievk[3;Cazki`hj4M`v`lZR~xlU=1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX>4lj`~k4Tskdc`tXXfxSZgkti]49==Ji}icSX`ftr]494=Dg|diQXievk[2;Cazki`hj4M`v`lZR~xlU<1oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX?4lj`~k4Tskdc`tXXfxSZgkti];9==Ji}icSX`ftr];94=Dg|diQXievk[=;Cazki`hj4M`v`lZR~xlU31oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX04lj`~k4Tskdc`tXXfxSZgkti]:9==Ji}icSX`ftr]:94=Dg|diQXievk[<;Cazki`hj4M`v`lZR~xlU21oo|ib]tmqnXmmmn6KwcmwgaZ@ugdUOmyoPSumqebcX14lj`~k4Tskdc`tXXfxSZgkti]248>bdjtm2^yejijr^RlvqYPam~cS<<28:ObpfnX]gcR?==09@kphsm{U\eizg_00>@lufjeoo7@o{ci]W}ucX9;7im~gl_vkwlZccoly0Iumcueg\BwijWMkmR]{oscdaZ755okgh5[rhedawYWg{~T[dj{h^309==Ji}icSX`ftr]2787CkeoiRH}ol]GeqgX[}eymjkP15?eeiub3]xbkjk}_QmqpZQnl}bT=837;LcwgmYRf`~xS<;21:AlqkrbzV]bhyfP14?Gmvgedln0Alzlh^Vzt`Y6=4hjdmPwhvk[`b`mz1Ntnbzdd]EvjkXLh~jS^z`r`ef[43:nhfxi6Z}ifefvZVhz}U\eizg_04><>Kf|jbTYcg{s^3594=Dg|diQXievk[40:L`yjnakk;LcwgmYSqyoT=;3mark`[ros`Vookh}4EyaoqacXN{efSIo{a^Qwkwg`mV;=1kocsd.`[}bb~`injlcflx?ptdtsig<'oRm`rd]w}uc::%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh:?%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=5.`[fiumVgbbb|PamrkeZpbz43< nQ}al]w}uc:9%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQ}pl?3(fYr{lU~diPotv>4)eX}zoTmymPcmijj86+kVxiRjnt`?3(fYr{lU~di?=0.`[pubW}xbk<3?,b]vw`Ysz`m91="l_tqf[qtno:7; nQzsd]wvla359&hSx}j_upjc0;7$jU~hQ{rhe595*dW|ynSy|fg6?3(fYr{lU~di7=1.`[pubW}xbk43?,b]vw`Ysz`m:<0>#c^wpaZruan;:1="l_tqf[qtno88620-a\qvcX|{clhlzn10?3(fYr{lU~dikauc2686+kVxiRz}iffbpd7459&hSx}j_upjcagsi8>6=4-a\qvcXohz6,b]ww`wX|pzn1="l_own[qubyViex0>#c^vpatYdf};T{dj{h<3/gZrtmxUhby>Pwhfwl87+kVd~aRz|ep]ppdrb{4;'oR{|e^v`[hei|4:'oRzl_lampZqnl}b6=!mPeyv\gdvXzh~nS`ake<2/gZc|Vij|R~fn^ol``;7$jUey`Qjxu]`euYwagy64!mPeyv\gdvX}zoT~hi21-a\jpkXeh~hdR{aiuq>5)eX|{cl57>+kV~yej?Pwhfwl87+kV~yej1^uj`qn:9%iTxgh13]tmaro58&hSy|fg01\slbs`4;'oRz}if37[roc|a7: nQ{rhe21Zqnl}b6=!mPm1]wtlb:8%iTa5)eXe;U|dj22-a\i6Ysx`n6?!mPm5]wtlb:<%iTa8Q{phf>1)eXe?U|dj26-a\i2Ysx`n6;!mPm9]wtlb:0%iTa4Q{phf>=)eXe8:Tx}gk=02/gZk69V~{ei3>1-a\i44X|yco1<<#c^o27Zrwam7:?!mPm06\puoc58>'oRc>5^vsma;6=%iTa=Q{yqg>5)eXe8Uu}k20-a\i7Ysqyo64)eXe4)eXe0Uu}k20-a\i46X|pzn1="l_l32[qwm4:'oRc>2^vzt`;7$jUf=>Q{yqg>4)eXe8>Txt~j=1.`[h72W}s{i0>#c^wpaZkdf}:64)eX}zoTan`{5<2/gZstmVghby820-a\qvcXejd;0>#c^wpaZkdf}264)eXejd5)eXejd=Ryfduj>5)eXejd>Ryfduj>5)eXejd?Ryfduj>5)eXejd8Ryfduj>5)eXejd9Ryfduj>5)eXejd:Ryfduj>5)eXejd;Ryfduj>5)eXejd4Ryfduj>5)eXejd5Ryfduj>5)eXejd==Qxievk94*dWdiex3^uj`qn:9%iTan`{15]tmaro58&hS`mat07\slbs`4;'oRm`nrvqelhsW}s{i0kwtdpmei*dWlrja}bj_egpmbh:nhfxi!s<1:akmuudgyoTeaoPv0]31Zg+(Qcgecv/CNPF$Bcim{kc.>4/5:?firf}oyS<74cnwmp`tX:o1hRl}al]`evefll;97n}Pbscn[fgtkhnnS~8>1:ap[gtfeVyba}bj_r42<>etWkxjaR}fmqnf[v0Xzhgi=5?8;bq\fwgjWzcf|akPs7]qehd>i2ixSnhhltv7e>etWjll`xz:c:ap[f``d|~=SVygenkPnn]qthY69l1hRinne]pviYt>VygenkPnn]qthY688o0o~Qhaof\wwjX{?Ux`dmj_om\vukX98;n7n}Pg`lg[vtkWze:ap[bgilVyy`R}9_rnjg`YigVx{aR?81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q=1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q<1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q;1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q:1e9`wZaffmUx~aQ|6^qomfcXffUy|`Q91e9`wZaffmUx~aQ|6^qomfcXffUy|`Q81e9`wZaffmUx~aQ|6^qomfcXffUy|`Q71e9`wZaffmUx~aQ|6^qomfcXffUy|`Q6c:ap[bgilqUx~ah4cr]dekbWzxgS~88;bq\hpr1?2ixS`{w289`wZkrp;?=46m|_lw{211bcem1nhjkPddvfgqiun2cgmRmnp^cg`wabf880eaoPc`r\gqtkWn8T|h4imc\gdvX{}eymjk7;hnb[fium01b`lQbauak54=ndhUfmymg_bmnflhbn2cgmRcntbj\gjkegl1b`lQbauak[bgilo1b`lQbauak[bgilqo0eaoPrdqfpZeszek0eaoPsucwqv773`fjSy|ncd]aqc`bzl1b`lQ{rhe\idrd`j1b`lQ{rhe`kkb682cgmRz}ifaljaYk}}h0eaoPtskdc`t53ge:>6~}ofpbihgedlUoiaov109{g3ukp>?&o~i;85/0yEFw:lki7MNwd`:9B?4=9rYii7j6b;00`?74;>3=:7281eh4o56:&g==bci10;6<4>{R`f>a?e2;9o6<=<7845>7b6j:1}X?;6:182>4<1=lqXnh4k9c817a<6;:=2:;4=d0`0?!b0l38956*=3d8gb5=elh21<7o::5d4>33bsAn3:1(?:?:3:1?k44n3h07d<85;29 7272;297c<o5?90;6)<;0;0;6>h5;o0:=65f27g94?"5<9094?5a22d957=;6?6=;o00b?7332c9:o4?:%074?4?:2d9?k4>5:9j63g=83.98=4=839m66`=9?10e?86:18'616=:180b?=i:058?l4103:1(?:?:3:1?k44n3;376g=6683>!438383>6`=3g82=>=n:?<1<7*=4181<7=i::l1=l54i346>5<#:=:1>5<4n31e>4d<3`8n?7>5$363>7>53g88j7?l;:k1a7<72-8?<7<72:l17c<6l21b>h?50;&105<50;1e>>h51d98m7c7290/>9>52908j75a28l07do5ll0;6)<;0;0;6>h5;o09=65f2ef94?"5<9094?5a22d967=;6?6=;o00b?4332c9hl4?:%074?4?:2d9?k4=5:9j6a>=83.98=4=839m66`=:?10e?j8:18'616=:180b?=i:358?l4c>3:1(?:?:3:1?k44n38376g=d483>!438383>6`=3g81=>=n:m>1<7*=4181<7=i::l1>l54i3f0>5<#:=:1>5<4n31e>7d<3`8o>7>5$363>7>53g88j7i>50;&105<50;1e>>h52d98m7ea290/>9>52908j75a2;l07do5kj0;6)<;0;0;6>h5;o08=65f2b`94?"5<9094?5a22d977==4;h0`=?6=,;>;6?6=;o00b?5332c9o54?:%074?4?:2d9?k4<5:9j6f1=83.98=4=839m66`=;?10e?m9:18'616=:180b?=i:258?l4d=3:1(?:?:3:1?k44n39376g=c583>!438383>6`=3g80=>=n:j81<7*=4181<7=i::l1?l54i3a2>5<#:=:1>5<4n31e>6d<3`8h<7>5$363>7>53g88j7=l;:k1fc<72-8?<7<72:l17c<4l21b>ok50;&105<50;1e>>h53d98m7dc290/>9>52908j75a2:l07do5jk0;6)<;0;0;6>h5;o0?=65f2cc94?"5<9094?5a22d907=;6?6=;o00b?2332c9n;4?:%074?4?:2d9?k4;5:9j6g3=83.98=4=839m66`=376g=b383>!438383>6`=3g87=>=n:k;1<7*=4181<7=i::l18l54i3`3>5<#:=:1>5<4n31e>1d<3`8jj7>5$363>7>53g88j7:l;:k1e`<72-8?<7<72:l17c<3l21b>lm50;&105<50;1e>>h54d98m7ge290/>9>52908j75a2=l07do5i00;6)<;0;0;6>h5;o0>=65f2`:94?"5<9094?5a22d917=;6?6=;o00b?3332c9m84?:%074?4?:2d9?k4:5:9j6d2=83.98=4=839m66`==?10e?o<:18'616=:180b?=i:458?l4f93:1(?:?:3:1?k44n3?376g=a183>!438383>6`=3g86=>=n:0l1<7*=4181<7=i::l19l54i3;f>5<#:=:1>5<4n31e>0d<3`82h7>5$363>7>53g88j7;l;:k1=f<72-8?<7<72:l17c<2l21b>4l50;&105<50;1e>>h55d98m7?f290/>9>52908j75a2o5110;6)<;0;0;6>h5;o0==65f28494?"5<9094?5a22d927=6=4+25296=4;6?6=;o00b?0332c95>4?:%074?4?:2d9?k495:9j6<4=83.98=4=839m66`=>?10e?7>:18'616=:180b?=i:758?l4>83:1(?:?:3:1?k44n3<376g=8g83>!438383>6`=3g85=>=n:1o1<7*=4181<7=i::l1:l54i3:g>5<#:=:1>5<4n31e>3d<3`83n7>5$363>7>53g88j78l;:k15750;&105<50;1e>>h56d98m7>?290/>9>52908j75a2?l07d<77;29 7272;297c<o50?0;6)<;0;0;6>h5;o0<=65f29794?"5<9094?5a22d937=;6?6=;o00b?1332c9:k4?:%074?4?:2d9?k485:9j6`2=83.98=4=839m66`=??10e?j6:18'616=:180b?=i:658?l4dm3:1(?:?:3:1?k44n3=376g=c283>!438383>6`=3g84=>=n:k21<7*=4181<7=i::l1;l54i3cg>5<#:=:1>5<4n31e>2d<3`8j>7>5$363>7>53g88j79l;:k1=2<72-8?<7<72:l17c<0l21b>5m50;&105<50;1e>>h57d98m703290/>9>52908j75a2>l07&l::18'616=j=1e>>h50:9(f6<72-8?<7l;;o00b?7<3"h96=4+2529f1=i::l1>65$b083>!4383h?7c<9>5b59m66`=<21 mk4?:%074?d33g88j7;4;*cg>5<#:=:1n95a22d92>=,ij0;6)<;0;`7?k44n3=07&om:18'616=j=1e>>h58:9(ed<72-8?<7l;;o00b??<3"k26=4+2529f1=i::l1m65$a983>!4383h?7c<9>5b59m66`=k21 m;4?:%074?d33g88j7j4;*c6>5<#:=:1n95a22d9a>=,i=0;6)<;0;`7?k44n3l07&o=:18'616=j=1e>>h51198/d7=83.98=4m4:l17c<6921 m=4?:%074?d33g88j7?=;:):b?6=,;>;6o:4n31e>45<3"3n6=4+2529f1=i::l1=954+8f94?"5<90i86`=3g821>=,1j0;6)<;0;`7?k44n3;=76%6b;29 7272k>0b?=i:058?.?f290/>9>5b59m66`=9110'4750;&1059:9(ff<72-8?<7l;;o00b?7f32!in7>5$363>g25<#:=:1n95a22d95f=<#k31<7*=418a0>h5;o0:h65$b983>!4383h?7c<-e?3:1(?:?:c68j75a28l07&oj:18'616=j=1e>>h52098/d5=83.98=4m4:l17c<5:21 554?:%074?d33g88j7<<;:k;4?6=3"h=6=4+2529f1=i::l1>=54be;5>5<6290;w)Nc1=1Ch:l4o31`>5<51;294~"5m<0:h=5Gd868La1e3f;hj7>5;|`722<72>0;6=u+2d7967`<@m3?7Ej8b:&17g57:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8l<50;194?6|,;o>6?Nc?k1/>>l5ddg8 4c728kn7)<;1;fb5>o6l;0;66g>d283>>i5;h0;66sm47:94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;6?74i0f1>5<5<=:7>53;294~"5m<09>o5Gd868La1e3-88n7jje:&2a5<4;2c:h?4?::k2`6<722e9?l4?::a<=7=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(5;h15>5<>o?83:17dli:188k7232900c?:::188yg>?>3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{e0>l1<7<50;2x 7c22;8j7Ej64:Jg3g=#::h1hhk4$0g3>475<54;294~"5m<09>n5Gd868La1e3-88n7jje:&2a5<0k2.98<4ka09j5a4=831b=i=50;9j5a2=831d>>o50;9~f1ef290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1b0290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1e3290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e50z&1a0<5:o1Ch4:4He5a?!7b83o0e50z&1a0<5:o1Ch4:4He5a?!7b83o0e50z&1a0<5;81Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<o6l;0;66g>d283>>o5==0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{ed383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e1<75`22c94?=zj=oh6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=li6=48:183!4b=389j6Fk959K`2d<,8o;6h5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=n9m=1<75`22c94?=zj=no6=4;:183!4b=388=6Fk959K`2d5<5<h97>54;294~"5m<09??5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th?hl4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?ii4?:683>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th?h=4?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8hk50;594?6|,;o>6?Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8km50;594?6|,;o>6?Nc?k1/=h>5e:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi8h=50;694?6|,;o>6?=>;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9m7:187>5<7s-8n97<<2:Jg=1=Ol>h0e2<729q/>h;523d8La?33Ane18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl:0783>2<729q/>h;523d8La?33Ane18f?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl;f583>1<729q/>h;52238La?33And383>>o6l:0;66g=5583>>i5;h0;66sm4e094?2=83:p(?k::311?Mb><2Bo;o5f1e094?=n9m91<75f24694?=h::k1<75rb5fa>5<0290;w)Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5d3>5<0290;w)Nc1=1Ch:l4$0g3>`=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb5f2>5<3290;w)Nc1=1Ch:l4i0f1>5<5<0Di9m;%3f4?c5<5<5<0Di9m;%3f4?c5<5<5<0Di9m;h3g6?6=3`;o?7>5;h060?6=3f88m7>5;|`7g<<72=0;6=u+2d79664<@m3?7Ej8b:k2`7<722c:h>4?::k111<722e9?l4?::a0c4=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a156=83=1<7>t$3g6>74a3An286Fk7c9'5`6=m2c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a0`0=83>1<7>t$3g6>7563An286Fk7c9j5a4=831b=i=50;9j602=831d>>o50;9~f066290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f060290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f1`?290?6=4?{%0f1?4492Bo595Gd6`8m4b52900e50z&1a0<5;;1Ch4:4He5a?l7c:3:17d?k3;29?l42<3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<"6m90n7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?l7c?3:17b<0;694?:1y'6`3=::;0Di7;;If4f>o6l;0;66g>d283>>o5==0;66a=3`83>>{e=991<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e=921<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1i6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e1<75`22c94?=zj=n=6=4;:183!4b=388>6Fk959K`2d5<5<57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<5<6=44i0f5>5<5<57;294~"5m<09>k5Gd868La1e3-;n<7k4i0f1>5<5<6=44i0f5>5<5<mm7>54;294~"5m<09?<5Gd868La1e3`;o>7>5;h3g7?6=3`8>87>5;n00e?6=3th>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th>5}#:l?1>?h4He;7?Mb0j2.:i=4j;h3g6?6=3`;o?7>5;h3g0?6=3`;o97>5;h3g2?6=3`;o;7>5;n00e?6=3th><84?:583>5}#:l?1>>?4He;7?Mb0j2c:h?4?::k2`6<722c9994?::m17d<722wi8h650;694?6|,;o>6?==;If:0>Nc?k1b=i<50;9j5a5=831b>8:50;9l66g=831vn9jl:184>5<7s-8n97<=f:Jg=1=Ol>h0(5<7s-8n97<=e:Jg=1=Ol>h0(??3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f=>5290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<=2=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`;<<<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c:;4?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb9:g>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?>;h5a>5<1<75rb95f>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm33094?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`065<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg56m3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<1983>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c122?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f673290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;8:1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th85}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=?c;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb22b>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a75>=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd48?0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>>=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm31294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`1b`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg4ai3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi>k850;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=f583>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c0e6?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f7`7290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e:lo1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th9in4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo"6m9097d=;:188m63=831b?;4?::k01<75rb3g;>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7`4=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4m90;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>jl:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3ec94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0`=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5c>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?i<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1`g?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6ef290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;j21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8o;4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=l4;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2a1>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7f6=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4jl0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>ln:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm3c494?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0f1<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5e:3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?lk50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1be?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6g?290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;h<1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m94?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=n0;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb2;f>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a7t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd41h0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>79:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm38694?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`0=7<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5>83:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?5o50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<8983>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1;2?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6>3290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;181<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th84=4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=8e;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb25`>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a72g=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4?10;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>9=:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm36294?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`02`<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg51k3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?;650;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<6783>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c150?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f605290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th89n4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=:a;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb27;>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a700=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4==0;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<>7>57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>;?:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm35g94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`00f<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg5303:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<=6=48:183!4b=38>n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?9:50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl<4383>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c174?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f65b290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;:i1<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8?l4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=<8;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb215>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a764=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4;90;6:4?:1y'6`3=:"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm33c94?1=83:p(?k::37a?Mb><2Bo;o5+22`9``c<,8o;6?5f3583>>o4=3:17d=9:188m6>=831b4=4?::kab?6=3f8?87>5;|`06=<72>0;6=u+2d7960d<@m3?7Ej8b:&17g52:k00?6=3`9>6=44i2494?=n;10;66g70;29?lda2900c?:;:188yg55>3:1;7>50z&1a0<5=k1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h:3>5<n6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m=6=831bnk4?::m101<722wi?<<50;594?6|,;o>6?;m;If:0>Nc?k1/>>l5ddg8 4c72;1b?94?::k01?6=3`9=6=44i2:94?=n090;66gmf;29?j43<3:17pl=fb83>2<729q/>h;524`8La?33An6g<4;29?l522900e>850;9j7=<722c3<7>5;h`e>5<?6=44}c1f0?6=?3:10Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d6?:188mg`=831d>9:50;9~f6eb290<6=4?{%0f1?42j2Bo595Gd6`8 75e2mon7)?j0;08m62=831b?84?::k02?6=3`936=44i9294?=njo0;66a=4583>>{e;k21<7950;2x 7c22;?i7Ej64:Jg3g=#::h1hhk4$0g3>7=n;=0;66g<5;29?l512900e>650;9j<5<722cij7>5;n070?6=3th8m?4?:683>5}#:l?1>8l4He;7?Mb0j2.9?o4ked9'5`6=:2c887>5;h16>5<>o?83:17dli:188k7232900qo=7c;293?6=8r.9i84=5c9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k01<75rb255>5<0290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e5>50;9jfc<722e9894?::a736=83=1<7>t$3g6>73e3An286Fk7c9'66d=llo0(5;h15>5<>oen3:17b<;4;29?xd4"5;k0oih5+1d296>o4<3:17d=::188m60=831b?54?::k;4?6=3`hm6=44o367>5<57;294~"5m<099o5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l>72900eoh50;9l612=831vn?k9:184>5<7s-8n97<:b:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<>i5<=0;66sm33194?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:80;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=>f;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f67c29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`05<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb236>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;8;1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<0g83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>>m:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a75?=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8<:4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c131?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm31394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd5no0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qobb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f7`>29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi>k950;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1b0<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb3d2>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e:ll1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl=ee83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn?k6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7`5=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8i<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1gb?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm3e`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4l00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=k7;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6b229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?i=50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0`4<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2aa>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;j31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>m<:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7f7=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8nk4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1a`?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm3c594?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4j<0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=m3;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6d629086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?lh50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0ea<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2c:>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;h=1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>7i:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a7t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th85o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c1:=?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm38794?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd41:0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=61;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f6>a29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?5l50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`0<<<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2:6>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;191<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<8083>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>9k:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a72d=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8;44?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c141?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm36394?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4>o0;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=9d;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f60e29086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?;750;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`022<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb240>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;4=n?k0;66gmf;29?j43<3:17pl<5e83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>;6:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a701=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8984?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c167?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm35d94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd44?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo=;9;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f62029086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?9;50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`006<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb21e>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;:n1<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl<3c83>6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>=8:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a765=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th8?<4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c11b?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm33`94?5=83:p(?k::0``?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17b<;4;29?xd4:00;6>4?:1y'6`3=9ki0Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188k7232900qo==7;297?6=8r.9i84>bb9K`<2<@m=i7)<"6m90:7d9m:188mg`=831d>9:50;9~f64229086=4?{%0f1?7ek2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::m101<722wi?<=50;194?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3f8?87>5;|`1ba<72:0;6=u+2d795ge<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44o367>5<53;294~"5m<0:nn5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=h:=>1<75rb2ae>5<4290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66a=4583>>{e;k31<7=50;2x 7c228hh7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?j43<3:17pl6<729q/>h;51ca8La?33An50z&1a0<6jj1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9l612=831vn>98:180>5<7s-8n97?mc:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722e9894?::a737=8391<7>t$3g6>4dd3An286Fk7c9'66d=llo0(5;n070?6=3th88o4?:283>5}#:l?1=om4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<?6=44}c101?6=;3:10Di9m;%00f?bbm2.:i=4>;h5a>5<h1<75fbg83>>i5<=0;66sm99d94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e10:1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm98194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo764;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim<=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae42=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim<<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae43=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma0594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo>8;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma0394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei;?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3494?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei;>1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma3594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo=8;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim?=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae61=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae60=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim>750;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{ei:h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg4k3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{ei:?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei=k1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma5:94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei=h1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg3k3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae1b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae11=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0e=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim8o50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae0b=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma4d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo90;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma4;94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei?n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7g94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei?i1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma7d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo80;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=7>59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wim;l50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5>50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae2c=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wim5?50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=7>5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{ei191<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg?<3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{ei>n1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei081<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sma8294?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{ei091<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598ygg><3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:ae<3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::ae=`=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wiml<50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::aed3=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma`594?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoon8;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sma`394?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1h91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`694?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1h81<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9`794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7n6;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5l?50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o850;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=g2=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5o950;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e1k31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg?ei3:157>50z&1a0<5=m1Ch4:4He5a?!44j3nni6*>e181?l532900e>;50;9j73<722c847>5;h5g>5<>i5<=0;66a=4483>>{e1k91<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1j21<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9b494?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1j31<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg?di3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a=fd=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a=f3=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ag=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5i650;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ad=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm9ef94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7ke;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sm9e594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1lh1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9da94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1lk1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm9df94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo7je;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=59;294~"5m<099i5Gd868La1e3-88n7jje:&2a5<53`9?6=44i2794?=n;?0;66g<8;29?l1c2900e5>50;9jfc<722e9894?::m100<722wi5h750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a=ce=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kh50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae56=83>1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi5kk50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::ae57=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sma1194?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qoo?4;29=?6=8r.9i84=5e9K`<2<@m=i7)<"6m9097d=;:188m63=831b?;4?::k0>i5<<0;66sm9gf94?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e1oh1<7:50;2x 7c228i>7Ej64:Jg3g=#::h1hhk4$0g3>47h1<75fbg83>>o5==0;66a=4583>>{e?lo1<7=50;2x 7c22;8i7Ej64:Jg3g=#::h1hhk4$0g3>4e<,;>:6i7i;h3g6?6=3`;o?7>5;n00e?6=3th5}#:l?1>?j4He;7?Mb0j2.9?o4ked9'5`6=j2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f4=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(0<729q/>h;523f8La?33Anl5f1e094?=n9m91<75f1e694?=n9m?1<75`22c94?=zj>i?6=4::183!4b=389h6Fk959K`2d<,;9i6ikj;%3f4?7ei2c:h?4?::k2`6<722c:h94?::k2`0<722e9?l4?::a3f3=83?1<7>t$3g6>74c3An286Fk7c9'66d=llo0(0<729q/>h;523f8La?33And383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e?j=1<7;50;2x 7c22;8o7Ej64:Jg3g=#::h1hhk4$0g3>7=n9m81<75f1e194?=n9m>1<75f1e794?=h::k1<75rb6a;>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<774i0f1>5<5<6=44o31b>5<55;294~"5m<09>i5Gd868La1e3-88n7jje:&2a5<6k11b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f2ef290>6=4?{%0f1?45l2Bo595Gd6`8 75e2mon7)?j0;31?l7c:3:17d?k3;29?l7c<3:17d?k5;29?j44i3:17pl8c083>0<729q/>h;523f8La?33And383>>o6l:0;66g>d583>>o6l<0;66a=3`83>>{e08n1<7<50;2x 7c22;8?7Ej64:Jg3g=#::h1hhk4i0f2>5<0Di9m;%00f?bbm2.:i=4>c:k2`7<722c:h>4?::m17d<722wi;hj50;194?6|,;o>6?Nc?k1/>>l5ddg8 4c72;30(?:>:e;g?l7c:3:17d?k3;29?j44i3:17pl71b83>6<729q/>h;523`8La?33Ana?a3`;o>7>5;h3g7?6=3f88m7>5;|`;50<72<0;6=u+2d7967b<@m3?7Ej8b:&17g5119'617=l0n0e50z&1a0<5:o1Ch4:4He5a?!7b83;ih6g>d383>>o6l:0;66g>d583>>o6l<0;66g>d783>>o6l>0;66a=3`83>>{e?li1<7950;2x 7c22;8m7Ej64:Jg3g=#9l:1=oj4i0f1>5<5<6=44i0f5>5<5<54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e0821<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg>6>3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2`3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj>l86=4::183!4b=3;ij6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>o>>3:17dli:188k7232900qo9i2;290?6=8r.9i84>c19K`<2<@m=i7)<"6m90:7d9m:188m2b=831bnk4?::m101<722wi4;:50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::k4`?6=3`hm6=44o367>5<54;294~"5m<09>85Gd868La1e3-88n7jje:&2a5<63`3=6=44icd94?=n9jl1<75`25694?=zj1;n6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl71g83>0<729q/>h;51b68La?33An9:50;9~f2ee290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb6ag>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0kl0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:mi:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`5<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj>n:6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8d383>0<729q/>h;51b68La?33An9:50;9~f2b4290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb6g4>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl8fb83>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th3?;4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi4>=50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a<6g=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f=50290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn5=j:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo6o0n3:17dm<:188m7332900c?<8:188yg>3:3:1?7>50z&1a03:17b<"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl74783>6<729q/>h;5d818La?33An>i5;h0;66sm85194?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e0=k1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj1><6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<5<4290;w)Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<0Di9m;%00f?bbm2c5;ha0>5<5<>7>53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th38k4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`;13<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi48750;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn5=::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl73883>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`;04<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f=2229096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj1>o6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th39<4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn5;::181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl75983>7<729q/>h;523c8La?33An5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn5=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`;05<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj1>?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl74983>0<729q/>h;51b68La?33An9:50;9~f=2d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th39=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb977>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd?=>0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8<=:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo;>f;290?6=8r.9i84=319K`<2<@m=i7)<o0n3:17dm<:188m7332900c?<8:188yg35>3:1?7>50z&1a03:17b<"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl:2`83>6<729q/>h;5d818La?33An>i5;h0;66sm53594?2=83:p(?k::313?Mb><2Bo;o5+22`9``cl1<75fc283>>o5==0;66a=2683>>{e=;o1<7=50;2x 7c22m387Ej64:Jg3g=#::h1hhk4i6d94?=n:;<1<75`22c94?=zj<8i6=4;:183!4b=388<6Fk959K`2d<,;9i6ikj;h5e>5<5<4290;w)Nc1=1Ch:l4$31a>acb3`=m6=44i305>5<0Di9m;%00f?bbm2c5;ha0>5<5<53;294~"5m<0o5>5Gd868La1e3-88n7jje:k4b?6=3`89:7>5;n00e?6=3th>?>4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`67d<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi9>k50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn8=m:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f02529086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg34n3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo;;5;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl:2083>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`66<<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f04c29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj<9>6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th>?44?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn8=k:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl:4083>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`665<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<8?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl:2983>0<729q/>h;51b68La?33An9:50;9~f04d290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th>?=4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb417>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd2;10;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn8=l:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`605<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj<>86=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl8b183>6<729q/>h;523`8La?33An45f1e094?=n9m91<75`22c94?=zj>km6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?7e92c:h?4?::k2`6<722c:h94?::m17d<722wi;lk50;794?6|,;o>6?Nc?k1/>>l5ddg8 4c728i27)<;1;fb4>o6l;0;66g>d283>>o6l=0;66g>d483>>i5;h0;66sm79494?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79794?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79694?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79194?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79094?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79394?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm79294?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm76d94?2=83:p(?k::0a6?Mb><2Bo;o5+22`9``c<,8o;6>i5<=0;66sm78g94?5=83:p(?k::30a?Mb><2Bo;o5+22`9``c<,8o;65<5<54;294~"5m<0:o=5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=njo0;66a=4583>>{e?h81<7:50;2x 7c228i;7Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66g8d;29?lda2900c?:;:188yg1f<3:197>50z&1a0<6jo1Ch4:4He5a?!44j3nni6*>e182?l1e2900e:j50;9j=3<722cij7>5;n070?6=3th<5k4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<55;294~"5m<0:nk5Gd868La1e3-88n7jje:&2a5<63`=i6=44i6f94?=n1?0;66gmf;29?j43<3:17pl88c83>6<729q/>h;523`8La?33An396=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17b<;4;29?xd0180;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f2?7290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`451:k4f?6=3`=o6=44icd94?=h:=>1<75rb6;0>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66g66;29?lda2900c?:;:188yg1?k3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2?3290>6=4?{%0f1?7en2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::k:2?6=3`hm6=44o367>5<5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>:=1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg04>3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a1cc=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm5ga94?2=83:p(?k::312?Mb><2Bo;o5+22`9``c5<5<5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>::1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg05n3:187>50z&1a0<5;81Ch4:4He5a?!44j3nni6g>d383>>o6l:0;66g=5583>>i5;h0;66sm61794?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo8?4;29e?6=8r.9i84=5d9K`<2<@m=i7W9n:0y06?{#::h1hhk4$0g3>1=n090;66gmf;29?l1c2900c?:;:188k7212900e>k50;&105<4l2d9?k4?;:k0g?6=,;>;6>j4n31e>4=h5;o0976g6?=>;If:0>Nc?k1/>>l5ddg8m4b52900e50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a277=83k1<7>t$3g6>73b3An286Fk7c9Y3d<6s:81q)<"6m90?7d6?:188mg`=831b;i4?::m101<722e98;4?::k0a?6=,;>;6>j4n31e>5=h5;o0:76g5$363>6b0Di9m;[5b>4}4:3w/>>l5ddg8 4c72=1b4=4?::kab?6=3`=o6=44o367>5<=6=44i2g94?"5<908h6`=3g83?>o4k3:1(?:?:2f8j75a2810e>l50;&105<4l2d9?k4=;:k0e?6=,;>;6>j4n31e>6=h5;o0?76sm60d94?g=83:p(?k::37f?Mb><2Bo;o5U7`8264=u-88n7jje:&2a5<33`2;6=44icd94?=n?m0;66a=4583>>i55$363>6bo413:1(?:?:2f8j75a2=10qo8>c;290?6=8r.9i84=309K`<2<@m=i7)<o6l;0;66g>d283>>o5==0;66a=3`83>>{e>8n1<7:50;2x 7c22;9:7Ej64:Jg3g=#::h1hhk4i0f1>5<5<0Di9m;%00f?bbm2c:h?4?::k2`6<722c9994?::m17d<722wi:<>50;c94?6|,;o>6?;j;If:0>Nc?k1Q;l4>{209y!44j3nni6*>e187?l>72900eoh50;9j3a<722e9894?::m103<722c8i7>5$363>6bo4j3:1(?:?:2f8j75a2;10e>o50;&105<4l2d9?k4<;:k0=?6=,;>;6>j4n31e>1=5a;294~"5m<099h5Gd868La1e3S=j65;h5g>5<?6=44o365>5<h5;o0;76g5$363>6b54i2;94?"5<908h6`=3g87?>{e>9o1<7o50;2x 7c22;?n7Ej64:Jg3g=]?h0:w><5}%00f?bbm2.:i=4;;h:3>5<>i5<=0;66a=4783>>o4m3:1(?:?:2f8j75a2910e>m50;&105<4l2d9?k4>;:k0f?6=,;>;6>j4n31e>7=h5;o0876g<9;29 7272:n0b?=i:598yg07l3:1m7>50z&1a0<5=l1Ch4:4He5a?_1f28q8>7s+22`9``c<,8o;695f8183>>oen3:17d9k:188k7232900c?:9:188m6c=83.98=45$363>6bo4i3:1(?:?:2f8j75a2:10e>750;&105<4l2d9?k4;;:a25g=83>1<7>t$3g6>7563An286Fk7c9'66d=llo0eo6l;0;66g>d283>>o5==0;66a=3`83>>{e>9i1<7:50;2x 7c22;997Ej64:Jg3g=#::h1hhk4i0f1>5<5<0Di9m;%00f?bbm2.:i=4=;h17>5<>o403:17d9k:188m=6=831bnk4?::m101<722e9884?::a27b=8331<7>t$3g6>73c3An286Fk7c9'66d=llo0(5;h15>5<>o?83:17dli:188k7232900c?:::188yg11>3:187>50z&1a0<5:j1Ch4:4He5a?!44j3nni6*>e182`>o6l;0;66g>d283>>o6l=0;66a=3`83>>{e=oh1<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd19k0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg0713:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f20?290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a331=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`6bd<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c7e=?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb646>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd0>h0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn:86:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5ea<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?kj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9a783>0<729q/>h;51b68La?33An9:50;9~f3g5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=5h4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7;b>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd11?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;7=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5<`<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?2j6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9ad83>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5e2<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3g429096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj?3i6=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=5:4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;7<:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl98g83>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ec<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi:lm50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn;o::187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f3g?29086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0f93:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8n4;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl99e83>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>031<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm68a94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb7;6>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?336=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`587>5;n013?6=3th=5=4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:5750;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2=e=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3`6290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=ih4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7gb>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd1m?0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn;k=:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`5``<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj?nj6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl9d783>0<729q/>h;51b68La?33An9:50;9~f3b5290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th=oh4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb7d1>5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5ac<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3ce29096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<0;6?4?:1y'6`3=:;k0Di7;;If4f>"5;k0oih5+1d295f=n9m81<75`22c94?=zj?o86=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th=hk4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn;jm:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl9d683>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`5gc<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f3`429086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg0bl3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo8i0;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl9e883>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e>l?1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm6d:94?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb7g2>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj?o?6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`5`<<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th=hn4?:283>5}#:l?1h4=4He;7?Mb0j2.9?o4ked9j3c<722c9>;4?::m17d<722wi:i;50;694?6|,;o>6?=?;If:0>Nc?k1/>>l5ddg8m2`=831bo>4?::k111<722e9>:4?::a2a>=8391<7>t$3g6>a?43An286Fk7c9'66d=llo0e:h50;9j670=831d>>o50;9~f3b6290?6=4?{%0f1?4482Bo595Gd6`8 75e2mon7d9i:188mf5=831b>8:50;9l671=831vn;j;:180>5<7s-8n97j63:Jg=1=Ol>h0(?=m:egf?l1a2900e?<9:188k75f2900qo8ld;290?6=8r.9i84=319K`<2<@m=i7)<o0n3:17dm<:188m7332900c?<8:188yg0c83:1?7>50z&1a03:17b<"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj?=:6=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=:h4?:583>5}#:l?1>?m4He;7?Mb0j2.9?o4ked9'5`6=?j1b=i<50;9j5a5=831b=i:50;9l66g=831vn;8m:187>5<7s-8n97<=c:Jg=1=Ol>h0(?=m:egf?!7b83=h7d?k2;29?l7c;3:17d?k4;29?j44i3:17pl96983>1<729q/>h;523a8La?33An5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<79l;h3g6?6=3`;o?7>5;h3g0?6=3f88m7>5;|`527<72=0;6=u+2d7967e<@m3?7Ej8b:&17g57b9j5a4=831b=i=50;9j5a2=831d>>o50;9~f33a290?6=4?{%0f1?45k2Bo595Gd6`8 75e2mon7)?j0;5`?l7c:3:17d?k3;29?l7c<3:17b<"5;k0oih5+1d293f=n9m81<75f1e194?=n9m>1<75`22c94?=zj??26=4;:183!4b=389o6Fk959K`2d<,;9i6ikj;%3f4?1d3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th=jk4?:283>5}#:l?1>?l4He;7?Mb0j2.9?o4ked9'5`6=;:1/>9?5d8g8m4b52900e"6m908?6*=408g=`=n9m81<75f1e194?=h::k1<75rb774>5Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3th=8i4?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<h6=4;:183!4b=3;h<6Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17b<;4;29?xd1"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f32f290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`50<<72=0;6=u+2d795f6<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76;>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl95783>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=994?:583>5}#:l?1=n>4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<h1<75f7e83>>oen3:17b<;4;29?xd1=;0;694?:1y'6`3=9j:0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831d>9:50;9~f336290?6=4?{%0f1?7d82Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3f8?87>5;|`515<72=0;6=u+2d795f6<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=h:=>1<75rb76e>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?j43<3:17pl94d83>1<729q/>h;51b28La?33An5<7s-8n97?l0:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;n070?6=3th=;>4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj?=;6=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e>>81<7:50;2x 7c228i87Ej64:Jg3g=#::h1hhk4$0g3>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd1>m0;694?:1y'6`3=9j90Di7;;If4f>"5;k0oih5+1d295>o0j3:17dli:188m7202900c?:;:188yg01n3:187>50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f30f290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a23e=83>1<7>t$3g6>4e43An286Fk7c9'66d=llo0(5;h073?6=3f8?87>5;|`522<72=0;6=u+2d795f5<@m3?7Ej8b:&17g51:k4f?6=3`hm6=44i364>5<?6=44}c45=?6=<3:10Di9m;%00f?bbm2.:i=4>;h5a>5<1<75rb747>5<3290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=njo0;66g=4683>>i5<=0;66sm67494?2=83:p(?k::0a0?Mb><2Bo;o5+22`9``c<,8o;6<5f7c83>>oen3:17d<;7;29?j43<3:17pl96083>1<729q/>h;51b18La?33Anc29K`<2<@m=i7)<"6m90:7d9m:188mg`=831b>9950;9l612=831vn;;j:187>5<7s-8n97?l3:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9jfc<722c98:4?::m101<722wi:;>50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th=9o4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<h7>54;294~"5m<0:o>5Gd868La1e3-88n7jje:&2a5<63`=i6=44icd94?=n:==1<75`25694?=zj??36=4;:183!4b=3;h?6Fk959K`2d<,;9i6ikj;%3f4?7h1<75fbg83>>o5<>0;66a=4583>>{e>4=n?k0;66gmf;29?l43?3:17b<;4;29?xd0:10;694?:1y'6`3=:::0Di7;;If4f>"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82883>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82c83>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl82e83>d<729q/>h;524g8La?33An>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn:5<7s-8n97<:e:Jg=1=Ol>h0V:o51z11>x"5;k0oih5+1d290>o?83:17dli:188m2b=831d>9:50;9l610=831b?h4?:%074?5c3g88j7>4;h1`>5<#:=:1?i5a22d95>=n;k0;6)<;0;1g?k44n3807d=n:18'616=;m1e>>h53:9j7<<72-8?<7=k;o00b?2<3th<>k4?:`83>5}#:l?1>8k4He;7?Mb0j2P5;n072?6=3`9n6=4+25297a=i::l1<65f3b83>!43839o7c<9>53e9m66`=:21b?l4?:%074?5c3g88j7=4;h1:>5<#:=:1?i5a22d90>=zj>9;6=46:183!4b=38>h6Fk959K`2d<,;9i6ikj;%3f4?41<75f3483>>o4>3:17d=7:188m2b=831b4=4?::kab?6=3f8?87>5;n071?6=3th<=94?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`450<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th<=;4?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`452<72=0;6=u+2d79666<@m3?7Ej8b:&17g87>5;n013?6=3th<=54?:583>5}#:l?1>>>4He;7?Mb0j2.9?o4ked9j3c<722ch?7>5;h060?6=3f89;7>5;|`45<<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb63b>5Nc1=1Ch:l4Z6c95~552t.9?o4ked9'5`6=<2c3<7>5;h`e>5<n1<75`25694?=h:=<1<75f3d83>!43839o7c<9>53e9m66`=921b?o4?:%074?5c3g88j7<4;h1b>5<#:=:1?i5a22d97>=n;00;6)<;0;1g?k44n3>07pl81c83>d<729q/>h;524g8La?33An>o0l3:17b<;4;29?j43>3:17d=j:18'616=;m1e>>h50:9j7f<72-8?<7=k;o00b?7<3`9i6=4+25297a=i::l1>65f3`83>!43839o7c<290/>9>53e9m66`=<21vn:?l:18:>5<7s-8n97<:d:Jg=1=Ol>h0(?=m:egf?!7b8380e>:50;9j70<722c8:7>5;h1;>5<n1<75f8183>>oen3:17b<;4;29?j43=3:17pl80183>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80383>1<729q/>h;52228La?33An"5;k0oih5f7g83>>od;3:17d<:4;29?j45?3:17pl80583>1<729q/>h;52228La?33An\0i3;p??4r$31a>acb3-;n<7:4i9294?=njo0;66g8d;29?j43<3:17b<;6;29?l5b290/>9>53e9m66`=821b?n4?:%074?5c3g88j7?4;h1a>5<#:=:1?i5a22d96>=n;h0;6)<;0;1g?k44n3907d=6:18'616=;m1e>>h54:9~f261290j6=4?{%0f1?42m2Bo595Gd6`8^2g=9r996p*=3c8ga`=#9l:186g70;29?lda2900e:j50;9l612=831d>9850;9j7`<72-8?<7=k;o00b?6<3`9h6=4+25297a=i::l1=65f3c83>!43839o7c<9>53e9m66`=;21b?44?:%074?5c3g88j7:4;|`442<72h0;6=u+2d7960c<@m3?7Ej8b:X4e?7|;;0v(?=m:egf?!7b83>0e5>50;9jfc<722c5;n070?6=3f8?:7>5;h1f>5<#:=:1?i5a22d94>=n;j0;6)<;0;1g?k44n3;07d=m:18'616=;m1e>>h52:9j7d<72-8?<7=k;o00b?5<3`926=4+25297a=i::l1865rb62;>5<>290;w)Nc1=1Ch:l4$31a>acb3-;n<7<4i2694?=n;<0;66g<6;29?l5?2900e:j50;9j<5<722cij7>5;n070?6=3f8?97>5;|`73`<72:0;6=u+2d79`<5<@m3?7Ej8b:&17g1<7>t$3g6>7573An286Fk7c9'66d=llo0e:h50;9jg6<722c9994?::m162<722wi85<50;194?6|,;o>6i7<;If:0>Nc?k1/>>l5ddg8m2`=831b>?850;9l66g=831vn99i:187>5<7s-8n97<<0:Jg=1=Ol>h0(?=m:egf?l1a2900en=50;9j602=831d>?950;9~f1>129086=4?{%0f1?b>;2Bo595Gd6`8 75e2mon7d9i:188m7412900c?=n:188yg2?;3:187>50z&1a0<5;91Ch4:4He5a?!44j3nni6g8f;29?le42900e?;;:188k7402900qo:7a;297?6=8r.9i84k929K`<2<@m=i7)<o0n3:17d<=6;29?j44i3:17pl;8683>1<729q/>h;52228La?33An4?:1y'6`3=l090Di7;;If4f>"5;k0oih5f7g83>>o5:?0;66a=3`83>>{e<1h1<7:50;2x 7c22;9;7Ej64:Jg3g=#::h1hhk4i6d94?=nk:0;66g=5583>>i5:>0;66sm48094?5=83:p(?k::e;0?Mb><2Bo;o5+22`9``cl1<75f23494?=h::k1<75rb5:e>5<3290;w)Nc1=1Ch:l4$31a>acb3`=m6=44ib194?=n:<>1<75`23594?=zj=3=6=4<:183!4b=3n2?6Fk959K`2d<,;9i6ikj;h5e>5<5<2?7>54;294~"5m<09?=5Gd868La1e3-88n7jje:k4b?6=3`i86=44i377>5<0Di9m;%00f?bbm2c5;h012?6=3f88m7>5;|`73a<72;0;6=u+2d7967g<@m3?7Ej8b:&17g51b9j5a4=831d>>o50;9~f1>629096=4?{%0f1?45i2Bo595Gd6`8 75e2mon7)?j0;3`?l7c:3:17b<"5;k0oih5+1d295f=n9m81<75`22c94?=zj=226=4=:183!4b=389m6Fk959K`2d<,;9i6ikj;%3f4?7d3`;o>7>5;n00e?6=3th?4i4?:383>5}#:l?1>?o4He;7?Mb0j2.9?o4ked9'5`6=9j1b=i<50;9l66g=831vn97>:181>5<7s-8n97<=a:Jg=1=Ol>h0(?=m:egf?!7b83;h7d?k2;29?j44i3:17pl;9483>7<729q/>h;523c8La?33An5<5290;w)Nc1=1Ch:l4$31a>acb3-;n<7?l;h3g6?6=3f88m7>5;|`73f<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=2;6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;8583>0<729q/>h;51b68La?33An9:50;9~f1>?290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?4n4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb5;3>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd31=0;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn978:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`7e6<72<0;6=u+2d795f2<@m3?7Ej8b:&17g51:k4f?6=3`=o6=44icd94?=n:==1<75`25694?=zj=k?6=4::183!4b=3;h86Fk959K`2d<,;9i6ikj;%3f4?7h1<75f7e83>>oen3:17d<;7;29?j43<3:17pl;a483>0<729q/>h;51b68La?33An9:50;9~f1g1290>6=4?{%0f1?7d<2Bo595Gd6`8 75e2mon7)?j0;38m2d=831b;i4?::kab?6=3`8?;7>5;n070?6=3th?m:4?:483>5}#:l?1=n:4He;7?Mb0j2.9?o4ked9'5`6=92c5;h5g>5<1<75rb5c;>5<2290;w)Nc1=1Ch:l4$31a>acb3-;n<7?4i6`94?=n?m0;66gmf;29?l43?3:17b<;4;29?xd3i00;684?:1y'6`3=9j>0Di7;;If4f>"5;k0oih5+1d295>o0j3:17d9k:188mg`=831b>9950;9l612=831vn9on:186>5<7s-8n97?l4:Jg=1=Ol>h0(?=m:egf?!7b83;0e:l50;9j3a<722cij7>5;h073?6=3f8?87>5;|`4`0<72=0;6=u+2d7967e<@m3?7Ej8b:&2a5<6io1b=i<50;9j5a5=831b=i:50;9l66g=831vn88?:185>5<7s-8n97<=e:Jg=1=Ol>h0(>o50;9~f006290>6=4?{%0f1?45l2Bo595Gd6`8 4c72m1b=i<50;9j5a5=831b=i:50;9j5a3=831d>>o50;9~f005290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f004290<6=4?{%0f1?45n2Bo595Gd6`8 4c72l1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~f003290=6=4?{%0f1?45m2Bo595Gd6`8 4c728ij7d?k2;29?l7c;3:17d?k4;29?l7c=3:17d?k6;29?j44i3:17pl70283>2<729q/>h;523d8La?33Ane182g2=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92`>5<4290;w)Nc1=1Ch:l4$0g3>475<0Di9m;%3f4?7e12c:h?4?::k2`6<722c:h94?::k2`0<722c:h;4?::k2`2<722e9?l4?::a<44=83<1<7>t$3g6>74b3An286Fk7c9'5`6=k91b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9l66g=831vn5?<:184>5<7s-8n97<=f:Jg=1=Ol>h0(3:17d?k7;29?j44i3:17pl71583>2<729q/>h;523d8La?33Ane18`?l7c:3:17d?k3;29?l7c<3:17d?k5;29?l7c>3:17d?k7;29?j44i3:17pl70983>2<729q/>h;523d8La?33Ane182ga=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb92:>5<3290;w)Nc1=1Ch:l4$0g3>4ga3`;o>7>5;h3g7?6=3`;o87>5;n00e?6=3th35}#:l?1>?h4He;7?Mb0j2.:i=489:k2`7<722c:h>4?::k2`1<722c:h84?::k2`3<722c:h:4?::m17d<722wi;kk50;694?6|,;o>6Nc?k1/>>l5ddg8 4c7281b;o4?::kab?6=3`8?;7>5;n070?6=3th3<=4?:583>5}#:l?1=n=4He;7?Mb0j2.9?o4ked9'5`6=92c5;h`e>5<<6=44o367>5<53;294~"5m<09>o5Gd868La1e3-;n<7?ne:&104>o50;9~f=02290?6=4?{%0f1?7d;2Bo595Gd6`8 75e2mon7)?j0;38m2d=831bnk4?::k102<722e9894?::a<30=8391<7>t$3g6>74e3An286Fk7c9'5`6=9ho0e50z&1a0<6k:1Ch4:4He5a?!44j3nni6*>e182?l1e2900eoh50;9j611=831d>9:50;9~f2c129086=4?{%0f1?45j2Bo595Gd6`8 4c728kn7d?k2;29?l7c;3:17b<"6m90:o<5f1e094?=n9m91<75f1e694?=n9m?1<75f1e494?=h::k1<75rb932>5<2290;w)Nc1=1Ch:l4$0g3>4e13`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3f88m7>5;|`;4c<72>0;6=u+2d7967`<@m3?7Ej8b:&2a5<6kj1b=i<50;9j5a5=831b=i:50;9j5a3=831b=i850;9j5a1=831d>>o50;9~fgb6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~ff?>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn77:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn79:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6i:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>b29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6k:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>d29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6m:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn66:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn68:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff>629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn6?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff1a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9j:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff1c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9l:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh7:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnh?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkk:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnkm:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffcf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnk?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffba29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjj:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffbc29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjl:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffbe29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnjn:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj>:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffb729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmi:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffec29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnml:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffee29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnmn:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe>29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm7:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm>:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffe729086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnli:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlk:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnlm:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffdf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd029086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl9:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd229086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl;:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd429086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl=:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnl?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffga29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnoj:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffgd29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnom:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffgf29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno8:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg129086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno::180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnno?:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?a29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7j:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?c29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7l:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?e29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn7n:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff?629086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn69:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ff`f29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnki:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffc329086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnj6:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffeb29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnm<:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffd?29086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnnok:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~ffg529086=4?{%0f1?7ek2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9l612=831vnn9m:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~f<6a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<51290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<26290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<30290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<05290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<42290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<57290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<56290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<55290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<54290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<53290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<52290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<50290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<5a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<27290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<25290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<24290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<23290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<22290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<21290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<20290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<2a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<37290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<36290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<35290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<34290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<33290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<32290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<31290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<3a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<07290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<06290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<04290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<03290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<02290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<01290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<00290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<0a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<17290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<16290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<15290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<14290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<13290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<12290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<11290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<10290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<1a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<>c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<77290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<76290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<75290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<74290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<73290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<72290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<71290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<70290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<7a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<47290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<46290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<45290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<44290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<43290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<41290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<40290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<4c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=>a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ed290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<64290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ga290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=d>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=df290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=de290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=dc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=db290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=da290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=e>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ef290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ee290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ec290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=eb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ea290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=b>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=be290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=bb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ba290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=c>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ce290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=cb290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ca290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=`a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<67290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<66290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<65290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<63290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<62290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<61290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<60290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6f290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f<6c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?2290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=??290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?e290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?d290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?c290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?b290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=?a290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g7290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g6290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g5290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g4290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g3290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g1290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g0290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g?290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=g>290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gf290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=ge290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gd290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f=gc290?6=4?{%0f1?7d:2Bo595Gd6`8 4c728;0(?=m:egf?l1e2900eoh50;9j614=831d>9:50;9~f2`7290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4b4<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6g:>5<3290;w)Nc1=1Ch:l4$0g3>47<,;9i6ikj;h5a>5<1<75rb6g;>5<3290;w)Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl71c83>1<729q/>h;51cg8La?33Ane182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn:ok:180>5<7s-8n97?mc:Jg=1=Ol>h0(9:50;9~f2g6290?6=4?{%0f1?7em2Bo595Gd6`8 4c7281/>>l5ddg8m2d=831b5;4?::kab?6=3f8?87>5;|`4e5<72=0;6=u+2d795gc<@m3?7Ej8b:&2a5<63-88n7jje:k4f?6=3`3=6=44icd94?=h:=>1<75rb6:f>5<3290;w)Nc1=1Ch:l4$0g3>4=#::h1hhk4i6`94?=n1?0;66gmf;29?j43<3:17pl88e83>1<729q/>h;51cg8La?33Ane182?!44j3nni6g8b;29?l?12900eoh50;9l612=831vn5>n:184>5<7s-8n97<=f:Jg=1=Ol>h0(2<729q/>h;523d8La?33Ane182gg=n9m81<75f1e194?=n9m>1<75f1e794?=n9m<1<75f1e594?=h::k1<75rb924>5<1290;w)Nc1=1Ch:l4$0g3>4e63`;o>7>5;h3g7?6=3`;o87>5;h3g1?6=3`;o:7>5;n00e?6=3th?o=4?:7g94?6|,;o>6?:6;If:0>Nc?k1Q;l4l{06950<5:38868;3a>xhd9330bn<59:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>e085?!7b:3<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=2080?!45:390(?<<:31:?!43i38=?6*=508127=#:<81;i5+24193a=#:<=1h:k4$37e>6=#:?:1?6*k918g5<6=44i36a>5<>o5!4383n396`=3g83?>oc0=0;6)<;0;f;1>h5;o0:76a>6183>!4383;>j6`=3g83?>i6=l0;6)<;0;36b>h5;o0:76a>5e83>!4383;>j6`=3g81?>i6=j0;6)<;0;36b>h5;o0876a>5c83>!4383;>j6`=3g87?>i6=h0;6)<;0;36b>h5;o0>76a>6883>!4383;>j6`=3g85?>i6>10;6)<;0;36b>h5;o0<76a>6683>!4383;>j6`=3g8;?>i6>?0;6)<;0;36b>h5;o0276a>6483>!4383;>j6`=3g8b?>i6>=0;6)<;0;36b>h5;o0i76a>6283>!4383;>j6`=3g8`?>i6>;0;6)<;0;36b>h5;o0o76a>6083>!4383;>j6`=3g8f?>i6=00;6)<;0;36b>h5;o0m76a>a483>!4383;j86`=3g83?>i6i:0;6)<;0;3b0>h5;o0:76a>ae83>!4383;jo6`=3g83?>i6ik0;6)<;0;3bg>h5;o0:76gk8d83>!4383n3h6`=3g83?>oc0j0;6)<;0;f;`>h5;o0:76g:2;29 7272<;0b?=i:198m06=83.98=4:1:l17c<632c?j7>5$363>07=6`=3g80?>o2i3:1(?:?:438j75a2=10e8750;&105<292d9?k4:;:k6;68?4n31e>3=h5;o0<76g:6;29 7272<;0b?=i:998m03=83.98=4:1:l17c<>32c>87>5$363>07=6`=3g8a?>o3m3:1(?:?:438j75a2j10e<=8:18'616=9:<0b?=i:198m452290/>9>51248j75a2810e9>510f8j75a2810e9>510f8j75a2:10e9>510f8j75a2<10e<<8:18'616=98n0b?=i:798m441290/>9>510f8j75a2>10e<<::18'616=98n0b?=i:998m443290/>9>510f8j75a2010e<<<:18'616=98n0b?=i:`98m445290/>9>510f8j75a2k10e<<>:18'616=98n0b?=i:b98m447290/>9>510f8j75a2m10e9>510f8j75a2o10ehm50;&105;6hl4n31e>4=h5;o0976gj8;29 7272lh0b?=i:298m`1=83.98=4jb:l17c<332cn:7>5$363>`doa<3:1(?:?:d`8j75a2>10ek=50;&105;6hl4n31e><=h5;o0j76gi0;29 7272lh0b?=i:c98m``=83.98=4jb:l17c5$363>`dob=3:1(?:?:d`8j75a2o10e;h50;&105<1m2d9?k4?;:k5`?6=,;>;6;k4n31e>4=h5;o0976g88;29 7272?o0b?=i:298m21=83.98=49e:l17c<332c<:7>5$363>3co0<3:1(?:?:7g8j75a2>10e:=50;&105<1m2d9?k47;:k46?6=,;>;6;k4n31e><=;1<7*=4185a>h5;o0j76g80;29 7272?o0b?=i:c98m3d=83.98=49e:l17c4?:%074?7>:2d9?k4?;:m2=4<72-8?<7?62:l17c<632e:5=4?:%074?7>:2d9?k4=;:m2:2d9?k4;;:m2:2d9?k49;:m2=g<72-8?<7?62:l17c<032e:5l4?:%074?7>:2d9?k47;:m2=<<72-8?<7?62:l17c<>32e:554?:%074?7>:2d9?k4n;:m2=2<72-8?<7?62:l17c:2d9?k4l;:m2=0<72-8?<7?62:l17c:2d9?k4j;:m2k4?:%074?75m2d9?k4?;:k26a<72-8?<7?=e:l17c<632wi8ll50;``>5<7s-8n97<;8:Jg=1=Ol>h0V:o5cz35>41=:k09j738>6?952d810?{#::h1hhk4nb3957=ik;0:>6`>6`83?k7>l3:0(d985?!7c13<0(2.:hn49;%3g`?0<,8nn6;5+1ed92>"6m80=7)?j2;48 4c42?1/=h:56:&2a0<13-;n:784$0g4>3=#9l21:6*>e885?!7bi3<0(2.:ii49;%3fa?0<,8om6;5+1g292>"6n80=7)?i2;48 4`42?1/=k:56:&2b0<13-;m:784$0d4>3=#9o21:6*>f885?!7ai3<0(2.:ji49;%3ea?0<,8lm6;5+21292>"5880=7)=:56:&140<13-8;:784$324>3=#:921:6*=0885?!47i3<0(?>m:79'65e=>2.9"5980=7)<>2;48 7742?1/><:56:&150<13-8::784$334>3=#:821:6*=1885?!46i3<0(??m:79'64e=>2.9=i49;%02a?0<,;;m6;5+23292>"5:80>7)<=2;78 7442;927)<:1;056>"5=;0"5=>0o;h5+24:960g<,;?m685+27291>"c190o4k5+d839`=`i6=44i9594?=n9k>1<75f25d94?=n0m0;66g=4b83>>o5b383>>o6:j0;6)<;0;31f>h5;o0;76g>2`83>!4383;9n6`=3g82?>o6:00;6)<;0;31f>h5;o0976g>2983>!4383;9n6`=3g80?>oc0:0;6)<;0;f;6>h5;o0;76gk8083>!4383n3>6`=3g82?>oc090;6)<;0;f;6>h5;o0976gk7g83>!4383n3>6`=3g80?>i6=80;6)<;0;364>h5;o0;76a>4g83>!4383;><6`=3g82?>i6h5;o0976a>4b83>!4383;><6`=3g80?>i6h5;o0?76a>4`83>!4383;><6`=3g86?>i6<00;6)<;0;364>h5;o0=76a>4983>!4383;><6`=3g84?>i6<>0;6)<;0;364>h5;o0376a>4783>!4383;><6`=3g8:?>i6<<0;6)<;0;364>h5;o0j76a>4583>!4383;><6`=3g8a?>i6<;0;6)<;0;364>h5;o0h76a>4083>!4383;><6`=3g8g?>i6<90;6)<;0;364>h5;o0n76a>3g83>!4383;><6`=3g8e?>i6;l0;6)<;0;364>h5;o0:<65`12f94?"5<90:9=5a22d954=;6<;?;o00b?7432e:?l4?:%074?7282d9?k4>4:9l56?=83.98=4>519m66`=9<10c<;7:18'616=9<:0b?=i:048?j72?3:1(?:?:073?k44n3;<76a>5783>!4383;><6`=3g82<>=h95<#:=:1=8>4n31e>4g<3f;>?7>5$363>4373g88j7?m;:m217<72-8?<7?:0:l17c<6k21d=9k50;&105<6=91e>>h51e98k424290/>9>51428j75a28o07b?<8;29 72728?;7c<o3<3:1(?:?:518j75a2910e9<50;&105<3;2d9?k4>;:k75?6=,;>;69=4n31e>7=h5;o0876g;d;29 7272=90b?=i:598m1e=83.98=4;3:l17c<232c?n7>5$363>15o313:1(?:?:518j75a2110e9650;&105<3;2d9?k46;:k73?6=,;>;69=4n31e>d=h5;o0i76g;5;29 7272=90b?=i:b98m6`=83.98=4;3:l17c5$363>36o2m3:1(?:?:728j75a2;10e8j50;&105<182d9?k4<;:k5e?6=,;>;6;>4n31e>1=h5;o0>76g98;29 7272?:0b?=i:798m31=83.98=490:l17c<032c=:7>5$363>36o1<3:1(?:?:728j75a2h10e;=50;&105<182d9?k4m;:k56?6=,;>;6;>4n31e>f=h5;o0o76g>0g83>!4383;;i6`=3g83?>o68m0;6)<;0;33a>h5;o0:76g>0c83>!4383;;i6`=3g81?>o68h0;6)<;0;33a>h5;o0876g>0883>!4383;;i6`=3g87?>o6810;6)<;0;33a>h5;o0>76g>0683>!4383;;i6`=3g85?>o68?0;6)<;0;33a>h5;o0<76g>0483>!4383;;i6`=3g8;?>o68=0;6)<;0;33a>h5;o0276g>0283>!4383;;i6`=3g8b?>o68;0;6)<;0;33a>h5;o0i76g>0183>!4383;;i6`=3g8`?>oan3:1(?:?:02f?k44n3n07dhj:18'616=99o0b?=i:d98mcb=83.98=4>0d9m66`=n21bjn4?:%074?77m2d9?k4>0:9jbg<72-8?<7??e:l17c<6921bjl4?:%074?77m2d9?k4>2:9jb<<72-8?<7??e:l17c<6;21bj54?:%074?77m2d9?k4>4:9jb2<72-8?<7??e:l17c<6=21b=<850;&105<68l1e>>h51798m472290/>9>511g8j75a28=07d?>4;29 72728:n7c<o69:0;6)<;0;33a>h5;o0:565f10094?"5<90:;6<>j;o00b?7d32c:d:9j557=83.98=4>0d9m66`=9l10ek850;&105<68l1e>>h51g98k4g5290/>9>51`38j75a2910c9>51`38j75a2;10c<7j:18'616=9h;0b?=i:298m453290/>9>51218j75a2910e<==:18'616=9:90b?=i:098m456290/>9>51218j75a2;10e<=?:18'616=9:90b?=i:298k4gf290/>9>51`;8j75a2910c9>51`;8j75a2;10c3290/>9>51918j75a2910c<6=:18'616=9190b?=i:098k4>7290/>9>51918j75a2;10c<9i:18'616=9190b?=i:298k41b290/>9>51918j75a2=10c<9k:18'616=9190b?=i:498k41d290/>9>51918j75a2?10c<9m:18'616=9190b?=i:698k41f290/>9>51918j75a2110c<96:18'616=9190b?=i:898k41?290/>9>51918j75a2h10c<98:18'616=9190b?=i:c98k412290/>9>51918j75a2j10c<9;:18'616=9190b?=i:e98k414290/>9>51918j75a2l10c<9=:18'616=9190b?=i:g98k416290/>9>51918j75a28:07b?80;29 72728287c<i6>o0;6)<;0;3;7>h5;o0:>65`17g94?"5<90:4>5a22d956=;6<6<;o00b?7232e:4o4?:%074?7?;2d9?k4>6:9l5=g=83.98=4>829m66`=9>10c<66:18'616=9190b?=i:0:8?j7?03:1(?:?:0:0?k44n3;276a>8683>!4383;3?6`=3g82e>=h91<1<7*=4182<6=i::l1=o54o0:6>5<#:=:1=5=4n31e>4e<3f;3=7>5$363>4>43g88j7?k;:m233<72-8?<7?73:l17c<6m21d=;l50;&105<60:1e>>h51g98ma>e290/>9>5d9c8j75a2910ei66:18'616=l1k0b?=i:098ma>?290/>9>5d9c8j75a2;10ei68:18'616=l1k0b?=i:298mab=83.98=4kc:l17c<732con7>5$363>aeoc03:1(?:?:ea8j75a2:10ei950;&105;6im4n31e>0=h5;o0=76gk4;29 7272mi0b?=i:698ma5=83.98=4kc:l17c7>5$363>aeoc83:1(?:?:ea8j75a2k10enk50;&105;6im4n31e>a=h5;o0n76glb;29 7272mi0b?=i:g98mfg=83.98=4kc:l17c<6821bo44?:%074?bd3g88j7?>;:k`;6im4n31e>44<3`i<6=4+2529`f=i::l1=>54ib494?"5<90oo6`=3g820>=nk<0;6)<;0;f`?k44n3;>76gj4;29 7272mi0b?=i:048?lc4290/>9>5db9m66`=9>10eh<50;&1058:9ja4<72-8?<7jl;o00b?7>32cn<7>5$363>ae5<#:=:1hn5a22d95g=h5;o0:o65fd`83>!4383nh7c<odn3:1(?:?:ea8j75a28o07dm;:18'616=lj1e>>h51g98yg2fm3:1nn4?:1y'6`3=:=20Di7;;If4f>\0i3ip=;4>7;0a>7`=:j08<744"6j?0:no5+1c595gd<,8n36;5+1e;92>"6lh0=7)?kb;48 4bd2?1/=ij56:&2``<13-;oj784$0g2>3=#9l81:6*>e285?!7b<3<0(2.:i:49;%3f"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(2.:j:49;%3e"6nk0=7)?ic;48 4`c2?1/=kk56:&2bc<13-8;<784$322>3=#:981:6*=0285?!47<3<0(?>::79'650=>2.9<:49;%03"58k0=7)=k56:&14c<13-8:<784$332>3=#:881:6*=1285?!46<3<0(??::79'640=>2.9=:49;%02"59k0=7)<>c;48 77c2?1/>0=#:;8196*=22817<=#:<;1>;<4$371>2b<,;?86:j4$374>a1b3-8>47<:a:&11c<23-8=<7;4$e;3>a>a3-n2=7j7f:k10g<722c3;7>5;h3a0?6=3`8?j7>5;h:g>5<h6=44i36f>5<5<#:=:1=?l4n31e>5=5<#:=:1=?l4n31e>7=54ie:0>5<#:=:1h5<4n31e>5=5<#:=:1h5<4n31e>7=54o072>5<#:=:1=8>4n31e>5=m6=4+25295065<#:=:1=8>4n31e>7=h6=4+252950654o06a>5<#:=:1=8>4n31e>1=j6=4+25295065<#:=:1=8>4n31e>3=36=4+25295065<#:=:1=8>4n31e>===6=4+25295065<#:=:1=8>4n31e>d=?6=4+25295065<#:=:1=8>4n31e>f=:6=4+25295065<#:=:1=8>4n31e>`=5<#:=:1=8>4n31e>46<3f;8h7>5$363>4373g88j7?>;:m27f<72-8?<7?:0:l17c<6:21d=>l50;&105<6=91e>>h51298k45f290/>9>51428j75a28>07b?<9;29 72728?;7c<i6=10;6)<;0;364>h5;o0::65`14594?"5<90:9=5a22d952=;6<;?;o00b?7>32e:994?:%074?7282d9?k4>a:9l505=83.98=4>519m66`=9k10c<;=:18'616=9<:0b?=i:0a8?j73m3:1(?:?:073?k44n3;o76a>4283>!4383;><6`=3g82a>=h9:21<7*=418215=i::l1=k54i5694?"5<90??6`=3g83?>o3:3:1(?:?:518j75a2810e9?50;&105<3;2d9?k4=;:k74?6=,;>;69=4n31e>6=h5;o0?76g;c;29 7272=90b?=i:498m1d=83.98=4;3:l17c<132c?m7>5$363>15o303:1(?:?:518j75a2010e9950;&105<3;2d9?k4n;:k72?6=,;>;69=4n31e>g=h5;o0h76gj7>5$363>36o2l3:1(?:?:728j75a2:10e;o50;&105<182d9?k4;;:k5=?6=,;>;6;>4n31e>0=h5;o0=76g97;29 7272?:0b?=i:698m30=83.98=490:l17c5$363>36o1;3:1(?:?:728j75a2k10e;<50;&105<182d9?k4l;:k6g?6=,;>;6;>4n31e>a=5<#:=:1==k4n31e>4=5<#:=:1==k4n31e>6=5<#:=:1==k4n31e>0=5<#:=:1==k4n31e>2=6=4+252955c5<#:=:1==k4n31e><=5<#:=:1==k4n31e>g==nnl0;6)<;0;33a>h5;o0n76gid;29 72728:n7c<9>511g8j75a28:07dhm:18'616=99o0b?=i:038?l`f290/>9>511g8j75a28807dh6:18'616=99o0b?=i:018?l`?290/>9>511g8j75a28>07dh8:18'616=99o0b?=i:078?l76>3:1(?:?:02f?k44n3;=76g>1483>!4383;;i6`=3g823>=n98>1<7*=41824`=i::l1=554i030>5<#:=:1==k4n31e>4?<3`;:>7>5$363>46b3g88j7?n;:k254<72-8?<7??e:l17c<6j21b=<>50;&105<68l1e>>h51b98m46d290/>9>511g8j75a28n07d??1;29 72728:n7c<oa>3:1(?:?:02f?k44n3;m76a>a383>!4383;j=6`=3g83?>i6i90;6)<;0;3b5>h5;o0:76a>9g83>!4383;j=6`=3g81?>i61l0;6)<;0;3b5>h5;o0876g>3583>!4383;8?6`=3g83?>o6;;0;6)<;0;307>h5;o0:76g>3083>!4383;8?6`=3g81?>o6;90;6)<;0;307>h5;o0876a>a`83>!4383;j56`=3g83?>i6i10;6)<;0;3b=>h5;o0:76a>a683>!4383;j56`=3g81?>i6i?0;6)<;0;3b=>h5;o0876a>8583>!4383;3?6`=3g83?>i60;0;6)<;0;3;7>h5;o0:76a>8183>!4383;3?6`=3g81?>i6?o0;6)<;0;3;7>h5;o0876a>7d83>!4383;3?6`=3g87?>i6?m0;6)<;0;3;7>h5;o0>76a>7b83>!4383;3?6`=3g85?>i6?k0;6)<;0;3;7>h5;o0<76a>7`83>!4383;3?6`=3g8;?>i6?00;6)<;0;3;7>h5;o0276a>7983>!4383;3?6`=3g8b?>i6?>0;6)<;0;3;7>h5;o0i76a>7483>!4383;3?6`=3g8`?>i6?=0;6)<;0;3;7>h5;o0o76a>7283>!4383;3?6`=3g8f?>i6?;0;6)<;0;3;7>h5;o0m76a>7083>!4383;3?6`=3g824>=h9>:1<7*=4182<6=i::l1=<54o04e>5<#:=:1=5=4n31e>44<3f;=i7>5$363>4>43g88j7?<;:m22a<72-8?<7?73:l17c<6<21d=;m50;&105<60:1e>>h51498k4>e290/>9>51918j75a28<07b?7a;29 72728287c<i6000;6)<;0;3;7>h5;o0:465`19:94?"5<90:4>5a22d95<=;6<6<;o00b?7e32e:484?:%074?7?;2d9?k4>c:9l5=7=83.98=4>829m66`=9m10c<99:18'616=9190b?=i:0g8?j71j3:1(?:?:0:0?k44n3;m76gk8c83>!4383n3m6`=3g83?>oc000;6)<;0;f;e>h5;o0:76gk8983>!4383n3m6`=3g81?>oc0>0;6)<;0;f;e>h5;o0876gkd;29 7272mi0b?=i:198mad=83.98=4kc:l17c<632co57>5$363>aeoc?3:1(?:?:ea8j75a2=10ei850;&105;6im4n31e>3=1<7*=418gg>h5;o0<76gk3;29 7272mi0b?=i:998ma4=83.98=4kc:l17c<>32co=7>5$363>aeodm3:1(?:?:ea8j75a2j10enj50;&105;6im4n31e>`=h5;o0m76gla;29 7272mi0b?=i:028?le>290/>9>5db9m66`=9810en650;&1052:9jg2<72-8?<7jl;o00b?7432ch:7>5$363>ae5<#:=:1hn5a22d950=1<7*=418gg>h5;o0::65fe283>!4383nh7c<ob:3:1(?:?:ea8j75a28207dk>:18'616=lj1e>>h51898m`6=83.98=4kc:l17c<6i21bhk4?:%074?bd3g88j7?m;:kga?6=,;>;6im4n31e>4e<3`nj6=4+2529`f=i::l1=i54ibd94?"5<90oo6`=3g82a>=nk=0;6)<;0;f`?k44n3;m76sm4c394?dd290;w)Nc1=1Ch:l4Z6c9g~7128=1>o4=f;0`>66=:m09:7<::3596`<5<3w/>>l5ddg8jf7=9;1eo?4>2:l22d<73g;2h7>4$0`5>4de3-;i;7?mb:&2`=<13-;o5784$0fb>3=#9mh1:6*>db85?!7cl3<0(2.:i<49;%3f6?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi1:6*>fe85?!7am3<0(2.9<<49;%036?0<,;:86;5+21692>"58<0=7)=656:&14<<13-8;m784$32a>3=#:9i1:6*=0e85?!47m3<0(?>i:79'646=>2.9=<49;%026?0<,;;86;5+20692>"59<0=7)<>6;48 7702?1/><656:&15<<13-8:m784$33a>3=#:8i1:6*=1e85?!46m3<0(??i:79'676=>2.9><4:;%016?3<,;886?=6;%065?41:2.99?48d:&116<0l2.99:4k7d9'60>=:n4?:%074?75j2d9?k4?;:k26d<72-8?<7?=b:l17c<632c:>44?:%074?75j2d9?k4=;:k26=<72-8?<7?=b:l17c<432co4>4?:%074?b?:2d9?k4?;:kg<4<72-8?<7j72:l17c<632co4=4?:%074?b?:2d9?k4=;:kg3c<72-8?<7j72:l17c<432e:9<4?:%074?7282d9?k4?;:m20c<72-8?<7?:0:l17c<632e:8i4?:%074?7282d9?k4=;:m20f<72-8?<7?:0:l17c<432e:8o4?:%074?7282d9?k4;;:m20d<72-8?<7?:0:l17c<232e:844?:%074?7282d9?k49;:m20=<72-8?<7?:0:l17c<032e:8:4?:%074?7282d9?k47;:m203<72-8?<7?:0:l17c<>32e:884?:%074?7282d9?k4n;:m201<72-8?<7?:0:l17c0:9l56b=83.98=4>519m66`=9810c<=l:18'616=9<:0b?=i:008?j74j3:1(?:?:073?k44n3;876a>3`83>!4383;><6`=3g820>=h9:31<7*=418215=i::l1=854o07;>5<#:=:1=8>4n31e>40<3f;>;7>5$363>4373g88j7?8;:m213<72-8?<7?:0:l17c<6021d=8;50;&105<6=91e>>h51898k433290/>9>51428j75a28k07b?:3;29 72728?;7c<i6=;0;6)<;0;364>h5;o0:o65`15g94?"5<90:9=5a22d95a=86=4+2529506;6<;?;o00b?7a32c?87>5$363>15o393:1(?:?:518j75a2;10e9>50;&105<3;2d9?k4<;:k7`?6=,;>;69=4n31e>1=h5;o0>76g;b;29 7272=90b?=i:798m1g=83.98=4;3:l17c<032c?57>5$363>15o3?3:1(?:?:518j75a2h10e9850;&105<3;2d9?k4m;:k71?6=,;>;69=4n31e>f=h5;o0o76g91;29 7272?:0b?=i:198m0`=83.98=490:l17c<632c>i7>5$363>36o1i3:1(?:?:728j75a2=10e;750;&105<182d9?k4:;:k5;6;>4n31e>3=h5;o0<76g96;29 7272?:0b?=i:998m33=83.98=490:l17c<>32c=87>5$363>36o1:3:1(?:?:728j75a2j10e8m50;&105<182d9?k4k;:k24c<72-8?<7??e:l17c<732c:;:k24g<72-8?<7??e:l17c<532c:5$363>46b3g88j7j4;hdf>5<#:=:1==k4n31e>`=!4383;;i6`=3g824>=nnk0;6)<;0;33a>h5;o0:=65ff`83>!4383;;i6`=3g826>=nn00;6)<;0;33a>h5;o0:?65ff983>!4383;;i6`=3g820>=nn>0;6)<;0;33a>h5;o0:965f10494?"5<90:6=4+252955c;6<>j;o00b?7?32c:=>4?:%074?77m2d9?k4>9:9j544=83.98=4>0d9m66`=9h10e:18'616=99o0b?=i:0`8?l7683:1(?:?:02f?k44n3;h76g>0b83>!4383;;i6`=3g82`>=n99;1<7*=41824`=i::l1=h54ig494?"5<90:5<#:=:1=l?4n31e>4=5<#:=:1=l?4n31e>6=5<#:=:1=>=4n31e>4=5<#:=:1=>=4n31e>6=5<#:=:1=l74n31e>4=5<#:=:1=l74n31e>6=5<#:=:1=5=4n31e>4=5<#:=:1=5=4n31e>6=5<#:=:1=5=4n31e>0=5<#:=:1=5=4n31e>2=5<#:=:1=5=4n31e><=5<#:=:1=5=4n31e>g=6=4+25295=55<#:=:1=5=4n31e>a=5<#:=:1=5=4n31e>c=4;n344?6=,;>;6<6<;o00b?7632e::k4?:%074?7?;2d9?k4>2:9l53c=83.98=4>829m66`=9:10c<8k:18'616=9190b?=i:068?j71k3:1(?:?:0:0?k44n3;>76a>8c83>!4383;3?6`=3g822>=h91k1<7*=4182<6=i::l1=:54o0::>5<#:=:1=5=4n31e>4><3f;347>5$363>4>43g88j7?6;:m2<2<72-8?<7?73:l17c<6i21d=5850;&105<60:1e>>h51c98k4>2290/>9>51918j75a28i07b?71;29 72728287c<i6??0;6)<;0;3;7>h5;o0:i65`17`94?"5<90:4>5a22d95c=5<#:=:1h5o4n31e>4=5<#:=:1h5o4n31e>6=h5;o0;76gkb;29 7272mi0b?=i:098ma?=83.98=4kc:l17c<532co47>5$363>ae54ie594?"5<90oo6`=3g87?>oc>3:1(?:?:ea8j75a2<10ei;50;&105;6im4n31e>2=h5;o0376gk2;29 7272mi0b?=i:898ma7=83.98=4kc:l17c5$363>aeodl3:1(?:?:ea8j75a2m10enm50;&105;6im4n31e>c=h5;o0:<65fc883>!4383nh7c<od03:1(?:?:ea8j75a28807dm8:18'616=lj1e>>h51298mf0=83.98=4kc:l17c<6<21bo84?:%074?bd3g88j7?:;:kf0?6=,;>;6im4n31e>40<3`o86=4+2529`f=i::l1=:54id094?"5<90oo6`=3g82<>=nm80;6)<;0;f`?k44n3;276gj0;29 7272mi0b?=i:0c8?lba290/>9>5db9m66`=9k10eik50;&105c:9j`d<72-8?<7jl;o00b?7c32chj7>5$363>ae5<#:=:1hn5a22d95c=i87>5bb83>5}#:l?1>964He;7?Mb0j2Pn4<0;0g>70=:<09;7bc9'5g1=9kh0(2.:hl49;%3gf?0<,8nh6;5+1ef92>"6ll0=7)?kf;48 4c62?1/=h<56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(2.:jo49;%3eg?0<,8lo6;5+1gg92>"6no0=7)=<56:&146<13-8;8784$326>3=#:9<1:6*=0685?!4703<0(?>6:79'65g=>2.9"58o0=7)<>0;48 7762?1/><<56:&156<13-8:8784$336>3=#:8<1:6*=1685?!4603<0(??6:79'64g=>2.9=o49;%02g?0<,;;o6;5+20g92>"59o0=7)<=0;48 7462<1/>?<55:&166<5;01/>8?52708 7352>n0(?;<:6f8 7302m=n7)<:8;06e>"5=o0>7)<90;78 a?72m2m7)j61;f;b>o5c2900e?:l:188m72b2900e9>513`8j75a2910e<290/>9>513`8j75a2;10e<<7:18'616=9;h0b?=i:298ma>4290/>9>5d908j75a2910ei6>:18'616=l180b?=i:098ma>7290/>9>5d908j75a2;10ei9i:18'616=l180b?=i:298k436290/>9>51428j75a2910c<:i:18'616=9<:0b?=i:098k42c290/>9>51428j75a2;10c<:l:18'616=9<:0b?=i:298k42e290/>9>51428j75a2=10c<:n:18'616=9<:0b?=i:498k42>290/>9>51428j75a2?10c<:7:18'616=9<:0b?=i:698k420290/>9>51428j75a2110c<:9:18'616=9<:0b?=i:898k422290/>9>51428j75a2h10c<:;:18'616=9<:0b?=i:c98k425290/>9>51428j75a2j10c<:>:18'616=9<:0b?=i:e98k427290/>9>51428j75a2l10c<=i:18'616=9<:0b?=i:g98k45b290/>9>51428j75a28:07b?i6;j0;6)<;0;364>h5;o0:>65`12`94?"5<90:9=5a22d956=;6<;?;o00b?7232e:954?:%074?7282d9?k4>6:9l501=83.98=4>519m66`=9>10c<;9:18'616=9<:0b?=i:0:8?j72=3:1(?:?:073?k44n3;276a>5583>!4383;><6`=3g82e>=h9<91<7*=418215=i::l1=o54o071>5<#:=:1=8>4n31e>4e<3f;?i7>5$363>4373g88j7?k;:m206<72-8?<7?:0:l17c<6m21d=>650;&105<6=91e>>h51g98m12=83.98=4;3:l17c<732c?>7>5$363>15o383:1(?:?:518j75a2:10e9j50;&105<3;2d9?k4;;:k7g?6=,;>;69=4n31e>0=h5;o0=76g;a;29 7272=90b?=i:698m1?=83.98=4;3:l17c5$363>15o3>3:1(?:?:518j75a2k10e9;50;&105<3;2d9?k4l;:k0b?6=,;>;69=4n31e>a=h5;o0;76g:f;29 7272?:0b?=i:098m0c=83.98=490:l17c<532c>h7>5$363>3654i7c94?"5<90=<6`=3g87?>o113:1(?:?:728j75a2<10e;650;&105<182d9?k49;:k53?6=,;>;6;>4n31e>2=h5;o0376g95;29 7272?:0b?=i:898m32=83.98=490:l17c5$363>36o2k3:1(?:?:728j75a2m10e<>i:18'616=99o0b?=i:198m46c290/>9>511g8j75a2810e<>m:18'616=99o0b?=i:398m46f290/>9>511g8j75a2:10e<>6:18'616=99o0b?=i:598m46?290/>9>511g8j75a2<10e<>8:18'616=99o0b?=i:798m461290/>9>511g8j75a2>10e<>::18'616=99o0b?=i:998m463290/>9>511g8j75a2010e<><:18'616=99o0b?=i:`98m465290/>9>511g8j75a2k10e<>?:18'616=99o0b?=i:b98mc`=83.98=4>0d9m66`=l21bjh4?:%074?77m2d9?k4j;:ke`?6=,;>;6<>j;o00b?`<3`lh6=4+252955c4;hda>5<#:=:1==k4n31e>47<3`lj6=4+252955c5<#:=:1==k4n31e>45<3`l36=4+252955c5<#:=:1==k4n31e>43<3`;::7>5$363>46b3g88j7?9;:k250<72-8?<7??e:l17c<6?21b=<:50;&105<68l1e>>h51998m474290/>9>511g8j75a28307d?>2;29 72728:n7c<o6980;6)<;0;33a>h5;o0:n65f10294?"5<90:;6<>j;o00b?7b32cm:7>5$363>46b3g88j7?i;:m2e7<72-8?<7?n1:l17c<732e:m=4?:%074?7f92d9?k4>;:m2=c<72-8?<7?n1:l17c<532e:5h4?:%074?7f92d9?k4<;:k271<72-8?<7?<3:l17c<732c:??4?:%074?74;2d9?k4>;:k274<72-8?<7?<3:l17c<532c:?=4?:%074?74;2d9?k4<;:m2ed<72-8?<7?n9:l17c<732e:m54?:%074?7f12d9?k4>;:m2e2<72-8?<7?n9:l17c<532e:m;4?:%074?7f12d9?k4<;:m2<1<72-8?<7?73:l17c<732e:4?4?:%074?7?;2d9?k4>;:m2<5<72-8?<7?73:l17c<532e:;k4?:%074?7?;2d9?k4<;:m23`<72-8?<7?73:l17c<332e:;i4?:%074?7?;2d9?k4:;:m23f<72-8?<7?73:l17c<132e:;o4?:%074?7?;2d9?k48;:m23d<72-8?<7?73:l17c50;&105<60:1e>>h51098k40a290/>9>51918j75a28807b?9e;29 72728287c<i6>m0;6)<;0;3;7>h5;o0:865`17a94?"5<90:4>5a22d950=;6<6<;o00b?7032e:444?:%074?7?;2d9?k4>8:9l5=>=83.98=4>829m66`=9010c<68:18'616=9190b?=i:0c8?j7?>3:1(?:?:0:0?k44n3;i76a>8483>!4383;3?6`=3g82g>=h91;1<7*=4182<6=i::l1=i54o055>5<#:=:1=5=4n31e>4c<3f;=n7>5$363>4>43g88j7?i;:kg;:kg<=<72-8?<7j7a:l17c<532co4:4?:%074?b?i2d9?k4<;:kg`?6=,;>;6im4n31e>5=h5;o0:76gk9;29 7272mi0b?=i:398ma>=83.98=4kc:l17c<432co;7>5$363>aeoc=3:1(?:?:ea8j75a2?10ei:50;&105;6im4n31e>==h5;o0276gk1;29 7272mi0b?=i:`98ma6=83.98=4kc:l17c5$363>aeodk3:1(?:?:ea8j75a2l10enl50;&105;6im4n31e>46<3`i26=4+2529`f=i::l1=<54ib:94?"5<90oo6`=3g826>=nk>0;6)<;0;f`?k44n3;876gl6;29 7272mi0b?=i:068?le2290/>9>5db9m66`=9<10eh:50;&1056:9ja6<72-8?<7jl;o00b?7032cn>7>5$363>ae5<#:=:1hn5a22d95<=h5;o0:m65fdg83>!4383nh7c<ocm3:1(?:?:ea8j75a28i07djn:18'616=lj1e>>h51e98mf`=83.98=4kc:l17c<6m21bo94?:%074?bd3g88j7?i;:a0g1=83hh6=4?{%0f1?4302Bo595Gd6`8^2g=kr;=6<952c81b?4d2::1>i4=6;06>71=:l0987s+22`9``ch6>h0;7c?6d;28 4d128hi7)?m7;3af>"6l10=7)?k9;48 4bf2?1/=il56:&2`f<13-;oh784$0ff>3=#9ml1:6*>e085?!7b:3<0(2.:i849;%3f2?0<,8o<6;5+1d:92>"6m00=7)?ja;48 4ce2?1/=hm56:&2aa<13-;ni784$0ge>3=#9o:1:6*>f085?!7a:3<0(2.:j849;%3e2?0<,8l<6;5+1g:92>"6n00=7)?ia;48 4`e2?1/=km56:&2ba<13-;mi784$0de>3=#:9:1:6*=0085?!47:3<0(?><:79'652=>2.9<849;%032?0<,;:<6;5+21:92>"5800=7)=m56:&14a<13-8;i784$32e>3=#:8:1:6*=1085?!46:3<0(??<:79'642=>2.9=849;%022?0<,;;<6;5+20:92>"5900=7)<>a;48 77e2?1/>3=#:;:1:6*=2086?!45:3?0(?<<:31:?!42938=>6*=5384`>"5=:08o4$37e>0=#:?:196*k918g5<>o5>o6j;0;66g>2b83>!4383;9n6`=3g83?>o6:h0;6)<;0;31f>h5;o0:76g>2883>!4383;9n6`=3g81?>o6:10;6)<;0;31f>h5;o0876gk8283>!4383n3>6`=3g83?>oc080;6)<;0;f;6>h5;o0:76gk8183>!4383n3>6`=3g81?>oc?o0;6)<;0;f;6>h5;o0876a>5083>!4383;><6`=3g83?>i6h5;o0:76a>4e83>!4383;><6`=3g81?>i6h5;o0876a>4c83>!4383;><6`=3g87?>i6h5;o0>76a>4883>!4383;><6`=3g85?>i6<10;6)<;0;364>h5;o0<76a>4683>!4383;><6`=3g8;?>i6h5;o0276a>4483>!4383;><6`=3g8b?>i6<=0;6)<;0;364>h5;o0i76a>4383>!4383;><6`=3g8`?>i6<80;6)<;0;364>h5;o0o76a>4183>!4383;><6`=3g8f?>i6;o0;6)<;0;364>h5;o0m76a>3d83>!4383;><6`=3g824>=h9:n1<7*=418215=i::l1=<54o01`>5<#:=:1=8>4n31e>44<3f;8n7>5$363>4373g88j7?<;:m27d<72-8?<7?:0:l17c<6<21d=>750;&105<6=91e>>h51498k43?290/>9>51428j75a28<07b?:7;29 72728?;7c<i6=?0;6)<;0;364>h5;o0:465`14794?"5<90:9=5a22d95<=;6<;?;o00b?7e32e:9?4?:%074?7282d9?k4>c:9l51c=83.98=4>519m66`=9m10c<:<:18'616=9<:0b?=i:0g8?j7403:1(?:?:073?k44n3;m76g;4;29 7272=90b?=i:198m14=83.98=4;3:l17c<632c?=7>5$363>15o3l3:1(?:?:518j75a2=10e9m50;&105<3;2d9?k4:;:k7f?6=,;>;69=4n31e>3=h5;o0<76g;9;29 7272=90b?=i:998m1>=83.98=4;3:l17c<>32c?;7>5$363>15o3=3:1(?:?:518j75a2j10e>h50;&105<3;2d9?k4k;:k55?6=,;>;6;>4n31e>5=h5;o0:76g:e;29 7272?:0b?=i:398m0b=83.98=490:l17c<432c=m7>5$363>36o103:1(?:?:728j75a2?10e;950;&105<182d9?k48;:k52?6=,;>;6;>4n31e>==h5;o0276g94;29 7272?:0b?=i:`98m35=83.98=490:l17c7>5$363>36o68o0;6)<;0;33a>h5;o0;76g>0e83>!4383;;i6`=3g82?>o68k0;6)<;0;33a>h5;o0976g>0`83>!4383;;i6`=3g80?>o6800;6)<;0;33a>h5;o0?76g>0983>!4383;;i6`=3g86?>o68>0;6)<;0;33a>h5;o0=76g>0783>!4383;;i6`=3g84?>o68<0;6)<;0;33a>h5;o0376g>0583>!4383;;i6`=3g8:?>o68:0;6)<;0;33a>h5;o0j76g>0383>!4383;;i6`=3g8a?>o6890;6)<;0;33a>h5;o0h76gif;29 72728:n7c<9>511g8j75a2l10ekj50;&105<68l1e>>h5f:9jbf<72-8?<7??e:l17c<6821bjo4?:%074?77m2d9?k4>1:9jbd<72-8?<7??e:l17c<6:21bj44?:%074?77m2d9?k4>3:9jb=<72-8?<7??e:l17c<6<21bj:4?:%074?77m2d9?k4>5:9j540=83.98=4>0d9m66`=9?10e1283>!4383;;i6`=3g82=>=n9881<7*=41824`=i::l1=l54i032>5<#:=:1==k4n31e>4d<3`;:<7>5$363>46b3g88j7?l;:k24f<72-8?<7??e:l17c<6l21b==?50;&105<68l1e>>h51d98mc0=83.98=4>0d9m66`=9o10c9>51`38j75a2810c<7i:18'616=9h;0b?=i:398k4?b290/>9>51`38j75a2:10e<=;:18'616=9:90b?=i:198m455290/>9>51218j75a2810e<=>:18'616=9:90b?=i:398m457290/>9>51218j75a2:10c9>51`;8j75a2810c9>51`;8j75a2:10c<6;:18'616=9190b?=i:198k4>5290/>9>51918j75a2810c<6?:18'616=9190b?=i:398k41a290/>9>51918j75a2:10c<9j:18'616=9190b?=i:598k41c290/>9>51918j75a2<10c<9l:18'616=9190b?=i:798k41e290/>9>51918j75a2>10c<9n:18'616=9190b?=i:998k41>290/>9>51918j75a2010c<97:18'616=9190b?=i:`98k410290/>9>51918j75a2k10c<9::18'616=9190b?=i:b98k413290/>9>51918j75a2m10c<9<:18'616=9190b?=i:d98k415290/>9>51918j75a2o10c<9>:18'616=9190b?=i:028?j7083:1(?:?:0:0?k44n3;:76a>6g83>!4383;3?6`=3g826>=h9?o1<7*=4182<6=i::l1=>54o04g>5<#:=:1=5=4n31e>42<3f;=o7>5$363>4>43g88j7?:;:m221d=5o50;&105<60:1e>>h51698k4>>290/>9>51918j75a28207b?78;29 72728287c<i60>0;6)<;0;3;7>h5;o0:m65`19494?"5<90:4>5a22d95g=6=4+25295=5;6<6<;o00b?7c32e:;;4?:%074?7?;2d9?k4>e:9l53d=83.98=4>829m66`=9o10ei6m:18'616=l1k0b?=i:198ma>>290/>9>5d9c8j75a2810ei67:18'616=l1k0b?=i:398ma>0290/>9>5d9c8j75a2:10eij50;&105;6im4n31e>4=h5;o0976gk8;29 7272mi0b?=i:298ma1=83.98=4kc:l17c<332co:7>5$363>aeoc<3:1(?:?:ea8j75a2>10ei=50;&105;6im4n31e><=h5;o0j76gk0;29 7272mi0b?=i:c98mfc=83.98=4kc:l17c5$363>aeodj3:1(?:?:ea8j75a2o10eno50;&1050:9jg<<72-8?<7jl;o00b?7632ch47>5$363>ae5<#:=:1hn5a22d956=h5;o0:865fc483>!4383nh7c<ob<3:1(?:?:ea8j75a28<07dk<:18'616=lj1e>>h51698m`4=83.98=4kc:l17c<6021bi<4?:%074?bd3g88j7?6;:kf4?6=,;>;6im4n31e>4g<3`nm6=4+2529`f=i::l1=o54ieg94?"5<90oo6`=3g82g>=nlh0;6)<;0;f`?k44n3;o76glf;29 7272mi0b?=i:0g8?le3290/>9>5db9m66`=9o10qo:ma;29ff<729q/>h;525:8La?33An84=7;0f>72=u-88n7jje:l`5?753gi96<<4n04b>5=i90n1<6*>b782fg=#9k=1=ol4$0f;>3=#9m31:6*>d`85?!7cj3<0(2.:hh49;%3gb?0<,8o:6;5+1d092>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3<0(2.:jk49;%034?0<,;::6;5+21092>"58:0=7)=856:&142<13-8;4784$32:>3=#:9k1:6*=0c85?!47k3<0(?>k:79'65c=>2.9"59:0=7)<>4;48 7722?1/><856:&152<13-8:4784$33:>3=#:8k1:6*=1c85?!46k3<0(??k:79'64c=>2.9=k49;%014?0<,;8:685+23091>"5::09?45+2439634<,;?96:j4$370>2b<,;?<6i9j;%065;h07b?6=3`2o6=44i36`>5<n6=44i0`1>5<5<#:=:1=?l4n31e>4=5<#:=:1=?l4n31e>6=5<#:=:1h5<4n31e>4=5<#:=:1h5<4n31e>6=5<#:=:1=8>4n31e>4=o6=4+25295065<#:=:1=8>4n31e>6=i6=4+25295065<#:=:1=8>4n31e>0=26=4+25295065<#:=:1=8>4n31e>2=<6=4+25295065<#:=:1=8>4n31e><=>6=4+25295065<#:=:1=8>4n31e>g=96=4+25295065<#:=:1=8>4n31e>a=;6=4+25295065<#:=:1=8>4n31e>c=4;n30`?6=,;>;6<;?;o00b?7632e:?n4?:%074?7282d9?k4>2:9l56d=83.98=4>519m66`=9:10c<=n:18'616=9<:0b?=i:068?j7413:1(?:?:073?k44n3;>76a>5983>!4383;><6`=3g822>=h9<=1<7*=418215=i::l1=:54o075>5<#:=:1=8>4n31e>4><3f;>97>5$363>4373g88j7?6;:m211<72-8?<7?:0:l17c<6i21d=8=50;&105<6=91e>>h51c98k435290/>9>51428j75a28i07b?;e;29 72728?;7c<i6<:0;6)<;0;364>h5;o0:i65`12:94?"5<90:9=5a22d95c=1<7*=41877>h5;o0;76g;2;29 7272=90b?=i:098m17=83.98=4;3:l17c<532c?<7>5$363>1554i5f94?"5<90??6`=3g87?>o3k3:1(?:?:518j75a2<10e9l50;&105<3;2d9?k49;:k7e?6=,;>;69=4n31e>2=h5;o0376g;8;29 7272=90b?=i:898m11=83.98=4;3:l17c5$363>15o4n3:1(?:?:518j75a2m10e;?50;&105<182d9?k4?;:k6b?6=,;>;6;>4n31e>4=h5;o0976g:d;29 7272?:0b?=i:298m3g=83.98=490:l17c<332c=57>5$363>36o1?3:1(?:?:728j75a2>10e;850;&105<182d9?k47;:k51?6=,;>;6;>4n31e><=1<7*=41854>h5;o0j76g93;29 7272?:0b?=i:c98m34=83.98=490:l17co7>5$363>365<#:=:1==k4n31e>5=5<#:=:1==k4n31e>7=54i02:>5<#:=:1==k4n31e>1=5<#:=:1==k4n31e>3=5<#:=:1==k4n31e>==5<#:=:1==k4n31e>d=5<#:=:1==k4n31e>f=!4383;;i6`=3g8f?>oal3:1(?:?:02f?k44n3l07dhl:18'616=99o0b?=i:028?l`e290/>9>511g8j75a28;07dhn:18'616=99o0b?=i:008?l`>290/>9>511g8j75a28907dh7:18'616=99o0b?=i:068?l`0290/>9>511g8j75a28?07d?>6;29 72728:n7c<o69<0;6)<;0;33a>h5;o0:;65f10694?"5<90:;6<>j;o00b?7f32c:=<4?:%074?77m2d9?k4>b:9j546=83.98=4>0d9m66`=9j10e<>l:18'616=99o0b?=i:0f8?l7793:1(?:?:02f?k44n3;n76gi6;29 72728:n7c<i6i;0;6)<;0;3b5>h5;o0;76a>a183>!4383;j=6`=3g82?>i61o0;6)<;0;3b5>h5;o0976a>9d83>!4383;j=6`=3g80?>o6;=0;6)<;0;307>h5;o0;76g>3383>!4383;8?6`=3g82?>o6;80;6)<;0;307>h5;o0976g>3183>!4383;8?6`=3g80?>i6ih0;6)<;0;3b=>h5;o0;76a>a983>!4383;j56`=3g82?>i6i>0;6)<;0;3b=>h5;o0976a>a783>!4383;j56`=3g80?>i60=0;6)<;0;3;7>h5;o0;76a>8383>!4383;3?6`=3g82?>i6090;6)<;0;3;7>h5;o0976a>7g83>!4383;3?6`=3g80?>i6?l0;6)<;0;3;7>h5;o0?76a>7e83>!4383;3?6`=3g86?>i6?j0;6)<;0;3;7>h5;o0=76a>7c83>!4383;3?6`=3g84?>i6?h0;6)<;0;3;7>h5;o0376a>7883>!4383;3?6`=3g8:?>i6?10;6)<;0;3;7>h5;o0j76a>7683>!4383;3?6`=3g8a?>i6?<0;6)<;0;3;7>h5;o0h76a>7583>!4383;3?6`=3g8g?>i6?:0;6)<;0;3;7>h5;o0n76a>7383>!4383;3?6`=3g8e?>i6?80;6)<;0;3;7>h5;o0:<65`16294?"5<90:4>5a22d954=;6<6<;o00b?7432e::i4?:%074?7?;2d9?k4>4:9l53e=83.98=4>829m66`=9<10c<6m:18'616=9190b?=i:048?j7?i3:1(?:?:0:0?k44n3;<76a>8883>!4383;3?6`=3g82<>=h9121<7*=4182<6=i::l1=454o0:4>5<#:=:1=5=4n31e>4g<3f;3:7>5$363>4>43g88j7?m;:m2<0<72-8?<7?73:l17c<6k21d=5?50;&105<60:1e>>h51e98k411290/>9>51918j75a28o07b?9b;29 72728287c<oc0k0;6)<;0;f;e>h5;o0;76gk8883>!4383n3m6`=3g82?>oc010;6)<;0;f;e>h5;o0976gk8683>!4383n3m6`=3g80?>ocl3:1(?:?:ea8j75a2910eil50;&105;:kg=?6=,;>;6im4n31e>7=h5;o0876gk7;29 7272mi0b?=i:598ma0=83.98=4kc:l17c<232co97>5$363>aeoc;3:1(?:?:ea8j75a2110ei<50;&105;6im4n31e>d=h5;o0i76gle;29 7272mi0b?=i:b98mfb=83.98=4kc:l17c5$363>aeodi3:1(?:?:ea8j75a28:07dm6:18'616=lj1e>>h51098mf>=83.98=4kc:l17c<6:21bo:4?:%074?bd3g88j7?<;:k`2?6=,;>;6im4n31e>42<3`i>6=4+2529`f=i::l1=854id694?"5<90oo6`=3g822>=nm:0;6)<;0;f`?k44n3;<76gj2;29 7272mi0b?=i:0:8?lc6290/>9>5db9m66`=9010eh>50;&105a:9j`c<72-8?<7jl;o00b?7e32coi7>5$363>ae5<#:=:1hn5a22d95a=h5;o0:i65fc583>!4383nh7c<{ek1ov?9:0596g<5n38h6>>52e812?422;=1>h4=4;'66d=llo0bn?5139mg7<6:2d::l4?;o3:`?6<,8h=6"6lj0=7)?kd;48 4bb2?1/=ih56:&2a4<13-;n>784$0g0>3=#9l>1:6*>e485?!7b>3<0(=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(=>2.:j449;%3ee?0<,8li6;5+1ga92>"6nm0=7)?ie;48 4`a2?1/>=>56:&144<13-8;>784$320>3=#:9>1:6*=0485?!47>3<0(?>8:79'65>=>2.9<449;%03e?0<,;:i6;5+21a92>"58m0=7)<>56:&154<13-8:>784$330>3=#:8>1:6*=1485?!46>3<0(??8:79'64>=>2.9=449;%02e?0<,;;i6;5+20a92>"59m0=7)<>e;48 77a2?1/>?>56:&164<23-89>7;4$300>75>3-8>=7<92:&117<0l2.99>48d:&11286524c8 73a2<1/>;>55:&g=59h50;9jl4?:%074?75j2d9?k4>;:k26<<72-8?<7?=b:l17c<532c:>54?:%074?75j2d9?k4<;:kg<6<72-8?<7j72:l17c<732co4<4?:%074?b?:2d9?k4>;:kg<5<72-8?<7j72:l17c<532co;k4?:%074?b?:2d9?k4<;:m214<72-8?<7?:0:l17c<732e:8k4?:%074?7282d9?k4>;:m20a<72-8?<7?:0:l17c<532e:8n4?:%074?7282d9?k4<;:m20g<72-8?<7?:0:l17c<332e:8l4?:%074?7282d9?k4:;:m20<<72-8?<7?:0:l17c<132e:854?:%074?7282d9?k48;:m202<72-8?<7?:0:l17cj50;&105<6=91e>>h51098k45d290/>9>51428j75a28807b?i6;h0;6)<;0;364>h5;o0:865`12;94?"5<90:9=5a22d950=;6<;?;o00b?7032e:9;4?:%074?7282d9?k4>8:9l503=83.98=4>519m66`=9010c<;;:18'616=9<:0b?=i:0c8?j72;3:1(?:?:073?k44n3;i76a>5383>!4383;><6`=3g82g>=h9=o1<7*=418215=i::l1=i54o060>5<#:=:1=8>4n31e>4c<3f;847>5$363>4373g88j7?i;:k70?6=,;>;69=4n31e>5=h5;o0:76g;1;29 7272=90b?=i:398m16=83.98=4;3:l17c<432c?h7>5$363>15o3j3:1(?:?:518j75a2?10e9o50;&105<3;2d9?k48;:k7=?6=,;>;69=4n31e>==h5;o0276g;7;29 7272=90b?=i:`98m10=83.98=4;3:l17c5$363>15o193:1(?:?:728j75a2910e8h50;&105<182d9?k4>;:k6a?6=,;>;6;>4n31e>7=h5;o0876g9a;29 7272?:0b?=i:598m3?=83.98=490:l17c<232c=47>5$363>36o1>3:1(?:?:728j75a2110e;;50;&105<182d9?k46;:k50?6=,;>;6;>4n31e>d=h5;o0i76g92;29 7272?:0b?=i:b98m0e=83.98=490:l17c32c:<>4?:%074?77m2d9?k4n;:k247<72-8?<7??e:l17c;6<>j;o00b?b<3`ln6=4+252955c=nnj0;6)<;0;33a>h5;o0:<65ffc83>!4383;;i6`=3g825>=nnh0;6)<;0;33a>h5;o0:>65ff883>!4383;;i6`=3g827>=nn10;6)<;0;33a>h5;o0:865ff683>!4383;;i6`=3g821>=n98<1<7*=41824`=i::l1=;54i036>5<#:=:1==k4n31e>41<3`;:87>5$363>46b3g88j7?7;:k256<72-8?<7??e:l17c<6121b=<<50;&105<68l1e>>h51`98m476290/>9>511g8j75a28h07d?>0;29 72728:n7c<o68j0;6)<;0;33a>h5;o0:h65f11394?"5<90:5<#:=:1=l?4n31e>5=5<#:=:1=l?4n31e>7=54i017>5<#:=:1=>=4n31e>5=5<#:=:1=>=4n31e>7=54o0cb>5<#:=:1=l74n31e>5=5<#:=:1=l74n31e>7=54o0:7>5<#:=:1=5=4n31e>5=5<#:=:1=5=4n31e>7=54o05f>5<#:=:1=5=4n31e>1=5<#:=:1=5=4n31e>3=5<#:=:1=5=4n31e>==5<#:=:1=5=4n31e>d=5<#:=:1=5=4n31e>f=5<#:=:1=5=4n31e>`=5<#:=:1=5=4n31e>46<3f;<<7>5$363>4>43g88j7?>;:m22c<72-8?<7?73:l17c<6:21d=;k50;&105<60:1e>>h51298k40c290/>9>51918j75a28>07b?9c;29 72728287c<i60k0;6)<;0;3;7>h5;o0::65`19c94?"5<90:4>5a22d952=;6<6<;o00b?7>32e:4:4?:%074?7?;2d9?k4>a:9l5=0=83.98=4>829m66`=9k10c<6::18'616=9190b?=i:0a8?j7?93:1(?:?:0:0?k44n3;o76a>7783>!4383;3?6`=3g82a>=h9?h1<7*=4182<6=i::l1=k54ie:a>5<#:=:1h5o4n31e>5=5<#:=:1h5o4n31e>7=54ief94?"5<90oo6`=3g83?>ocj3:1(?:?:ea8j75a2810ei750;&105;6im4n31e>6=h5;o0?76gk6;29 7272mi0b?=i:498ma3=83.98=4kc:l17c<132co87>5$363>aeoc:3:1(?:?:ea8j75a2010ei?50;&105;6im4n31e>g=h5;o0h76gld;29 7272mi0b?=i:e98mfe=83.98=4kc:l17c5$363>ae=nk00;6)<;0;f`?k44n3;:76gl8;29 7272mi0b?=i:008?le0290/>9>5db9m66`=9:10en850;&1054:9jg0<72-8?<7jl;o00b?7232cn87>5$363>ae5<#:=:1hn5a22d952=h5;o0:465fe083>!4383nh7c<ob83:1(?:?:ea8j75a28k07dji:18'616=lj1e>>h51c98mac=83.98=4kc:l17c<6k21bhl4?:%074?bd3g88j7?k;:k`b?6=,;>;6im4n31e>4c<3`i?6=4+2529`f=i::l1=k54}r0;7?6=:rT94>52c8;9fc=z{;2:6=4={_0;5>;d110ij6s|29294?4|V;2;70m67;`e?xu5?o0;6?uQ26d89f?12kl0q~<8e;296~X5?l16o4;5bg9~w71c2909wS<8d:?`=1:m50;0xZ71d34i2?7li;|q13g<72;qU>:l4=b;1>g`52z\13<=:k0:1nk5rs35;>5<5sW8<463l8g8ab>{t:>=1<77}Y:><01n6k:cd8yv40=3:1>vP=749>g=e=jo1v?9;:181[40<27h4o4mf:p625=838pR?9<;;d0>0ij6s|27g94?4|V;m0;6?uQ27f89f>32kl0q~<9c;296~X5>j16o5=5bg9~w70e2909wS<9b:?`<7;o50;0xZ70f34i3=7li;|q12<<72;qU>;74=b:3>g`52z\12==:k>l1nk5rs344>5<5sW8=;63l7d8ab>{t:?<1<77}Y:??01n9l:cd8yv4b;3:1>vP=e29>gc?=jo1v?k=:181[4b:27hj54mf:p6`7=838pR?k>;;dn=0ij6s|2ef94?4|V;no70mi3;`e?xu5lj0;6?uQ2ea89f`52kl0q~i650;0xZ7b?34ini7li;|q1`2<72;qU>i94=bgg>g`52z\1`3=:kli1nk5rs3f6>5<5sW8o963lec8ab>{t:m>1<77}Y:m901nk6:cd8yv4c:3:1>vP=d39>g`>=jo1v?j>:181[4c927hi:4mf:p6a6=838pR?j?;6oh4}r0``?6=:rT9oi52cd19fc=z{;ih6=4={_0`g>;dm;0ij6s|2b`94?4|V;ii70mj1;`e?xu5kh0;6?uQ2bc89fc72kl0q~n950;0xZ7e034ioh7li;|q1g3<72;qU>n84=bf`>g`52z\1g0=:kmh1nk5rs3a7>5<5sW8h863ld`8ab>{t:j81<77}Y:j;01nj8:cd8yv4d83:1>vP=c19>ga0=jo1v?li:181[4en27hh84mf:p6gc=838pR?lj;;dl80ij6s|2cc94?4|V;hj70mk0;`e?xu5j00;6?uQ2c;89fea2kl0q~16onj5bg9~w7d12909wSo;50;0xZ7d234ihn7li;|q1f1<72;qU>o:4=bab>g`52z\1f6=:kj31nk5rs3`1>5<5sW8i>63lc98ab>{t:k;1<77}Y:k:01nm9:cd8yv4fn3:1>vP=ag9>gf3=jo1v?oj:181[4fm27ho94mf:p6de=838pR?ol;;djo0ij6s|2`:94?4|V;k370mme;`e?xu5i>0;6?uQ2`589fdc2kl0q~l:50;0xZ7g334iim7li;|q1e6<72;qU>l=4=b`:>g`52z\1e4=:kk=1nk5rs3c3>5<5sW8j<63lb78ab>{t:0l1<77}Y:0o01nl;:cd8yv4>l3:1>vP=9e9>gg5=jo1v?7l:181[4>k27hn?4mf:p6;dil0ij6s|28494?4|V;3=70mnc;`e?xu51<0;6?uQ28789fge2kl0q~<64;296~X51=16olo5bg9~w7?42909wS<63:?`e<4<50;0xZ7?534ij47li;|q1=4<72;qU>4?4=bc4>g`52z\1=5=:kh<1nk5rs3:e>5<5sW83j63la48ab>{t:1o1<77}Y:1n01no<:cd8yv4?j3:1>vP=8c9>gd7=jo1v?6n:181[4?i27hm=4mf:p6=?=838pR?66;<5j3n6oh4}r0;3?6=:rT94:52c8f9fc=z{;2=6=4={_0;2>;d1j0ij6s|29794?4|V;2>70m6b;`e?xu50=0;6?uQ29689f?f2kl0q~<8a;296~X5?h16o4?5bg9~w70a2909wS<9f:?`<3h:50;0xZ7c334imm7li;|q1`<<72;qU>i74=bge>g`52z\1g`=:kl>1nk5rs3a0>5<5sW8h?63ld88ab>{t:k21<77}Y:hn01nm<:cd8yv4f:3:1>vP=a39>gg>=jo1v?78:181[4>?27hmi4mf:p6=e=838pR?6l;5<6<8qUm95298493a=:10?1;i5298693a=:1091;i52a0:93a=:i8=1;i52a0493a=:i8?1;i52a3c93a=:i;31;i52a3:93a=:i;=1;i52a2a93a=:i:h1;i52a2c93a=:i:31;i52a5g93a=:i=n1;i52a5a93a=:i=h1;i52a7293a=:i;1;i52a6293a=:i?l1;i52a9693a=:i191;i52a9093a=:i1;1;i52a8493a=:i0?1;i52a8693a=:i091;i52a`:93a=:ih=1;i52a`493a=:ih?1;i529`:93a=:1h=1;i529`493a=:1h?1;i529cc93a=:1k31;i529c:93a=:1k=1;i529ba93a=:1jh1;i529bc93a=:1j31;i529eg93a=:1mn1;i529ea93a=:1mh1;i529g293a=:1ll1;i529dg93a=:1ln1;i52a1693a=:i991;i52a1093a=:i9;1;i5291d9614<509=6?:=;<;75?43:2728n4=439>=01=:=80148=:361?8?1l38?>636798107=:1191>9<4=8:f>725343:m7<;2:?:60<5<;165?k525089<4a2;>9707<0;076>;>;8098?529209614<50986?:=;<;00?43:272?84=439>=61=:=8014=7:361?8?4138?>6363`8107=:1:h1>9<4=81`>7253438h7<;2:?:7`<5<;165>h525089<272;>9707;2;076>;><:098?529569614<50>>6?:=;<;72?43:2728:4=439>=1>=:=8014:6:361?8?3i38?>6364c8107=:1=n1>9<4=86f>725343?j7<;2:?:15<5<;1658?525089<352;>9707:3;076>;>==098?529479614<50?=6?:=;<;6=0g=:=8014;m:361?8?2k38?>6365e8107=:19<4=87e>725343=<7<;2:?:24<5<;165;=525089<032;>970795;076>;>>?098?529759614<50<36?:=;<;5=?43:272:l4=439>=3d=:=80148l:361?8?1m38?>6366g8107=:1>:1>9<4=852>725343<>7<;2:?:36<5<;165::525089<122;>970786;076>;>?>098?5296;9614<50=j6?:=;<;4f?43:272;n4=439>=2b=:=80149j:361?8?0n38?>636818107=:11;1>9<4=8:1>725343387<;2:?:<0<5<;16558525089<>02;>970778;076>;>00098?5299c9614<502i6?:=;<;;g?43:2724i4=439>=46=:=8014?>:361?8?6:38?>636128107=:18>1>9<4=836>725343::7<;2:?:52<5<;165<6525089<7>2;>9707>b;076>;>9j098?5290f9614<50;n6?:=;<;2b?43:272>=4=439>=77=:=8014<=:361?8?5;38?>636258107=:1;<1>9<4=804>725343947<;2:?:6<<5<;165?o525089<4e2;>9707=c;076>;>:m098?5289d9614<51h=6?:=;<:`5?43:273on4=439>bl38?>637f98107=:1991>9<4=82f>7253422m7<;2:?;e0<5<;164lk525089=ga2;>9706m0;076>;?j8098?528c09614<51h86?:=;<:a0?43:273n84=439>e138?>637b`8107=:0kh1>9<4=9``>725342ih7<;2:?;f`<5<;164oh525089=e72;>9706l2;076>;?k:098?528b69614<51i>6?:=;<:`2?43:273o:4=439>=:=8015m6:361?8>di38?>637cc8107=:0jn1>9<4=9af>725342hj7<;2:?;`5<5<;164i?525089=b52;>9706k3;076>;?l=098?528e79614<51n=6?:=;<:gck38?>637de8107=:0mo1>9<4=9fe>725342n<7<;2:?;a4<5<;164h=525089=c32;>9706j5;076>;?m?098?528d59614<51o36?:=;<:f=?43:273il4=439><`d=:=8015kl:361?8>bm38?>637eg8107=:0o:1>9<4=9d2>725342m>7<;2:?;b6<5<;164k:525089=`22;>9706i6;076>;?n>098?528g;9614<51lj6?:=;<:ef?43:273jn4=439>an38?>636018107=:19;1>9<4=821>725343;87<;2:?:40<5<;165=8525089<602;>9707?8;076>;>80098?5291c9614<50:i6?:=;<;3g?43:272<<6=:=80157>:361?8>>:38?>637928107=:00>1>9<4=9;6>7253422:7<;2:?;=2<5<;16446525089=?>2;>97066b;076>;?1j098?5288f9614<513n6?:=;<::b?43:273m=4=439>f;38?>637a58107=:0h<1>9<4=9c4>725342j47<;2:?;e<<5<;164lo525089=ge2;>9706nc;076>;?im098?5rs8f94?73sW3o70:n2;3g7>;2<:098:525529611<5<9h6?:8;<70?94=469>166=:==018994=5cb>72034>j57<;7:?7e=<5<>168l95255891g12;><70:n5;073>;3i=098:524`19611;0i<0ij6389d82`6=:?l31>9<4}r;a>5<3sW3i70964;5g?81><3hm7097b;3g7>{tjj0;6>=t^ca8934c2>n01;=::6f8936c2>n01;>j:6f8936a2>n01;??:6f8937a2>n01;n01;<=:6f893632>n01;>::6f893572>n01;=>:6f890`c2>n018hj:6f893512>n01;=8:6f8934b2>n01;:8:6f8932b2>n01;:i:6f893372>n01;;>:6f893352>n01;;<:6f893332>n01;;::6f893312>n01;:7:6f8932>2>n01;:n:6f8932e2>n01;:l:6f8932c2>n01;;8:6f893`b28n8708if;3g7>;0;90;0:l0;09j0;09h0;0810;08?0{tjk0;6>uQbc9><=0=?m1645?57e9~wg?=83;jwSl6;<7e3?7c<27?5:48d:?7=1<0l27?5=48d:?7b29>0dd=9k8019oj:0`1?82e93;i>63;b582f7=:4d534>ih7?m2:pf=<72?qUn5527g693a=:?o>1nk528059fc=:08i1=i=4=6gf>4b43tyi;7>54z\a3>;?9j0:h?5281:95a4<51:i6;f8=0ij639468ab>{t110;6l6t^8:89=>121:0156>:9289:9289d1721:01l8i:9289d>321:01l6<:9289d>521:01l6>:9289d?121:01l7::9289d?321:01l7<:9289dg?21:01lo8:9289dg121:01lo::9289<:9289d6521:01l>>:9289=032>h01:h;:6`89=702>h015?7:6`89=712>h015;8:6`89=332>h015;?:6`89=2d2>h015:7:6`89=232>h015:?:6`89=5d2>h015=7:6`89=532>h018:<:6`890272>h018=l:6`8905?2>h018=;:6`890572>h018h018<;:6`890472>h01:o::6`892?32>h01;k:928936b21:01;>i:928937721:01;?i:928934721:01;<>:928934521:01;>;:928936221:01;=?:928935621:018hk:92890`b21:01;=9:928935021:01;h01;:j:6`8932a2>h01;;?:6`893362>h01;;=:6`893342>h01;;;:6`893322>h01;;9:6`8932?2>h01;:6:6`8932f2>h01;:m:6`8932d2>h01;:k:6`8933021:01:=?:928924a21:01:21:01:>7:928926021:01:>9:928926221:01978:6`891?32>h0197?:6`891>d2>h01967:6`891>32>h0196?:6`8911d2>h019on:6`891g>2>h019o7:6`891g02>h019o9:6`891g22>h019o;:6`891g42>h01:k6:6`892c?2>h019m?:9a891ge21=019oj:95891d621=019l;:95891d021=019ln:95891dc21=0q~6?:181b1}Y09164:k57c9><=b=?k1645>57c9><=?=?k1645:57c9><=4=?k1645957c9>6`1=?k16?>;57c9>71d=?k16?;?57c9>721=?k16?5j57c9>7d5=?k16?o757c9>7f`=?k16?h;57c9>6cb=?k16?<=57c9>773=?k16??957c9>77?=?k16??l57c9>77b=?k16??h57c9>767=?k16?>=57c9>761=?k16?>757c9>76d=?k16?>j57c9>76`=?k16?9?57c9>715=?k16?9;57c9>711=?k16?9757c9>71b=?k16?9h57c9>707=?k16?8=57c9>703=?k16?8957c9>70?=?k16?8l57c9>70b=?k16?8h57c9>735=?k16?;;57c9>731=?k16?;757c9>73d=?k16?;j57c9>73`=?k16?:?57c9>725=?k16?:;57c9>72?=?k16?:l57c9>72b=?k16?:h57c9>7=7=?k16?5=57c9>7=3=?k16?5957c9>7=?=?k16?5l57c9>7=`=?k16?4?57c9>7<5=?k16?4;57c9>7<1=?k16?4757c9>77<`=?k16?l?57c9>7d3=?k16?l957c9>7d?=?k16?ll57c9>7db=?k16?lh57c9>7g7=?k16?o=57c9>7g3=?k16?o957c9>7gd=?k16?oj57c9>7g`=?k16?n?57c9>7f5=?k16?n;57c9>7f1=?k16?n757c9>7fd=?k16?nj57c9>7a7=?k16?i=57c9>7a3=?k16?i957c9>7a?=?k16?il57c9>7ab=?k16?ih57c9>7`7=?k16?h=57c9>6`?=?k16>hl57c9>6`b=?k16>hh57c9>6c7=?k16>k=57c9>6c3=?k16>k957c9>6c?=?k16>kl57c9>6c`=?k16?=?57c9>755=?k16?=;57c9>751=?k16?=757c9>75d=?k16?=j57c9>75`=?k16?743=?k16?<957c9>74?=?k16?74b=?k16?777=?k16??=57c9>6`0=0916?>:5819>71g=0916?;>5819>720=0916?5m5819>7d4=0916?o65819>7fc=0916?h:5819>6ce=0916?<<5819>772=0916??85819>77>=0916??o5819>77e=0916??k5819>766=0916?><5819>760=0916?>65819>76g=0916?>m5819>76c=0916?9>5819>714=0916?9:5819>710=0916?965819>71e=0916?9k5819>706=0916?8<5819>702=0916?885819>70>=0916?8o5819>70e=0916?8k5819>734=0916?;:5819>730=0916?;65819>73g=0916?;m5819>73c=0916?:>5819>724=0916?::5819>72>=0916?:o5819>72e=0916?:k5819>7=6=0916?5<5819>7=2=0916?585819>7=>=0916?5o5819>7=c=0916?4>5819>7<4=0916?4:5819>7<0=0916?465819>775819>7d2=0916?l85819>7d>=0916?lo5819>7de=0916?lk5819>7g6=0916?o<5819>7g2=0916?o85819>7gg=0916?om5819>7gc=0916?n>5819>7f4=0916?n:5819>7f0=0916?n65819>7fg=0916?nm5819>7a6=0916?i<5819>7a2=0916?i85819>7a>=0916?io5819>7ae=0916?ik5819>7`6=0916?h<5819>6`>=0916>ho5819>6`e=0916>hk5819>6c6=0916>k<5819>6c2=0916>k85819>6c>=0916>ko5819>6cc=0916?=>5819>754=0916?=:5819>750=0916?=65819>75g=0916?=m5819>75c=0916?<>5819>742=0916?<85819>74>=0916?74e=0916?776=0916??<5819>=cd=?k16;kl57c9>3ce=?k16;h957c9>3a2=?k16;i=57c9>3a4=?k16;i?57c9>3a6=?k16;nh57c9>3fc=?k16;nj57c9>3fe=?k16;nl57c9><4`=?k1643``=?k16;k=57c9>3c4=?k16;:h57c9>3=6=?k16;5?57c9>3=4=?k16;5=57c9>3=2=?k16;5;57c9>3=0=?k16;4h57c9>3d2=?k16;l<57c9>3d5=?k16;5m57c9>3<5=?k16;5h57c9>3<6=?k16;4?57c9>3<4=?k16;;757c9>33g=?k16;;;57c9>1c?=?k169ko57c9>331=?k16;;657c9>25?=?k16:1cd=?k16:8o57c9>20>=?k16:8j57c9>20d=?k16:;>57c9>20c=?k16:;=57c9>237=?k16:;857c9>232=?k16:;757c9>231=?k16:;m57c9>23g=?k16:;h57c9>23b=?k16::<57c9>226=?k16::;57c9>225=?k16:k?57c9>2`c=?k16:ho57c9>2`0=?k16:h<57c9>2ac=?k16:io57c9>2a0=?k16:i<57c9>2fc=?k16:lj57c9>2dg=?k16:l857c9>2d4=?k16:4k57c9>22<4=?k16:5k57c9>2=g=?k16;kk57c9><56=?k164;;57c9>3`3=?k16ni?57c9>gg<1=?k16o4857c9>g<3=?k16o4:57c9>g<5=?k16o4<57c9>g<6=?k16o5h57c9>g=c=?k16o5j57c9>g=e=?k16o5l57c9>g=g=?k16o5757c9>g=>=?k16o5957c9>g=3=?k16o5:57c9>g=5=?k16o5<57c9>g=7=?k16o5>57c9>g2`=?k16o:k57c9>g2b=?k16o:m57c9>gc?=?k16ok657c9>gc1=?k16ok857c9>gc3=?k16ok:57c9>gc5=?k16ok<57c9>gc7=?k16ok>57c9>g`c=?k16ohj57c9>g`e=?k16ohl57c9>g`g=?k16oh757c9>g`>=?k16oh957c9>g`0=?k16oh;57c9>g`5=?k16oh<57c9>g`7=?k16oh>57c9>ga`=?k16oik57c9>gab=?k16oim57c9>gad=?k16oio57c9>ga>=?k16oi957c9>ga0=?k16oi;57c9>ga2=?k16oi=57c9>ga4=?k16oi?57c9>ga6=?k16onh57c9>gfb=?k16onm57c9>gfd=?k16ono57c9>gf?=?k16on657c9>gf1=?k16on857c9>gf3=?k16on:57c9>gf4=?k16on?57c9>gf6=?k16ooh57c9>ggc=?k16ooj57c9>gge=?k16ool57c9>ggg=?k16oo757c9>gg1=?k16oo857c9>gg3=?k16oo:57c9>gg5=?k16oo<57c9>gg7=?k16oo>57c9>gd`=?k16olk57c9>gde=?k16oll57c9>gdg=?k16ol757c9>gd>=?k16ol957c9>gd0=?k16ol;57c9>gd2=?k16ol=57c9>gd7=?k16ol>57c9>g<`=?k16o4k57c9>ggg<7=?k16o5857c9>gcg=?k16ohh57c9>g`2=?k16oi757c9>gfc=?k16on=57c9>gg>=?k16olj57c9>gd4=?k16o:l57c9>=5`=?k165>857c9>=17=?k1659m57c9>=01=?k165;<57c9>=3b=?k165:657c9>==5=?k1655k57c9>=4g=?k165?;57c9>=7c=?k165?h57c9>=66=?k165>?57c9>=64=?k165>=57c9>=62=?k165>;57c9>=61=?k165>657c9>=6?=?k165>o57c9>=6d=?k165>m57c9>=6b=?k165>k57c9>=6`=?k1659>57c9>=14=?k1659=57c9>=12=?k1659;57c9>=10=?k1659957c9>=1>=?k1659757c9>=1g=?k1659l57c9>=1b=?k1659k57c9>=1`=?k1658>57c9>=07=?k1658<57c9>=05=?k1658:57c9>=03=?k1658857c9>=0>=?k1658757c9>=0g=?k1658l57c9>=0e=?k1658j57c9>=0c=?k1658h57c9>=36=?k165;?57c9>=35=?k165;:57c9>=33=?k165;857c9>=31=?k165;657c9>=3?=?k165;o57c9>=3d=?k165;m57c9>=3c=?k165;h57c9>=26=?k165:?57c9>=24=?k165:=57c9>=22=?k165:;57c9>=20=?k165:957c9>=2?=?k165:o57c9>=2d=?k165:m57c9>=2b=?k165:k57c9>=2`=?k1655>57c9>==7=?k1655<57c9>==2=?k1655;57c9>==0=?k1655957c9>==>=?k1655757c9>==g=?k1655l57c9>==e=?k1655j57c9>=46=?k165=44=?k165<=57c9>=42=?k165<;57c9>=40=?k165<957c9>=4>=?k165<757c9>=4d=?k165=4b=?k165=4`=?k165?>57c9>=77=?k165?<57c9>=75=?k165?:57c9>=70=?k165?957c9>=7>=?k165?757c9>=7g=?k165?l57c9>=7e=?k165?j57c9><=`=?k164o857c9><`b=?k164k657c9>=55=?k165=k57c9><57c9>=?k164n757c9>57c9>=?k164i757c9><`6=?k164h?57c9><`5=?k164h:57c9><`3=?k164h857c9><`1=?k164h657c9><`?=?k164ho57c9><`d=?k164hm57c9><`c=?k164hh57c9>57c9>=57=?k165=<57c9>=52=?k165=;57c9>=50=?k165=957c9>=5>=?k165=757c9>=5g=?k165=l57c9>=5e=?k165=j57c9><<6=?k1644?57c9><<4=?k1644=57c9><<2=?k1644;57c9><<0=?k1644957c9><<>=?k1644757c9><<<<`=?k164l>57c9>=?k164l757c9>3c6=?k16;k?57c9><4d=?k16;lj57c9>3d7=?k16;l>57c9>3=c=?k16;5j57c9>0f6=0o168ll58e9>0dc=0m168o?58e9>0g2=0m168o958e9>0gg=0m168oj58e9~wg0=838pRo84=4d4>75f3ty9?>4?:0178b>=3;hj63=e780<>;4;=08463<4`80<>;4>908463<7780<>;40j08463;4j108463;4m=08463=fb80<>;49;08463<2580<>;4:?08463<2980<>;4:h08463<2b80<>;4:l08463<3180<>;4;;08463<3780<>;4;108463<3`80<>;4;j08463<3d80<>;4<908463<4380<>;4<=08463<4780<>;4<108463<4b80<>;4;4=;08463<5580<>;4=?08463<5980<>;4=h08463<5b80<>;4=l08463<6380<>;4>=08463<6780<>;4>108463<6`80<>;4>j08463<6d80<>;4?908463<7380<>;4?=08463<7980<>;4?h08463<7b80<>;4?l08463<8180<>;40;08463<8580<>;40?08463<8980<>;40h08463<8d80<>;41908463<9380<>;41=08463<9780<>;41108463<9`80<>;41j08463<9d80<>;4i908463;4i?08463;4ih08463;4il08463;4j;08463;4j?08463;4jj08463;4k908463;4k=08463;4k108463;4kj08463;4l;08463;4l?08463;4lh08463;4ll08463;4m;08463=e980<>;5mh08463=eb80<>;5ml08463=f180<>;5n;08463=f580<>;5n?08463=f980<>;5nh08463=fd80<>;48908463<0380<>;48=08463<0780<>;48108463<0`80<>;48j08463<0d80<>;49908463<1580<>;49?08463<1980<>;49h08463<1b80<>;49l08463<2180<>;4:;084636938`7>;>180h?636918`7>;>0o0h?63n108`7>;f9;0h?63n158`7>;f9:0h?63n228`7>;f:=0h?63n278`7>;f:<0h?63n348`7>;f;?0h?63n398`7>;f;>0h?63n468`7>;f<10h?63n4`8`7>;f<00h?63n588`7>;f=h0h?63n5b8`7>;f=k0h?63n6c8`7>;f>j0h?63n6d8`7>;f>m0h?63n7e8`7>;f?l0h?63n818`7>;f?o0h?63n8g8`7>;f190h?63n938`7>;f180h?63na08`7>;fi;0h?63na58`7>;fi:0h?636a08`7>;>i;0h?636a58`7>;>i:0h?636b28`7>;>j=0h?636b78`7>;>j<0h?636c48`7>;>k?0h?636c98`7>;>k>0h?636d68`7>;>l10h?636d`8`7>;>l00h?636e88`7>;>mh0h?636eb8`7>;>mk0h?636fe8`7>;>nl0h?63n018`7>;>no0h?636fb8`7>;?=:0h?6374g8`7>;?;?<:0h?6373g8`7>;?;k0h?637368`7>;?;:0h?63:3g8`7>;2;k0h?63:368`7>;2;:0h?63:2g8`7>;2:k0h?63:268`7>;2::0h?63:1g8`7>;0il0:h?527`g95a2<52?7m<;<6;b?e434>3n7m<;<6;3?e434>3?7m<;<64b?e434>h<7?=5:?7g5<6:=168n>5131891e7288970:l0;315>;3k90:?8524b29`=0<5=i;6i6;;<6`4?43m27?o=4=519>0dd=l19019om:e:2?82fj3n3<63;ac8g3c=:9k4=5ca>72a34>ji7j73:?7e`;3il098k524c39`=5<5=h:6i6>;<6a5?b?827?n<4k7g9>0g7=:=o019l>:36e?82e<3n3?63;b58g<4=:1h5>4=5`7>a1a34>i87<;e:?7f1<5;3j>0o;k524c5961c<5=h<6?:i;<6ae?b?;27?nl4k809>0gg=l1:019ln:e5e?82ei38?i63;b`810c=:a>634>ih7j70:?7fam7p};c`83>7}:>o4=5a7>4b43ty?h:4?:3y>0a1=::k019m;:0f1?xu3k=0;6?u24b6966g<5=i867}:>o4=5aa>4b53ty?oo4?:3y>0fd=::k019m<:0f1?xu3k:0;6?u24b1966g<5<<867}:>o4=5ae>4b53ty?on4?:3y>0f`=::k019m::0f0?xu3mj0;6?u24da966g<5=no67}:>o4=5a6>4b53ty?o84?:3y>0f3=::k0188=:0f4?xu3lh0;6?u24ec966g<5=n;67}:>o4=5a;>4b43ty?ih4?:3y>0`c=::k019k<:0f0?xu3nj0;6?u24ga966g<5=o867}:>o4=440>4b33ty?ik4?:3y>0``=::k019k;:0f0?xu3nm0;6?u24gf966g<5=o?67}:>o4=5d7>4b43ty><;4?:3y>150=::k019h;:0f1?xu3n=0;6?u24g6966g<5=n967}:>o4=5f2>4b43ty?j=4?:3y>0c6=::k019j>:0f1?xu3kl0;6?u24e3966g<5=i267}:>o4=5g6>4b53ty?i=4?:3y>0`3=::k019m6:0f1?xu3k>0;6?u24b;966g<5<<867}:=9:1>>o4=5g5>4b53ty?i<4?:3y>0`0=::k019j::0f0?xu2880;6?u2513966g<5=l367}:>o4=5f6>4b53ty?h>4?:3y>0a3=::k0188=:0f5?xu3n:0;6?u24g1966g<5=o<67}:>o4=5f5>4b43ty><>4?:3y>155=::k019h6:0f0?xu2810;6?u251:966g<5=l267}:>o4=440>4b23ty><94?:3y>152=::k019hn:0f0?xu2800;6?u251;966g<5=lj67}:=9k1>>o4=426>4b43ty>15d=::k018>::0f1?xu28<0;6?u2517966g<5=o367p};db83>7}:>o4=442>4b33ty?io4?:3y>0`d=::k0188>:0f6?xu3>00;64u24`0966g<5=3<6?:8;<6:0?43?27?5=4=469>0=e=:==01967:364?82?<38?;63;818102=:<>i1>994}r65e?6=:r7?:;4>d39>031=::k0q~:9b;296~;3>?0:h>5247:966g52z?7ag<6l<168n>51`18yv3?i3:1>v3;ec82`6=:d79>0f6=9?<0q~;78;296~;3lj0:h8524b2953352z?7`f<6l?168n>51768yv3?=3:1>v3;db82`1=:d69>0f6=9?80q~;73;296~;28=0:h8524b295377>52z?641<6l?168n>514;8yv3?93:1>v3:0582`1=:<94>d69>0gb=9:o0q~;8f;296~;2800:h8524cf956b52z?64<<6l?168oj512a8yv30l3:1>v3:0882`1=:l4}r74g?6=:r7><44>d69>0gb=9:k0q~;8a;296~;28h0:h8524cf956?52z?64d<6l?168oj514:8yv3003:1>v3:0`82`1=:d69>0gb=90o0q~;86;296~;28k0:h8524cf950052z?64g<6l?168oj51478yv30<3:1>v3:0c82`1=:d69>0gb=9<90q~;82;296~;3n:0:h8524cf950452z?7b6<6l?168oj515g8yv31n3:1>v3;f282`1=:4>d69>0gb=9:20q~;9d;296~;28;0:h8524cc95<`52z?647<6l?168oo512g8yv31j3:1>v3:0382`1=:j4}r75e?6=:r7>d69>0gg=9:i0q~;99;296~;28:0:h8524cc956d52z?646<6l?168oo512c8yv31?3:1>v3:0282`1=:74}r752?6=:r7><>4>d69>0gg=9<20q~;ja;296~;2810:h8524cc950152z?64=<6l?168oo518g8yv3b03:1>v3:0982`1=:<54>d69>0gg=952z?7b7<6l?168oo51418yv3b<3:1>v3;f382`1=:d69>0gg=9=o0q~;j2;296~;2890:h8524cc951552z?645<6l?168oo512:8yv3cn3:1>v3:0182`1=:<=4>d69>0g1=9:o0q~;kd;296~;2880:h8524c5956b52z?644<6l?168o9512a8yv3cj3:1>v3:0082`1=:l4}r7ge?6=:r7><<4>d69>0g1=9:k0q~;k9;296~;28>0:h8524c5956?52z?642<6l?168o9514:8yv3c?3:1>v3:0682`1=:<:4>d69>0g1=90o0q~;k4;296~;3lk0:h8524c5950052z?7`g<6l?168o951478yv3c:3:1>v3;dc82`1=:d69>0g1=9<90q~;k0;296~;3n90:h8524c5950452z?7b5<6l?168o9515g8yv3dm3:1>v3;f182`1=:d69>0g1=9:20q~;lc;296~;3n80:h8524c695<`52z?7b4<6l?168o:512g8yv3d13:1>v3;f082`1=:1=>j4}r7`d69>0g2=9:i0q~;l7;296~;3no0:h8524c6956d52z?7bc<6l?168o:512c8yv3d=3:1>v3;fg82`1=:1=>74}r7`0?6=:r7?jk4>d69>0g2=9<20q~;l3;296~;3mo0:h8524c695017>52z?7ac<6l?168o:518g8yv3d93:1>v3;eg82`1=:1=884}r7`4?6=:r7?ik4>d69>0g2=952z?7ba<6l?168o:51418yv3ek3:1>v3;fe82`1=:1=8<4}r7af?6=:r7?ji4>d69>0g2=9=o0q~;ma;296~;3nl0:h8524c6951552z?7b`<6l?168o:512:8yv3e03:1>v3;fd82`1=:d69>0g7=9:o0q~;m6;296~;28?0:h8524c3956b52z?643<6l?168o?512a8yv3e;3:1>v3:0782`1=:l4}r7a6?6=:r7><;4>d69>0g7=9:k0q~;m1;296~;3lh0:h8524c3956?52z?7`d<6l?168o?514:8yv3fn3:1>v3;d`82`1=:d69>0g7=90o0q~;nd;296~;3mm0:h8524c3950052z?7aa<6l?168o?51478yv3fj3:1>v3;ee82`1=:d69>0g7=9<90q~;n8;296~;3ml0:h8524c3950452z?7a`<6l?168o?515g8yv3f>3:1>v3;ed82`1=:d69>0g7=9:20q~;n4;296~;3nj0:h8524`g95<`52z?7bf<6l?168lk512g8yv3f:3:1>v3;fb82`1=:j4}r7b5?6=:r7?jn4>d69>0dc=9:i0q~;n0;296~;3l00:h8524`g956d52z?7`<<6l?168lk512c8yv3>l3:1>v3;d882`1=:74}r7:g?6=:r7?h44>d69>0dc=9<20q~;6b;296~;3mh0:h8524`g950152z?7ad<6l?168lk518g8yv3>13:1>v3;e`82`1=:d69>0dc=952z?7af<6l?168lk51418yv3>=3:1>v3;eb82`1=:d69>0dc=9=o0q~;62;296~;3nk0:h8524`g951552z?7bg<6l?168lk512:8yv3>83:1>v3;fc82`1=:d69>0dd=9:o0q~;7e;296~;3kh0:h8524``956b52z?7gd<6l?168ll512a8yv3?k3:1>v3;c`82`1=:l4}r7;2?6=:r7?ol4>d69>0dd=9:k0q~;8b;296~;3l>0:h8524``956?52z?7`2<6l?168ll514:8yv3bj3:1>v3;d682`1=:d69>0dd=90o0q~;k5;296~;3l10:h8524``950052z?7`=<6l?168ll51478yv3en3:1>v3;d982`1=:d69>0dd=9<90q~;n9;296~;3m00:h8524``950452z?7a<<6l?168ll515g8yv3>;3:1>v3;e882`1=:d69>0dd=9:20q~;i5;29e~;2<:09895255695a4<5=i;68<4=5ca>12<5=kn69:4=5`2>12<5=h?69:4=5`4>12<5=hj69:4=5`g>125az?605<5<=1699?51e0891e72<:019om:50891gb2=8019l>:50891d32=8019l8:50891df2=8019lk:508yv3a;3:1mv3:3b8101=:=:n1=i<4=5a3>1`<5=ki69?4=5cf>17<5=h:69?4=5`7>17<5=h<69?4=5`b>17<5=ho69?4}r7e6?6=ir7>?54=459>16?=9m8019m?:4`891ge2=:019oj:52891d62=:019l;:52891d02=:019ln:52891dc2=:0q~;i1;29e~;2;=09895252795a4<5=i;68o4=5ca>1b<5=kn69j4=5`2>1b<5=h?69j4=5`4>1b<5=hj69j4=5`g>1b5az?675<5<=169>?51e0891e72<3019om:5a891gb2=i019l>:5a891d32=i019l8:5a891df2=i019lk:5a8yv3bn3:1mv3:2b8101=:=;n1=i<4=5a3>0><5=ki69l4=5cf>1d<5=h:69l4=5`7>1d<5=h<69l4=5`b>1d<5=ho69l4}r7fa?6=ir7>>54=459>17?=9m8019m?:45891ge2=k019oj:5c891d62=k019l;:5c891d02=k019ln:5c891dc2=k0q~;jd;29e~;2:=09895253795a4<5=i;6884=5ca>1?<5=kn6974=5`2>1?<5=h?6974=5`4>1?<5=hj6974=5`g>1?5az?665<5<=169??51e0891e72:5:891d32=2019l8:5:891df2=2019lk:5:8yv32?3:18v3;6982`6=:<0=1>9:4=5;;>4b534>jm7li;|q613<72=q68;651e0891?32;>?70:65;3g6>;3i00ij6s|54794?2|5=<<6d39>0d>=jo1v8;;:187821?3;o:63;8b8101=:<1n1=i<4=5c4>g`?7>54z?722<6l<168565256891>>28n970:n6;`e?xu2=;0;69u247595a2<5=2?6?:;;<6;1?7c:27?m84mf:p107=83>p1988:0f0?82?838?863;8082`7=:1nk5rs473>5<3s4>=;7?k2:?73f<5<=168:j51e0891g42kl0q~;i6;29g~;3>?09?l524`095a4<5<>86:j4=463>2b<5<9h6:j4=41;>2b<5<9?6:j4=413>2b<5<8h6:j4=40;>2b<5<8?6:j4=403>2bj7>53z?7ed<5<=169;>51e78900328n97p}:5d83>1}:9:4=443>4b534?=>7?k3:?626<6l:1v8;k:18782f038?863:6182`6=:=?81=i<4=440>4b53ty>9n4?:2y>0d1=:=>0188?:0f7?831<3;o?6s|54`94?g|5=i86?;;;<6`1?42<27?o54=559>0a4=:<>019m6:377?82c=38>863;d78111=:8:4=5c5>72334?=<7?k6:p10g=83;9w0:l4;060>;3kk0999524bd9602<5=no6?;;;<6g4?42<27?i>4=559>0`2=:<>019h;:377?82c938>863;e48111=:8:4=5d;>73334>n;7<:4:?7b<<5==168ko5246890622;??70:n5;070>;2>80:h>5rs47:>5<5;r7?ol4>d29>0a1=9m9019j7:0f0?82b13;o?63;d882`6=:4b434>mn7?k3:?7`d<6l:168hj51e1891cb28n870:ic;3g7>;3mo0:h>524gf95a5<5=ln6d29>0c6=9m9019h>:0f0?82an3;o?63;f382`6=:=9:1=i=4=422>4b434?;;7?k3:?7b6<6l:169=<51e18906428n870;?8;3g7>;28=0:h>5251;95a5<5<:j6d29>0`d=9m>019o;:367?xu2=10;6?=t=5ab>4b534>o;7?k2:?7`=<6l;168h751e0891b>28n970:ja;3g6>;3mj0:h?524g`95a4<5=nj6d39>0ce=9m8019ki:0f1?82al3;o>63;fd82`7=:=9<1=i<4=5fa>4b534>m<7?k2:?7b4<6l;168kh51e0891`528n970;?0;3g6>;2880:h?5251595a4<5=l86<>4>d39>15>=9m8018>;:0f1?83713;o>63:0`82`7=:=9h1=i<4=5f`>4b534>nn7?k2:?7e6<5<=1v567:1818>??3hm70676;070>{t0191<7g`<512:6?:;;|q;<0<72;q645:5bg9><=4=:=>0q~67a;296~;?000ij637868101=z{12i6=4<{<:;4?da342387<;4:?;3c<6l;1v56l:1818>?>38?9637808ab>{t01o1<772334ho=7li;|qa`7<72;q645j5bg9>fa7=:=>0q~lk3;297~;?0m098952894971=:01;1?95rscf7>5<5s42?70:l0;314>{tk9n1<772334>h<7?>f:pg5e=838p1>?i:367?82d83;:;6s|c1`94?4|5:;o6?:;;<6a`?7492wxo=o50;0x967e2;>?70:md;d`?xud800;6?u230;9612<5=ho6kl4}ra30gb=nh1vn>8:181856=38?863;be8e=>{tk9?1<772334>ih7h7;|q`41<72;q6?=h5256891dc2o=0q~m?3;296~;48m0989524cf95407>52z?04g<5<=168oj51078yve793:1>v3<088101=:>4}ra34?6=:r78<:4=459>0gb=98>0q~lif;296~;48<0989524cf954552z?046<5<=168oj51008yvdal3:1>v3<008101=:0gb=98:0q~lia;296~;5nk0989524cf955e52z?1b<<5<=168oj51138yvda03:1>v3=f68101=:5<5s48m97<;4:?7fd<6;81voh9:18184a;38?863;b`8eg>{tjo?1<772334>im7hm;|qab1<72;q6>hh5256891df2ok0q~li3;296~;5mm0989524cc9b<=z{kl96=4={<0ff?43<27?nl4i8:pfc7=838p1?k6:367?82ei3l<7p}l6d83>7}:;l91>9:4=5`b>4713tyh:i4?:3y>7`7=:=>019ln:036?xud>j0;6?u23ed9612<5=hj6<=?;|q`2g<72;q6?ij5256891df28;?7p}l6`83>7}:;mh1>9:4=5`b>4743tyh:44?:3y>7a?=:=>019ln:031?xud>10;6?u23e59612<5=hj6;|q`22<72;q6?i;5256891df28;;7p}l6783>7}:;m91>9:4=5`b>46d3tyh:84?:3y>7a7=:=>019ln:022?xud>:0;6?u23bf9612<5=hj6k84}ra56?6=:r78oo4=459>0g1=9:;0q~m91;296~;4k00989524c59bf=z{j<;6=4={<1`3?43<27?n:4ib:pg0`=838p1>m::367?82e?3lj7p}l5d83>7}:;j91>9:4=5`4>c?h7>52z?0g4<5<=168o95f99~wf3d2909w0=mf;070>;3j>0m;6s|c4`94?4|5:ho6?:;;<6a3?76>2wxo8o50;0x96de2;>?70:m7;321>{tk<21<772334>i;7?<0:pg01=838p1>l::367?82e?3;:86s|c4494?4|5:h86?:;;<6a3?76;2wxo8;50;0x96d62;>?70:m7;326>{tk<>1<772334>i;7?>1:pg05=838p1>ok:367?82e?3;:<6s|c4094?4|5:ki6?:;;<6a3?77k2wxo8?50;0x96g>2;>?70:m7;335>{tk<:1<772334>i;7h9;|q`0c<72;q6?l;5256891d3289:7p}l4e83>7}:;h;1>9:4=5`7>ce52z?0=c<5<=168o:5fc9~wf2e2909w0=6d;070>;3j=0mm6s|c5c94?4|5:3i6?:;;<6a0?`>3tyh844?:3y>7019l;:g:8yve303:1>v3<968101=:1j:5rsb64>5<5s49297<;4:?7f1<69?1vn:9:18185>;38?863;b58250=z{j>>6=4={<1:5?43<27?n94>319~wf232909w0=7f;070>;3j=0:=95rsb61>5<5s493n7<;4:?7f1<69:1vn:>:18185?138?863;b58257=z{j>;6=4={<1;3?43<27?n94>109~wf5a2909w0=75;070>;3j=0:==5rsb1f>5<5s493?7<;4:?7f1<68j1vn=k:18185?938?863;b58244=z{j9h6=4={<14b?43<27?n94i6:pg6d=838p1>9k:367?82e93;8=6s|c2c94?4|5:=i6?:;;<6a5?`d3tyh?44?:3y>72?=:=>019l>:g`8yve4?3:1>v3<748101=:5<5s49;50;0x96162;>?70:m1;d;?xud;=0;6?u237d9612<5=h:6k94}ra07?6=:r78:i4=459>0g7=98<0q~m<2;296~;4>k0989524c3954352z?02<<5<=168o?51228yve483:1>v3<668101=:0g7=9890q~m=e;296~;4>:0989524c3954452z?01c<5<=168o?51038yve5j3:1>v3<5e8101=:4}ra1e?6=:r789o4=459>0g7=99i0q~m=9;296~;4=00989524c3955752z?012<5<=168o?5f79~wf402909w0=:5;070>;3il0:?<5rsb05>5<5s49>?7<;4:?7e`?70:ne;da?xud:=0;6?u235d9612<5=kn6ko4}ra17?6=:r788i4=459>0dc=n01vn<>:181853138?863;ad8e<>{tk;:1<772334>ji7h8;|q`5c<72;q6?9;5256891gb28;=7p}l1d83>7}:;=91>9:4=5cf>4723tyh=i4?:3y>717=:=>019oj:013?xud9j0;6?u232d9612<5=kn6j5256891gb28;87p}l1`83>7}:;:h1>9:4=5cf>4753tyh=44?:3y>76?=:=>019oj:032?xud910;6?u23259612<5=kn6=5256891gb28:h7p}l1483>7}:;:;1>9:4=5cf>4663tyh=94?:3y>77`=:=>019oj:g48yve6;3:1>v3<2e8101=:?4}ra26?6=:r78>o4=459>0dd=nj1vn?>:181855138?863;ac8ef>{tk8:1<772334>jn7hn;|q`4c<72;q6??;5256891ge2o30q~m?6;296~;49:0989524``9b==z{kli6=4={<0e`?43<27?mo4i7:pg3`=838p1>k::367?82fj3;::6s|c7694?4|5:im6?:;;<6bf?76=2wxo8750;0x96d>2;>?70:nb;304>{tk=o1<772334>jn7?>4:pg15=838p1>6k:367?82fj3;:?6s|c2:94?4|5:=<6?:;;<6bf?76:2wxo?j50;0x96062;>?70:nb;325>{tk;81<772334>jn7?>0:pg41=838p1>=::367?82fj3;;o6s|bg294?4|5;o<6?:;;<6bf?7792wxo:o50;368>0m38?86386782`7=:>;n1?;52627973=:>9n1?o5261g97g=:>9l1?o5260297g=:>8l1?o5263297g=:>;;1?o5263097g=:>9>1?o5261797g=:>::1?o5262397g=:=on1?o525gg97g=:>:<1?o5262597g=:>;o1?o5rscf5>5<627b=;116:>;5399>25b=;j16:=k53b9>25`=;j16:<>53b9>24`=;j16:?>53b9>277=;j16:?<53b9>252=;j16:=;53b9>266=;j16:>?53b9>1cb=;j169kk53b9>260=;j16:>953b9>27c=;j1vn96:18183a?3;o>637658101=z{j==6=4<{<:4a?43?27<494=459>3=3=jo1vn9::1878>?138?;637858102=:?191>9:4=6:7>g`55z?;<5<5<>1645<525589=>02;><70972;070>;00:0ij6s|c6194?5|512o6?;;;<5;5?43<27<4?4mf:pg24=839p1:6?:367?81?93hm70lk1;076>{tk>;1<76t=8da>73334=n;7li;<:21?7c=27<;k4=459>3=6=jo16;o>51e189=022;><709j5;073>{tk>21<7=t=6:5>72334?mm7<;7:?42=<5<>1vn98:1810~;00<0989527949fc=:=o31>994=644>72034<;57<;7:?55g<5<>16:8o52558933?2;><708:d;073>;1=k098:526729611<5??n6?:8;<457?43?27=:<4=469>230=:==01;8;:364?801138?;639668102=:>?i1>994=74b>72034<=j7<;7:?52a<5<>16::<5255893172;><70885;073>;1?:098:526g39611<5?on6?:8;<4fe?43?27=i;4=469>2`4=:==01;jj:364?80ci38?;639d78102=:>m81>994=7af>7203tyih84?:06x92b32;><709k3;073>;0l;098:527e39611<5>n;6?:8;<5`b?43?273fb=:==01:ml:364?81dj38?;6371g8102=:08o1>994=6gb><0<5>h;6164=>5255892c128n8709j8;;5?xueml0;64u26619612<5=i;6;h4=5ca>37<5=kn6;?4=5`2>37<5=h?6;?4=5`4>37<5=hj6;?4=5`g>3759z?535<5<=168n>56e9>0dd==o168lk55g9>0g7==o168o:55g9>0g1==o168oo55g9>0gb==o1vokl:18:801l38?863;c185g>;3ik0>i63;ad86a>;3j80>i63;b586a>;3j>0>i63;b`86a>;3jm0>i6s|bd`94??|5?jn7;k;<6ba?3c34>i=7;k;<6a0?3c34>i;7;k;<6ae?3c34>ih7;k;|qaad<720q6:;95256891e72>=019om:7c891gb2?k019l>:7c891d32?k019l8:7c891df2?k019lk:7c8yvdb13:15v39658101=:1:4524c592<=:?70:l0;57?82fj3<<70:ne;44?82e93<<70:m4;44?82e?3<<70:ma;44?82el3<<7p}me783><}:>

9:4=5a3>25<5=ki6;84=5cf>30<5=h:6;84=5`7>30<5=h<6;84=5`b>30<5=ho6;84}r`f1?6=1r7=954=459>0f6=?;168ll5649>0dc=><168o?5649>0g2=><168o95649>0gg=><168oj5649~wgc4290::v371g8ab>;19k09895277495a2<5?8o6>;4=716>63<5?:o6>o4=72f>6g<5?:m6>o4=733>6g<5?;m6>o4=703>6g<5?8:6>o4=701>6g<5?:?6>o4=726>6g<5?9;6>o4=712>6g<5o4=4df>6g<5?9=6>o4=714>6g<5?8n6>o4}r`f6?6=9?q6425?=:=>01:89:0f0?805l39?708<5;17?807l392708?e;1:?807n392708>0;1:?806n392708=0;1:?8059392708=2;1:?807<392708?5;1:?8048392708<1;1:?83al39270;ie;1:?804>392708<7;1:?805m3927p}me083>3}:?m>1nk5266695a4<5?l:6?:;;<4e6?7c:27<>h450;4x92b42kl01;9>:0f1?80bm38?8639eg82`7=:?;o1?n5270c97f=z{knm6=49{<5g6?da34<=i7?k2:?5ad<5<=16:hl51e08924b2:h01:?n:2`8yvdcm3:1:v38d08ab>;1>k0:h?526d49612<5?o<65bg9>23>=9m801;k=:367?80b;3;o>6382d80=>;09h0856s|bea94?0|5>im6oh4=746>4b53434?=;l1vojm:18581dm3hm70892;3g6>;1lh0989526e`95a4<5>8o6>m4=63:>6e56z?4gad39>2a0=:=>01;j8:0f1?815l39i709>9;1a?xuel00;6;u27ba9fc=:>72334=8328n9708le;070>;1ko0:h?5273f97<=:?831?45rscge>5<6nr7>jl4=459>0f6=l1o019m?:e:`?82fj3n3n63;ac8g<<=:a>034>ji7j7b:?7e`;3j80o44524c39`=><5=h:6i68;<6a0?b?j27?n94k889>0g2=l12019l;:e:4?82e?3n3n63;b68g<<=:a>034>im7j7b:?7fd;3jm0o44524cf9`=><5=ho6i68;|qaa1<72:q6;;6525689=0128n870:nb;d5?xuel>0;6>u27`g95a5<550;0x90`028n870;94;00e>{tl831<7=t=201>g`<5j326?:;;<:b`?da3tyo=54?:2y>776=jo16o46525689=gd2kl0q~j>7;297~;49l0ij63l968101=:0hh1nk5rse35>5<4s49:o7li;?n:cd89f?22;>?706n9;`e?xuc9=0;6>u230:9fc=:k0>1>9:4=9c;>g`53z?0534=459>;?i?0ij6s|d0294?5|5:;;6oh4=b;3>723342j87li;|qg4c<72:q6?=k5bg9>g=`=:=>015o<:cd8yvb7m3:1?v3<0b8ab>;d0l0989528`09fc=z{m:o6=4<{<13e?da34i3h7<;4:?;e4f83hm7p}k0c83>6}:;9<1nk52c9`9612<513m6oh4}rf3e?6=;r78<94mf:?`2908w0=?2;`e?8e?138?86379e8ab>{tl921<7=t=223>g`<5j236?:;;<::g?da3tyo<:4?:2y>6cc=jo16o59525689=?e2kl0q~j?5;297~;5nh0ij63l848101=:0031nk5rse27>5<4s48m47li;42;>?70667;`e?xuc8;0;6>u22g69fc=:k181>9:4=9;5>g`53z?1b7<<3=jo1vi>?:18084a83hm70m70;070>;?1=0ij6s|cgd94?5|5;on6oh4=b5e>7233422?7li;|q`b`<72:q6>hm5bg9>g2c=:=>0157=:cd8yveal3:1?v3=e`8ab>;d?m0989528839fc=z{jlh6=4<{<0f6}:;l:1nk52cg:9612<50:h6oh4}rf43?6=;r78hh4mf:?`b2<5<=165=l5bg9~wa112908w0=kc;`e?8ea>38?86360`8ab>{tl>?1<7=t=2fb>g`<5jl>6?:;;<;3=?da3tyo;94?:2y>7a>=jo16ok:525689<6?2kl0q~j83;297~;4l?0ij63lf28101=:19=1nk5rse51>5<4s49o87li;j=:cd89f`62;>?707?5;`e?xuc?90;6>u23e29fc=:ko:1>9:4=827>g`53z?0gf=54=jo1vi8k:18085di3hm70mjd;070>;>880ij6s|d7a94?5|5:i36oh4=bg`>723343;<7li;|qg2g<72:q6?n85bg9>g`d=:=>015hi:cd8yvb1i3:1?v3;dmh0989528gg9fc=z{m<26=4<{<1`6?da34in57<;4:?;baak3hm7p}k6683>6}:;ko1nk52cd59612<51li6oh4}rf52?6=;r78nn4mf:?`a3<5<=164ko5bg9~wa022908w0=ma;`e?8eb=38?8637f88ab>{tl?91<7=t=2`5>g`<5jo86?:;;<:e3?da3tyo:?4?:2y>7g2=jo16oh<525689=`12kl0q~j91;297~;4j;0ij63le08101=:0o?1nk5rse43>5<4s49i<7li;oj:cd89fba2;>?706i3;`e?xuc=l0;6>u23`a9fc=:kmo1>9:4=9d1>g`h7>53z?0ed;?n90ij6s|d4`94?5|5:k=6oh4=bfa>723342nj7li;|qg1d<72:q6?l:5bg9>gag=:=>015kj:cd8yvb203:1?v3;dl10989528da9fc=z{m?<6=4<{<1:a?da34io;7<;4:?;agbi3hm7p}k5483>6}:;0k1nk52ce79612<51o26oh4}rf60?6=;r78554mf:?``1<5<=164h65bg9~wa342908w0=66;`e?8ec;38?8637e68ab>{tl<81<7=t=2;7>g`<5jn96?:;;<:f2?da3tyo9<4?:2y>7<4=jo16oi?525689=c22kl0q~j:0;297~;4190ij63ld18101=:0l>1nk5rse6e>5<4s493i7li;4mf:p`1b=839p1>6n:cd89fec2;>?706j1;`e?xucu239:9fc=:kji1>9:4=9g3>g`53z?0<3;?ll0ij6s|d5;94?5|5:296oh4=ba:>723342oh7li;|qg0=<72:q6?5>5bg9>gf>=:=>015jl:cd8yvb3?3:1?v3<7d8ab>;dk>0989528e`9fc=z{m>=6=4<{<14g?da34ih:7<;4:?;`dc13hm7p}k4583>6}:;>21nk52cb69612<51n36oh4}rf76?6=;r78;94mf:?`g7<5<=164i85bg9~wa262908w0=82;`e?8ed938?8637d48ab>{tl=:1<7=t=253>g`<5ji;6?:;;<:g0?da3tyo?k4?:2y>73c=jo16ooh525689=b42kl0q~jj0ij63lbd8101=:0m81nk5rse1g>5<4s49=m7li;87:cd89fdd2;>?706k0;`e?xuc;k0;6>u23749fc=:kkh1>9:4=9ae>g`53z?021;?km0ij6s|d2594?5|5:?n6oh4=b`4>723342hn7li;|qg73<72:q6?8m5bg9>gg0=:=>015mn:cd8yvb4=3:1?v3<5`8ab>;dj<0989528b;9fc=z{m9?6=4<{<16=50;1x96312kl01nl<:367?8>d?3hm7p}k3383>6}:;<>1nk52cc09612<51i=6oh4}rf05?6=;r789?4mf:?`f4<5<=164n;5bg9~wa572908w0=:0;`e?8ee838?8637c58ab>{tl;l1<7=t=26f>g`<5jkm6?:;;<:`7?da3tyo>h4?:2y>71e=jo16olk525689=e52kl0q~j=c;297~;4<10ij63lab8101=:0j:1nk5rse0a>5<4s49?:7li;:;:cd89fgf2;>?706me;`e?xuc:00;6>u23509fc=:kh31>9:4=9`g>g`53z?005;?jk0ij6s|d3494?5|5:9h6oh4=bc5>723342im7li;|qg60<72:q6?>o5bg9>gd3=:=>015l6:cd8yvb5<3:1?v3<398ab>;di=0989528c:9fc=z{m886=4<{<102?da34ij?7<;4:?;f2:367?8>e=3hm7p}k2183>6}:;::1nk52c`29612<51h?6oh4}rf2b?6=;r78>h4mf:?`=c<5<=164o=5bg9~wa7b2908w0==c;`e?8e>m38?8637b38ab>{tl8n1<7=t=20b>g`<5j3o6?:;;<:a5?da3tyo=n4?:2y>77>=jo16o4m525689=d72kl0q~j>b;297~;4:?0ij63l9c8101=:0hl1nk5rse3b>5<4s49987li;?=:cd89f?62;>?706n5;`e?xuc8?0;6>u22ga9fc=:k1<1>9:4=9;b>g`53z?0a1=5c=jo1vi8i:18085dm3hm70mjf;070>;>8:0ij6s|d7694?5|5:h36oh4=bg7>723342m47li;|qg1<<72:q6?l<5bg9>ga?=:=>015kk:cd8yvb3m3:1?v3<8b8ab>;dkl0989528d09fc=z{m>86=4<{<142?da34ih?7<;4:?;`2650;1x96072kl01nl7:367?8>dk3hm7p}k2e83>6}:;=k1nk52c`f9612<51i:6oh4}rf16?6=;r78?94mf:?`e7<5<=164o85bg9~wf`e2908w0{t<9>1<7g`<5:896?:;;|q746<72;q6???5bg9>776=:=>0q~:?2;296~;49o0ij63<1d8101=z{=::6=4={<12`?da349:o7<;4:p056=838p1>?m:cd8967f2;>?7p}7}:;831nk5230:961252z?0525;`e?856<38?86s|3g`94?4|5:;:6oh4=233>7233ty8jl4?:3y>75`=jo16?=k52568yv5a13:1>v3<0e8ab>;48j09895rs2d;>5<5s49;n7li;<13e?43<2wx?k950;0x966>2kl01>>7:367?xu4n?0;6?u23159fc=:;9<1>9:4}r1e1?6=:r78<84mf:?041<5<=1v>h;:181857;3hm70=?2;070>{t;o91<7g`<5::;6?:;;|q0b7<72;q6>kh5bg9>6cc=:=>0q~=i0;296~;5nk0ij63=f`8101=z{:om6=4={<0e=?da348m47<;4:p7`c=838p1?h8:cd897`12;>?7p}7}::o?1nk522g6961252z?1b67233ty8i44?:3y>6`b=jo16>hm52568yv5b03:1>v3=ec8ab>;5mh09895rs2g4>5<5s48n57li;<0fk=:367?xu3>:0;6?u23d39fc=:;l:1>9:4}r656?6=:r78hk4mf:?0``<5<=1v98>:18185cl3hm70=kc;070>{tg`<5:nj6?:;;|q71c<72;q6?i75bg9>7a>=:=>0q~::e;296~;4l>0ij63j<:cd896b52;>?7p};5c83>7}:;m;1nk523e29612>57>52z?0ga7233ty?9;4?:3y>7f1=jo16?n852568yv22=3:1>v3;4k=09895rs577>5<5s49h?7li;<1`6?43<2wx88=50;0x96e62kl01>m?:367?xu3=;0;6?u23cd9fc=:;ko1>9:4}r665?6=:r78ni4mf:?0ff<5<=1v9;?:18185ej3hm70=ma;070>{t<=o1<7g`<5:h=6?:;;|q70a<72;q6?o;5bg9>7g2=:=>0q~:;c;296~;4j:0ij63i6=4={<1a5?da349i<7<;4:p01g=838p1>oi:cd896gb2;>?7p};4883>7}:;hn1nk523`a9612?47>52z?0eg7233ty?884?:3y>7d3=jo16?l:52568yv23;3:1>v3;4i909895rs561>5<5s492j7li;<1:a?43<2wx89?50;0x96?c2kl01>7l:367?xu3<90;6?u238`9fc=:;0k1>9:4}r60b?6=:r78544mf:?0==<5<=1v9=j:18185>?3hm70=66;070>{t<:n1<7g`<5:3?6?:;;|q77f<72;q6?4=5bg9>7<4=:=>0q~:=838p1>6m:cd896>f2;>?7p};3683>7}:;131nk5239:96128:7>52z?0<27233ty??>4?:3y>7=7=jo16?5>52568yv24:3:1>v3<7g8ab>;4?l09895rs512>5<5s49>50;0x961e2kl01>9n:367?xu3:o0;6?u236;9fc=:;>21>9:4}r61`?6=:r78;84mf:?031<5<=1v9{t<;h1<7g`<5:=;6?:;;|q76d<72;q6?;h5bg9>73c=:=>0q~:=9;296~;4>m0ij63<6b8101=z{=836=4={<15f?da349=m7<;4:p071=838p1>86:cd8960?2;>?7p};2783>7}:;?=1nk523749612997>52z?0207233ty?><4?:3y>70b=jo16?8m52568yv2583:1>v3<5c8ab>;4=h09895rs53e>5<5s49>57li;<16;9:367?xu39m0;6?u23479fc=:;<>1>9:4}r62g?6=:r789>4mf:?017<5<=1v9?m:18185293hm70=:0;070>{t<8k1<7g`<5:>n6?:;;|q75<<72;q6?9j5bg9>71e=:=>0q~:>7;296~;4<00ij63<498101=z{=;=6=4={<173?da349?:7<;4:p043=838p1>:::cd896232;>?7p};1583>7}:;=91nk523509612:?7>52z?0047233ty?==4?:3y>76d=jo16?>o52568yv27n3:1>v3<388ab>;4;109895rs52f>5<5s498;7li;<102?43<2wx8=m50;0x96542kl01>==:367?xu38k0;6?u23239fc=:;::1>9:4}r63e?6=:r78>k4mf:?06`<5<=1v9>6:181855l3hm70==c;070>{t<921<7g`<5:8j6?:;;|q742<72;q6??75bg9>77>=:=>0q~:?6;296~;4:>0ij63<278101=z{=:>6=4={<111?da349987<;4:p7ce=838p1>?<:cd896752;>?7p}7}::on1nk522ga9612=97>52z?0a07233ty?894?:3y>7d5=jo16?l<52568yv2413:1>v3<8e8ab>;40j09895rs50f>5<5s49<;7li;<142?43<2wx8?=50;0x96062kl01>8?:367?xu3910;6?u235`9fc=:;=k1>9:4}r63`?6=:r78?84mf:?071<5<=1v>k9:18184b?3hm70{tj<;1<76g<50:m6?:;;|qa3=<72;q654=53b9>=60=:=>0q~l73;296~;>1=08m636408101=z{k2n6=4={<;:0?5d343?o7<;4:pf?7p}ma583>7}:10?1?n52970961252z?:=3<4=272:i4=459~wgdf2909w0766;1;?8?0038?86s|bb794?4|5h;>6>o4=8:0>7233tyih=4?:3y>e43=;j1655k52568yvd2k3:1>v3n1780e>;>9h09895rsc44>5<5s4k::7=l;<;11?43<2wxn:>50;0x9d702:k0149:4}r`46?6=:r7j=54<5:?:75<5<=1vo9<:1818g60393707<1;070>{tj>>1<76g<50996?:;;|qa30<72;q6m?953b9>=65=:=>0q~l86;296~;f:108m636358101=z{k=<6=4={?7p}m7`83>7}:i;31?n5292:961252z?b6d<4=272?44=459~wg1d2909w0o=a;1;?8?4i38?86s|b6f94?4|5h926>o4=81a>7233tyi;h4?:3y>e6?=;j165>m52568yvd0n3:1>v3n3`80e>;>;m09895rsc:3>5<5s4k8m7=l;<;0a?43<2wxn5?50;0x9d5e2:k014=i:367?xue0;0;6?u2a2`97f=:1=:1>9:4}r`;0?6=:r7j?n4<5:?:07<5<=1vo6::1818g4k393707;3;070>{tj1<1<76g<50>?6?:;;|qa<2<72;q6m9l53b9>=13=:=>0q~l78;296~;f?7p}m8c83>7}:i=n1?n5295;961252z?b0`<4=2728l4=459~wg>c2909w0o;e;1;?8?3j38?86s|b9d94?4|5h?o6>o4=86g>7233tyi5=4?:3y>e0b=;j1659k52568yvd>93:1>v3n5d80e>;>5<5s4k>i7=l;<;64?43<2wxn4=50;0x9d3a2:k014;>:367?xue1=0;6?u2a4d97f=:1<81>9:4}r`:1?6=:r7j:=4<5:?:16<5<=1vo79:1818g18393707:4;070>{tj0=1<76g<50?>6?:;;|qa==<72;q6m;h53b9>=00=:=>0q~l6a;296~;f?908m636598101=z{k3i6=4={57<;4:pf:2c89<3f2;>?7p}m9e83>7}:i>;1?n5294`961252z?b37<4=2729n4=459~wg?a2909w0o82;1;?8?2l38?86s|b`294?4|5h2:6>o4=87f>7233tyim<4?:3y>e=7=;j1658h52568yvdf:3:1>v3n8380e>;>>909895rscc0>5<5s4k3>7=l;<;55?43<2wxnl;50;0x9d>42:k0148<:367?xuei?0;6?u2a9197f=:1?>1>9:4}r`b3?6=:r7j494<5:?:20<5<=1voo7:1818g?<39370796;070>{tjh31<76g<50<<6?:;;|qaed<72;q6m4=53b9>=3>=:=>0q~lnb;296~;f1=08m636688101=z{kkh6=4={?7p}mad83>7}:i0?1?n5297a961252z?b=3<4=272:h4=459~wgd62909w0o66;1;?8?1n38?86s|bc094?4|5hk>6>o4=853>7233tyin>4?:3y>ed3=;j165:?52568yvde<3:1>v3na780e>;>?;09895rsc`6>5<5s4kj:7=l;<;47?43<2wxno850;0x9dg02:k0149;:367?xuej>0;6?u2a`597f=:1>?1>9:4}r`a{tjkh1<76g<50=26?:;;|qaff<72;q65l;53b9>=2g=:=>0q~lmd;296~;>i?08m6367c8101=z{khn6=4={<;b2?5d343?7p}mc183>7}:1h=1?n5296g961252z?:e=<4=272;k4=459~wge52909w07n8;1;?8??838?86s|bb194?4|50h<6>o4=8:2>7233tyio94?:3y>=g1=;j1655<52568yvdd>3:1>v36b980e>;>0=09895rsca4>5<5s43i47=l;<;;1?43<2wxnn650;0x92:k01469:367?xuek00;6?u29c;97f=:11=1>9:4}r``e?6=:r72nl4<5:?:<=<5<=1vomm:1818?ei39370779;070>{tjji1<76g<502j6?:;;|qaga<72;q65n753b9>==d=:=>0q~lle;296~;>kh08m6368b8101=z{kim6=4={<;`e?5d3433h7<;4:pf04=838p14mm:2c89<772;>?7p}m5283>7}:1jh1?n52903961287>52z?:gf<4=272=?4=459~wg322909w07lc;1;?8?6;38?86s|b4494?4|50ni6>o4=837>7233tyi9:4?:3y>=ad=;j165<;52568yvd203:1>v36db80e>;>9?09895rsc7:>5<5s43oo7=l;<;23?43<2wxn8o50;0x99:4}r`6`?6=:r72hh4<5:?:5g<5<=1vo;j:1818?cm393707>c;070>{tj6g<50;o6?:;;|qa25<72;q65hj53b9>=4c=:=>0q~l91;296~;>ml08m6361g8101=z{k<96=4={<;fa?5d3439<7<;4:pf35=838p14ki:2c89<462;>?7p}m6583>7}:1ll1?n52930961252z?:b5<4=272>>4=459~wg012909w07i0;1;?8?5<38?86s|b7:94?4|5h::6>o4=805>7233tyi:44?:3y>e57=;j165?952568yvd1i3:1>v3n0380e>;>:109895rsc4a>5<5s4k;>7=l;<;1=?43<2wxn;m50;0x9d642:k014m0;6?u2a1197f=:1;h1>9:4}r`5a?6=:r7j<94<5:?:6f<5<=1vo8i:1818g7<393707=d;070>{tim;1<7=t=8;0>6?<50:m6oh4=9:e>7233tyjj54?:2y>=<5=;k165>85bg9>0q~l?3;297~;>1=085636408ab>;?k809895rsc2f>5<4s43287=m;<;7g?da342ho7<;4:pf4?=839p147::2;89<302kl015j8:367?xue:=0;6>u298797g=:1?81nk528d0961253z?:=3<4<272:i4mf:?;aa<5<=1vo=n:1808?>>39=70788;`e?8>a038?86s|b5794?5|5h;>6>74=8:0>g`<50:86?:;;|qa15<72:q6m<;53c9>==c=jo165=k52568yvgck3:1?v3n1780=>;>9h0ij6379`8101=z{ho<6=4<{50;1x9d702:3014?7p}nf083>6}:i8=1?o5293d9fc=:0hl1>9:4}rce6?6=;r7j=54<4:?:758;15?8?493hm706m1;070>{tio>1<7=t=`04>6?<50996oh4=9`1>7233tyjj84?:2y>e71=;k165>=5bg9>0q~oi6;297~;f:1085636358ab>;?j=09895rs`d4>5<4s4k947=m;<;01?da342i97<;4:pec?=839p1l<6:2;89<502kl015l8:367?xufnh0;6>u2a3;97g=:1:21nk528c:961253z?b6d<4<272?44mf:?;f<<5<=1vlhl:1808g5i39=707ei38?86s|agf94?5|5h926>74=81a>g`<51hi6?:;;|qbb`<72:q6m>753c9>=6e=jo164om52568yvgan3:1?v3n3`80=>;>;m0ij637be8101=z{k:;6=4<{?7p}m0383>6}:i:h1?o529529fc=:0j:1>9:4}r`30?6=;r7j?n4<4:?:07{tj9<1<7=t=`6a>6?<50>?6oh4=9a7>7233tyi<:4?:2y>e1d=;k1659;5bg9>0q~l?8;297~;f;?k?09895rsc2:>5<4s4k?o7=m;<;73?da342h;7<;4:pf5g=839p1l:k:2;89<2?2kl015m7:367?xue8k0;6>u2a5f97g=:1=31nk528b;961253z?b0`<4<2728l4mf:?;gd<5<=1vo>k:1808g3m39=707;b;`e?8>dj38?86s|b1d94?5|5h?o6>74=86g>g`<51io6?:;;|qa55<72:q6m8j53c9>=1c=jo164nk52568yvd693:1?v3n5d80=>;><7li;<:g4?43<2wxn<=50;1x9d3a2:3014;>:cd89=b62;>?7p}m1583>6}:i9:4}r`21?6=;r7j:=4<4:?:164=459~wg712908w0o90;15?8?2<3hm706k4;070>{tj8=1<7=t=`4e>6?<50?>6oh4=9f6>7233tyi=54?:2y>e3`=;k165885bg9>0q~l>a;297~;f?9085636598ab>;?l109895rsc3a>5<4s4k<<7=m;<;6=?da342o57<;4:pf4e=839p1l9>:2;89<3f2kl015jn:367?xue9m0;6>u2a6397g=:153z?b37<4<2729n4mf:?;`f<5<=1vo?i:1808g0:39=707:d;`e?8>cl38?86s|b3294?5|5h2:6>74=87f>g`<51nn6?:;;|qa64<72:q6m5?53c9>=0`=jo164ih52568yvd5:3:1?v3n8380=>;>>90ij637e18101=z{k886=4<{42:30148<:cd89=c42;>?7p}m2783>6}:i191?o529769fc=:0l>1>9:4}r`13?6=;r7j494<4:?:203hm706j6;070>{tj;31<7=t=`;0>6?<50<<6oh4=9g4>7233tyi>l4?:2y>e<5=;k165;65bg9><`>=:=>0q~l=b;297~;f1=085636688ab>;?m009895rsc0`>5<4s4k287=m;<;5e?da342nm7<;4:pf7b=839p1l7::2;89<0e2kl015km:367?xue:l0;6>u2a8797g=:1?i1nk528da961253z?b=3<4<272:h4mf:?;a`<5<=1vo=>:1808g>>39=7079f;`e?8>bn38?86s|b2094?5|5hk>6>74=853>g`<51l;6?:;;|qa76<72:q6ml;53c9>=27=jo164k?52568yvd4<3:1?v3na780=>;>?;0ij637f38101=z{k9>6=4<{850;1x9dg02:30149;:cd89=`32;>?7p}m3683>6}:ih=1?o529679fc=:0o?1>9:4}r`02908w0on8;15?8?0?3hm706i7;070>{tj:h1<7=t=8c6>6?<50=26oh4=9d:>7233tyi?n4?:2y>=d3=;k165:o5bg9>0q~li?0856367c8ab>;?nk09895rsc1f>5<4s43j:7=m;<;4g?da342mo7<;4:pf6`=839p14o8:2;89<1c2kl015hk:367?xue<90;6>u29`597g=:1>o1nk528gg961253z?:e=<4<272;k4mf:?;bc<5<=1vo:=:1808?f039=70770;`e?8?7838?86s|b5194?5|50h<6>74=8:2>g`<50::6?:;;|qa01<72:q65o953c9>==4=jo165=<52568yvd3>3:1?v36b980=>;>0=0ij636058101=z{k><6=4<{<;a2:301469:cd89<612;>?7p}m4883>6}:1k31?o529959fc=:19=1>9:4}r`7e?6=;r72nl4<4:?:<={tj=i1<7=t=8a:>6?<502j6oh4=82b>7233tyi8i4?:2y>=f?=;k1655l5bg9>=5d=:=>0q~l;e;297~;>kh0856368b8ab>;>8j09895rsc6e>5<4s43hm7=m;<;;`?da343;h7<;4:pea4=839p14mm:2;89<772kl0157?:367?xufl:0;6>u29b`97g=:18;1nk52883961253z?:gf<4<272=?4mf:?;=7<5<=1vlj::1808?dk39=707>3;`e?8>>;38?86s|ae494?5|50ni6>74=837>g`<513?6?:;;|qb`2<72:q65il53c9>=43=jo1644;52568yvgc03:1?v36db80=>;>9?0ij637978101=z{hn26=4<{<;gg?5e343:;7li;<::3?43<2wxmio50;1x9?7p}ndc83>6}:1mn1?o5290;9fc=:0031>9:4}rcg`?6=;r72hh4<4:?:5g{timl1<7=t=8gg>6?<50;o6oh4=9;g>7233tyji=4?:2y>=`b=;k165<0q~oj1;297~;>ml0856361g8ab>;?1o09895rs`g1>5<4s43ni7=m;<;14?da342j<7<;4:pe`5=839p14ki:2;89<462kl015o>:367?xufm=0;6>u29dd97g=:1;81nk528`0961253z?:b5<4<272>>4mf:?;e6<5<=1vlk9:1808?a839=707=4;`e?8>f<38?86s|ad:94?5|5h::6>74=805>g`<51k=6?:;;|qba<<72:q6m=?53c9>=71=jo164l952568yvgbi3:1?v3n0380=>;>:10ij637a98101=z{hoi6=4<{?7p}nee83>6}:i991?o5293`9fc=:0hh1>9:4}rcfa?6=;r7j<94<4:?:6f{tij=1<7g`<5h::6?:9;|qbf=<72;q65hm523589<`c2>l0q~ol6;296~;>ll0ij636ee8103=z{hh<6=4={<;ge?45?272i448f:pef3=838p14ml:cd89=7p}nb783>7}:1j21>?94=8f4>2`52z?:fd;>k<07213tyjn94?:3y>=d2=:;=014l<:6d8yvgd:3:1>v3na98ab>;>i<098;5rs``0>5<5s4kj87<=7:?:e4<0n2wxmi>50;0x9d?12kl01lo::365?xufk80;6?u2a809671<5hk:6:h4}rc`b?6=:r7j494mf:?b=6<5{tijo1<7g`<5h2:6?:9;|qbfc<72;q6m;k523589d1c2>l0q~old;296~;f>90ij63n6g8103=z{hhn6=4={=7p}nbe83>7}:i=k1>?94=`7:>2`52z?b7f;f<>07213tyjno4?:3y>e70=:;=01l=::6d8yvgd13:1>v3n198ab>;f:>098;5rs``b>5<5s4k:87<=7:?b66<0n2wxmn650;0x91v47m:1818?>93=m70760;013>{t10i1<72`<503:6?<8;|q:=a<72;q654=525689<>a2;??7p}69683>7}:10>1>984=8;0>g`52z?:=1<5<=1654>52468yv?>03:1>v36948103=:10>1nk5rs8;e>5<5s43297<;4:?:=4<5==1v476:1818?>>38?9636948ab>{t1h:1<77233432>7<:4:pe4c=838p1l?;:6d89d742;8<7p}n1e83>7}:i881>?94=`30>2`52z?b54<5==16m<;52568yvg613:1>v3n178103=:i8?1nk5rs`03>5<5s4k::7<;4:?b57<5==1vl?n:1818g6?38?:63n178ab>{ti;;1<772334k:?7<:4:pe4d=838p1l?7:366?8g6?3hm7p}n2383>7}:i821>9:4=`37>7333tyj=n4?:3y>e47=:;=01l?=:6d8yvg483:1>v3n2784b>;f:<09>:5rs`0e>5<5s4k987<=7:?b60<0n2wxm>?50;0x9d442;??70o=7;070>{ti;h1<772134k9;7li;|qb77<72;q6m?6525689d432;??7p}n2b83>7}:i;31>984=`0;>g`52z?b6<<5<=16m?;52468yvg5l3:1>v3n2`8100=:i;31nk5rs`17>5<5s4k9m7<;4:?b63<5==1vl{ti=81<72`<5h9<6?<8;|qb04<72;q6m>8523589d502>l0q~o;3;296~;f;<099952a2;961252z?b7d<575bg9~wd232909w0o;f;?09995rs`1f>5<5s4k8n7<;6:?b7d?70o<7;060>{ti:l1<772234k8n7li;|qb03<72;q6m>m525689d5?2;??7p}n4183>7}:i:?1>?94=`15>2`87>52z?b0d<0n27j844=269~wd342909w0o;8;013>;f<00<6?;;;=70o;b;`e?xuf=?0;6?u2a5a9612<5h>36?;;;|qb15<72;q6m9j525489d2d2kl0q~o:7;296~;f=7>52z?b0`<5<<16m9j5bg9~wd3?2909w0o;e;070>;f5<5s4k?;7<=7:?b0=<0n2wxm;850;0x9d3d2>l01l;m:304?xuf><0;6?u2a4c9671<5h?i6:h4}rc53?6=:r7j944=559>e0b=:=>0q~o91;296~;f=l098;52a4f9fc=z{h<36=4={;f=l0ij6s|a7;94?4|5h?m6?:;;>70o:f;`e?xuf>h0;6?u2a729612<5h?h6?;;;|qb21<72;q6m87523589d3f2>l0q~o88;296~;f>l0e26=:=>01l8l:377?xuf?=0;6?u2a639610<5h=;6oh4}rc4f?6=:r7j;<4=459>e3b=:<>0q~o85;296~;f?;098852a639fc=z{h=h6=4={;f>j07403tyj444?:3y>e2c=:;=01l9i:6d8yvg?j3:1>v3n7e8111=:i1;1>9:4}rc;1?6=:r7j4?4=479>e=7=jo1vl6l:1818g?:38?863n7d8111=z{h2=6=4={27j4?4mf:pe=b=838p1l6<:367?8g0n38>86s|a9594?4|5h2?6?::;e=2=:=>01l6?:377?xuf010;6?u2a6f9671<5h=n6:h4}rc:g?6=:r7j5?48f:?b=4<5:>1vl7m:1818g>8389;63n9084b>{ti0n1<773334k2?7<;4:pe<1=838p1l7;:365?8g>;3hm7p}n9d83>7}:i0>1>9:4=`;3>7333tyj554?:3y>e<3=:=<01l7;:cd8yvg>n3:1>v3n948101=:i0;1>8:4}rc:=?6=:r7j5;4=449>e<3=jo1vlo?:1818g>>38?863n938111=z{h3j6=4={7}:ih81>?94=`c0>2`52z?be4<5==16ml;52568yvgf13:1>v3na78103=:ih?1nk5rs``3>5<5s4kj:7<;4:?be7<5==1vlon:1818gf?38?:63na78ab>{tik;1<772334kj?7<:4:pedd=838p1lo7:366?8gf?3hm7p}nb383>7}:ih21>9:4=`c7>7333tyjmn4?:3y>ed7=:;=01lo=:6d8yv?fm3:1>v36a584b>;>i:09>:5rs8cg>5<5s43j>7<=7:?:e6<0n2wx5lh50;0x9{t1h31<7721343j97li;|q:f5<72;q65l85256897}:1h=1>984=8c5>g`52z?:e2<5<=165l=52468yv?fj3:1>v36a98100=:1h=1nk5rs8`1>5<5s43j47<;4:?:e1<5==1v4ol:1818?f9389;636a384b>{t1j:1<72`<50h>6?<8;|q:fc<72;q65o:523589l0q~7l1;296~;>j:0999529c5961252z?:f=<5;>j=09995rs8``>5<5s43i57<;6:?:f=2;>?707m5;060>{t1kn1<7722343i57li;|q:g1<72;q65oo5256897}:1k91>?94=8`7>2`7>52z?:g=<0n272o:4=269~w;>k>06?;;;<;`=?43<2wx5nj50;0x9=707l9;`e?xu>l=0;6?u29bc9612<50i=6?;;;|q:g`<72;q65nl525489kk0989529b5960252z?:gf<5<<165nl5bg9~w;>k109995rs8f3>5<5s43h97<=7:?:g3<0n2wx5h:50;0x9l014j6:304?xu>m:0;6?u29e:9671<50n26:h4}r;f1?6=:r72h:4=559>=ad=:=>0q~7kf;296~;>lj098;529e`9fc=z{0o=6=4={<;gg?43<272h54=559~w;>lj0ij6s|9d594?4|50no6?:;;<;g=?42<2wx5h?50;0x9>707kd;`e?xu>m10;6?u29eg9612<50nj6?;;;|q:a7<72;q65i9523589l0q~7i6;296~;>mj06=4={<;fe?45?272io48f:p=c1=838p14k6:377?8?bl38?86s|9g394?4|50on6?:9;<;f`?da3ty2j54?:3y>=`c=:=>014kn:377?xu>n;0;6?u29dd9610<50on6oh4}r;e=?6=:r72ik4=459>=`d=:<>0q~7i3;296~;>n90988529dd9fc=z{0lj6=4={<;e4?43<272in4=559~w<`32909w07j9;013>;>mh07403tyje56=?o165kh52358yvg7j3:1>v3n018162=:1oi1;k5rs`2:>5<5s43mi7<=7:?:bc<0n2wxm=j50;0x9<`c2;??70o?1;070>{ti9?1<772134k;=7li;|qb4`<72;q6m=<525689<`b2;??7p}n0783>7}:i991>984=`21>g`52z?b46<5<=165kh52468yvg7?3:1>v3n058100=:i991nk5rs`33>5<5s4k;87<;4:?b45<5==1vl>7:1818?al389;636fd84b>{t?lh1<775f342;m7?k5:p3`e=838p1:kl:31b?8>7i3;o86s|80;94?5|51;<6?:;;<:26>3hm7p}8f483>7}:?o>1>9:4=6d3>g`53z?4ac3c7=jo1v:h7:18181bn38?;638f08101=z{>l26=4={<5e7?43<276s|7g494?3|5>l?6484=6d0><0<5>on6?=n;<5e4??134=m=779;|q;22<72;q6;n>522c89=6c28n=7p}76883>7}:?j81>>o4=931>4b13ty3:l4?:3y>3f5=::k015??:0f6?xu?>k0;6?u27b6966g<51;:67p}76e83>7}:?j<1>>o4=931>4b23ty3:h4?:3y>3f1=::k015??:0f0?xu?>o0;6?u27b:966g<51;:67}:?jk1>>o4=920>4b03ty3:54?:3y>3f7=::k015??:0f5?xu??:0;6ou280f966g<51?<6?:8;<:60?43?2739=4=469><1e=:==015:7:364?8>3<38?;637418102=:0:i1>994=91;>720342887<;7:p3g?=839p1:j::31b?81b13hm709j8;`e?xu0jm0;6>u280d93a=:08o1;i527df966g52z?;21c;00e>;?9m0:h<5rs6`1>5<5s42::7<;4:?;5g<>>2wx4:o50;5x9=2d2;>?706;d;3g6>;?9;0:h>5280295a4<51:86d39~w=1e290;?=80:h?5280295a2<51:86d39><47=9m90q~68c;297~;?==09895284795a4<51:86{t0>=1<7?={<5`5?7c=27d49>3f?=9m?01:m7:0f6?81d?3;o9638c782`0=:?j?1=i;4=6a7>4b234=h?7?k5:?4g7<6l<16;n>51e789=272;>?706;1;3g6>;?9:0:h?5280695a4<51:j6d59~w=1?2903w06;4;070>;?<<0:h?5280195a1<51:m6273d29><44=9m>015>m:0f7?xu??00;68u285:9612<51>26d39><5e=9m80q~684;2956}:?lh1=i=4=6g`>4b434=h=7?k2:?4gd<6l;16;n751e0892e?28n9709l7;3g6>;0k?0:h?527b795a4<5>i?6d39>3f6=9m8015=;:367?8>4=3;o>6371282`3=:08>1=i=4=92:>4b5342;97<:4:p<23=83;8w09jb;3g6>;0mj0:h?527b395a5<5>ij6d29>3f1=9m901:m9:0f0?81d=3;o?638c582`6=:?j91=i=4=6a1>4b434=h<7?k3:?;7=<5<=164>751e089=7428n?706>4;3g0>;?8h0:h;5281:95a5513y>3f7=9m>01:mn:0f7?81d13;o8638c982`1=:?j=1=i:4=6a5>4b334=h97?k4:?4g1<6l=16;n=51e6892e528n?709l0;3g0>;?;j09895282f95a4<51;86d29><50=9m<015>8:0f1?xu0j>0;6>u27e795a2<5>o26?:;;<:32?7c<2wx;o650;1x92cf2;>?709k5;3g6>;?8?0:h>5rs6`5>5<4s4=o97?k3:?4a=<5<=164=851e78yv1b83:1nv38d584`>;0l:0;0l80;0ko0;0km0;0kk0h86=4={<:2f?43<273<:4>d79~w2db2909w06>3;3g1>;0nl09895rs6`e>5<4s42:87?k6:?;45<5<=164=?51e08yv1b<3:1?v37648101=:0?<1=i<4=924>4b23ty<42=9m=01:k::367?81b>3;o>6s|7d394?4|51;n6?:;;<:32?7c?2wx;h<50;0x9=7a2;>?706?7;3g7>{t?m<1<772334=no7?k7:p3a1=838p1:ml:367?81bk3;o:6s|7e:94?4|5>io6?:;;<5fg?7c<2wx;i750;0x92eb2;>?709jc;3g1>{t?mk1<772334=nn7?k7:p3ad=838p1:j?:367?81bj3;o:6s|7ea94?4|5>n:6?:;;<5ff?7c<2wx;ij50;0x92b52;>?709jb;3g1>{t?mo1<7723342;57?k3:p3a`=838p1:j;:367?8>713;o86s|7c794?4|5>o<6?:;;<:21?7c<2wx;om50;6x92`d2;>?706>5;3g6>;0mm0:h?527gf95a455z?4bg<5<=16;km5bg9><43=9m901:kk:0f0?81al3;o?6s|7cc94?2|5>li6oh4=6ge>72334=m?79k;<5e7?da3ty3>44?:3y><62=jo164>8522c8yv>583:1?v373684b>;?;h0l015=8:304?xu?:k0;6?u282a9fc=:0:o1>>o4}r:16?6=;r73?k48f:?;07<0n273?o4=269~w=4d2909w06;0;`e?8>3:388m6s|83194?5|51>86:h4=965>2`<519m6?<8;|q;6a<72;q649:5bg9><10=::k0q~6=4;297~;?<>0;?<:09>:5rs90f>5<5s42?47li;<:7e?44i2wx4?;50;1x9=2e2>l015:j:6d89=202;8<7p}72g83>7}:0=i1nk5285g966g53z?;0c<0n2739?48f:?;0g<5:>1v5=?:1818>283hm706:2;00e>{t0;=1<7=t=970>2`<51?=6:h4=96e>7403ty3?<4?:3y><02=jo16488522c8yv>503:1>v375884b>;?=:09>:5rs911>5<5s42>;7li;<:6=?44i2wx48o50;1x9=522;9j706<3;060>;?;?09>;5rs97a>5<4s42857<o52348yv>2k3:1?v373e817d=:0:h1>8:4=91f>7413ty39i4?:2y><17=::k015=i:377?8>3:389:6s|84g94?5|51>>6?=n;<:77?42<2738;4=279~w=3a2908w06;9;00e>;?<>09995285c967053z?;0a<5;h1649l524689=2b2;8=7p}76083>6}:0<;1>>o4=96e>733342>>7<=6:p<34=839p15;::31b?8>2;38>8637578163=z{1<86=4={<:62`<5<;m6?<8;|q653<72;q69?:5bg9>170=::k0q~;?d;297~;2:>0;2::09>:5rs434>5<5s4?947li;<71e?44i2wx9=k50;1x904e2>l0187}:=;i1nk5253g966g53z?66c<0n27>??48f:?66g<5:>1v8?6:18183483hm70;<2;00e>{t=8:1<7=t=410>2`<5<9=6:h4=40e>7403ty>=l4?:3y>162=jo169>8522c8yv3693:1?v3:3684b>;2;h0l018=8:304?xu29j0;6?u252a9fc=:=:o1>>o4}r727?6=;r7>?k48f:?607<0n27>?o4=269~w07c2909w0;;0;`e?833:388m6s|50694?4|5<>>6:h4=41e>7403ty>=h4?:3y>115=jo1699;522c8yv33>3:1?v3:20817d=:=8l1>8:4=401>7413ty>8:4?:2y>173=::k018<<:377?835>389:6s|55:94?5|5<826?=n;<713?42<27>>l4=279~w02>2908w0;=d;00e>;2:k09995253g967053z?674<5;h169?h5246890552;8=7p}:4c83>6}:=:?1>>o4=410>73334?8:7<=6:p11e=839p18=6:31b?834?38>863:3`8163=z{<>o6=4<{<70`?44i27>?o4=559>16c=:;<0q~;;e;297~;2<809?l5252d9602<5<>96?<9;|q60c<72;q699:522c890222;8=7p}8b083>7}:?>l1nk527c2966g52z?4e`<6l<16;lh522c8yv1?13:15v387g8111=:?1:1>8:4=6:2>73334=3>7<:4:?4<6<5==16;5:5246892>22;??70976;060>;0il09?l5rs6:4>5<4s4=jj7?k2:?4e7<5<=16;l=5bg9~w2>?2909w09nf;3g7>;0im09895rs6c4>5<2s4=j9779;<5b0??134=2i7<>27m3;o>6s|7``94?4|5>k?6?:;;<5b6?da3ty3<`=:=>01:o;:6f892g32kl0q~9n9;296~;01o098:527`3961253z?4=c{t?0<1<7;t=6;7><0<5>386484=6:a>75f34=3i779;<5;`??13ty<5i4?:3y>3<4=:=>01:6m:0f1?xu01j0;6?u27829612<5>3:6oh4}r5:f?6=:r7<4k4=459>3<6=jo1v:7n:18181>;38?86388g8ab>{t?031<7=t=6:`>72334=2?79k;<5:7?da3ty<554?:3y>3=e=:==01:6j:367?xu01>0;6>u279a9fc=:?1o1nk5279f961252z?4=1<5<=16;5j5bg9~w3662909w0;ic;3g6>;2nl09895rs723>5<5s4?mh7li;<7ea?43>2wx:=<50;0x90`d28n870;id;070>{t=ol1<7g`<5?7p}93383>7}:>::1nk52623961052z?56c<6l:16:>>52568yv07?3:1>v390282`7=:>9?1>9:4}r432?6=:r7=<94mf:?540<57:181807;3;o?639058101=z{?826=4={<42g?7c:27=>?4=459~w3412909w08=1;`e?805:38?:6s|63c94?4|5?;h6:365?xu1:k0;6?u260f95a4<5?8;6?:;;|q56=<72;q6:276=:=<0q~8=c;296~;19m0:h>5260d961252z?55`<6l;16:v391d82`6=:>8n1>>o4}r411?6=:r7==o4mf:?55`<5;h1v;?8:181807i3;o>639118101=z{?;?6=4={<43b?da34<:<7<;6:p24>=838p1;>n:0f0?807n38?86s|60794?4|5?:n6oh4=72e>7213ty==44?:3y>25d=9m801;>j:367?xu19?0;6?u261f9fc=:>9o1>984}r42e?6=:r7=d29>25b=:=>0q~8>1;296~;18j0:h?5261c966g7>52z?54f<6l:16:=l522c8yv06;3:1>v39088ab>;18j09?l5rs71;>5>708?5;`e?xu0>k0;6ou26279612<5?l:6:j4=7gf>2b<5?oj6:j4=7g5>2b<5?o96:j4=7ff>2b<5?nj6:j4=7f5>2b<5?n96:j4=7af>2b52z?56a388m6s|76g94?4|5><<6?:;;<551c?=:=>018hn:cd8yv11l3:1>v386884`>;1;?09895rs653>5<5s4==m79k;<403?43<2wx;:l50;0x93512kl01;=8:365?xu1;h0;6?u277793a=:>;o1>9:4}r54e?6=:r7=?:4mf:?56`<5{t=o21<7:t=64:>72034==m7<;7:?420<5<>16:==522c8yv1003:1>v39058103=:=oo1nk5rs71`>516:lo5255893g12;><708n2;073>;11l098:5268c9611<5?3=6?:8;<4:6?43?27=4h4=469>2=g=:==0q~985;296~;1;<0ij639318103=z{>=?6=4={<43`?43>27=?<4mf:p325=838p1;??:cd8937a2;>=7p}93c83>f}:???1>9:4=72g>6c<5?:n6>k4=72e>6c<5?;;6>k4=73e>6c<5?8;6>k4=702>6c<5?896>k4=720>73334<9j7<:4:?56`<4m2wx;:?50;ax920f2;>?708?b;060>;18h09995260f9602<5?;h6?;;;<430?5b34<;97=j;<404?5b34<8=7=j;<7e`?5b34?mi7=j;<403?5b3ty<:h4?:4y>33?=:=>01;>l:377?806m38>863:fb8111=:>:<1?h5rs651>5<5s4<9>7li;<51`?43>2wx;;h50;0x920f2kl01:<7:304?xu0>j0;6?u277;9fc=:?8>1>?94}r40=?6=:r7<:84mf:?445<5:>1v;l6:18180fm388m639ag8163=z{?h36=4<{<4bf?44i27=m44=559>2de=:;<0q~8m7;297~;1i>09?l526`79602<5?k36?<9;|q5f3<72:q6:l=522c893g62;??708n4;012>{t>k?1<7=t=7;e>75f34<2h7<:4:?5e5<5:?1v;l;:18080>j388m639988111=:>0i1>?84}r4a7?6=;r7=5:4=3`9>2<3=:<>01;77:305?xu1j;0;6>u2681966g<5?3:6?;;;<4:0?45>2wx:o?50;1x93>a2;9j7087d;060>;11909>;5rs7`3>5<4s4<3n7<v39ae8ab>;1io09?l5rs75f>5<5s4u26`;93c=:>hi1;k526`7967152z?5e3{t>1?1<7g`<5?k?6?=n;|q53g<72:q6:l?57g9>2d2=?o16:4j52358yv0?<3:1>v399d8ab>;1i909?l5rs75b>5<4s4<2h79i;<4b4?1a34<257<=7:p2=5=838p1;7n:cd893?d2;9j7p}97883>6}:>031;k5268a93c=:>0?1>?94}r4;6?6=:r7=5;4mf:?5==<5;h1v;97:18080>=3=m70868;5e?80>9389;6s|69394?4|5?396oh4=7;7>75f3ty=;:4?:2y>2<7=?o16:4:57g9>2=b=:;=0q~870;296~;10l0ij63991817d=z{?==6=4<{<4;`?1a34<2<79i;<4;=?45?2wx::h50;0x93>f2kl01;6l:31b?xu1nm0;6?u26g0966g<5?l86?<9;|q5bf<72:q6:hh522c893cc2;??708i0;012>{t>oh1<7=t=7ga>75f34l21>?84}r4e=?6=;r7=i>4=3`9>2`7=:<>01;k;:305?xu1n10;6>u26ed966g<5?no6?;;;<4f4?45>2wx:k950;1x93be2;9j708k9;060>;1lj09>;5rs7d5>5<4s4m;1>8:4=7f7>7413ty=j94?:2y>2f`=::k01;mk:377?80c8389:6s|6ba94?4|5?l:6oh4=7d0>75f3ty=o?4?:3y>2c5=?o16:hj52358yv0dj3:1>v39ed8ab>;1n909?l5rs7a2>5<4s46}:>l31;k526da93c=:>l?1>?94}r4`=?6=:r7=i;4mf:?5a=<5;h1v;li:18080b=3=m708j8;5e?80b9389;6s|6b:94?4|5?o96oh4=7g7>75f3ty=nh4?:2y>2`7=?o16:h:57g9>2ab=:;=0q~8l7;296~;1ll0ij639e1817d=z{?ho6=4<{<4g`?1a34u26e;93c=:>mi1;k526e7967152z?5`3{t>j>1<7g`<5?n?6?=n;|q5fd<72:q6:i?57g9>2a2=?o16:nj52358yv0d;3:1>v39cd8ab>;1l909?l5rs765>5<5s4<<97li;<440?44i2wx:9;50;0x93152kl01;9>:31b?xu1<=0;6?u267d9fc=:>?o1>>o4}r477?6=:r7=:n4mf:?52g<5;h1v;:=:18180113hm70898;00e>{t>=;1<7g`<5?<>6?=n;|q505<72;q6:;=5bg9>234=::k0q~890ij6395g817d=z{?9n6=4={<46`?da34<>o7<2;9j7p}84183>7}:>ol1>>o4=613>g`52z?5b`<5;h16;;0810ij6s|75594?4|5?>o6?:;;<463?da3ty<8;4?:3y>21e=:=>01;:k:cd8yv13=3:1>v394c8101=:>=i1nk5rs667>5<5s42;>?708;a;`e?xu0<;0;6?u265:9612<5?>26oh4}r575?6=;r7=9;4=459>21>=jo16:::51e68yv1283:1?v39548101=:><<1nk5266395a253z?511<5<=16:8;5bg9>23c=9m>0q~9;e;297~;1=:0989526469fc=:>?h1=i:4}r57`?6=;r7=9?4=459>205=jo16:;651e68yv13k3:1?v39508101=:><81nk5267795a253z?515<5<=16:8?5bg9>234=9m>0q~9;a;297~;121`=jo16:8m51e68yv1303:1?v39468101=:>=o1nk5264;95a252z?5bc<6l;16;<752548yv14l3:1>v39fd82`7=:?9?1>984}r550?6=d29>2db=:=>01;oj:0f1?817>39n7p}86283>1}:>>;1=i=4=7cb>72334;1i>0:h?5271497g=z{><:6=4;{<45f?7c;27=m?4=459>2d5=9m801:>9:2c8yv1183:18v396982`6=:>0o1>9:4=7;e>4b534=;:7=6;|q41c<72=q6:;;51e1893?f2;>?7086b;3g6>;08<08i6s|74g94?2|5?<96d39>353=;j1v:;k:187802n3;o?639938101=:>091=i<4=626>6do7>54z?51f<6l:16:5k5256893>a28n9709?5;1b?xu0=k0;69u264;95a5<5?2j6?:;;<4;f?7c:27<<84<9:p30g=838p1;9::367?800;3hm7p}85883>7}:>>81>9:4=753>g`47>52z?52c<5<=16:;j5bg9~w2302909w089c;070>;1>h0ij6s|74494?4|5?<26?:;;<453?da3ty<984?:3y>230=:=>01;8;:cd8yv12<3:1>v39628101=:>?;1nk5rs670>5<5s4<=<7<;4:?51`?708:b;`e?xu0=80;6?u264c9612<5??36oh4}r500?6=:r7<>l48f:?46<<5:>1v:=::181815j3=m709=a;013>{t?:<1<72`<5>8i6?<8;|q472<72;q6;?m52358924?2>l0q~9<8;296~;0:m09895273;960252z?46`<52909w09=e;070>;0:h09995rs611>5<5s4=9j7<;6:?46`o50;0x924a2;>?709=b;060>{t?:91<772234=9j7li;|q47g<72;q6;>>52568924d2;??7p}82183>7}:?8<1;k52707967152z?452<0n27<=;4=269~w2452909w09>8;5e?816?389;6s|73194?4|5>;36?<8;<520?1a3ty<>94?:3y>34?=:=>01:?::377?xu09m0;6?u270c9610<5>;26oh4}r511?6=:r7<=l4=459>340=:<>0q~9>e;296~;09k098;5270c9fc=z{>8=6=4={<52f?43<27<=:4=559~w27a2909w09>c;071>;09k0ij6s|73594?4|5>;h6?:;;<52l01:>>:304?xu08m0;6?u271193c=:?981>?94}r53a?6=:r7<<948f:?446<5:>1v:>i:181817<389;6380184b>{t?8:1<772334=;=7<:4:p35?=838p1:>9:365?817=3hm7p}81083>7}:?9<1>9:4=621>7333ty<351=:=<01:>9:cd8yv16:3:1>v38068101=:?991>8:4}r53f?6=:r7<<54=449>351=jo1v:?<:181817038?8638058111=z{==86=4={<64g?da34>52>l0199m:304?xu3?=0;6?u24929fc=:<181>>o4}r65`?6=;r7?4>48f:?7<3<0n27?;k4=269~w1122909w0:74;`e?82?>388m6s|47g94?5|5=2<6:h4=5:b>2`<5=286?<8;|q733<72;q68565bg9>0=g=::k0q~:9f;297~;30k0;30>09>:5rs554>5<5s4>3o7li;<6;a?44i2wx8:>50;1x91>a2>l0197=:6d891>e2;8<7p};7983>7}:<0:1nk52480966g<=7>53z?7=6<0n27?5;48f:?71v996:18182><3hm70:66;00e>{t<>81<72`<5=386?<8;|q73d<72;q68495bg9>0{t<0i1<7=t=5:6>75f34>3?7<:4:?7<3<5:?1v97k:18082?1388m63;868111=:<1k1>?84}r6:a?6=;r7?4i4=3`9>0=d=:<>0196j:305?xu31o0;6>u2483966g<5=2m6?;;;<6:6?45>2wx8l>50;1x91?22;9j70:63;060>;31?09>;5rs5c2>5<5s4>247<:94>d79~w0052909w0;92;00e>;2>=0:h85rs440>5<5s4?=?7<=:1818>7;388m6370g82`1=z{1:86=4={<:3g?44i273d59~w=6d2909w06?d;00e>;?8o0:h;5rs92f>5<5s42;n7?k7:?;4c<5;h1v5>i:1818>6:388m6370982`2=z{1;96=4={<:27?44i273<54>d59~w=742909w06>4;00e>;?810:h85rs927>5<5s42;97<::1818>70388m6370c82`3=z{1:36=4={<:3=?44i273d69~w=6>2909w06?b;3g1>;?8h09?l5rs6de>5<4mlq6;kk5bg9>`<0=::i01569:2789=>12:<01569:2:89=>62:?0156>:2489=>62:201?k9:26897c12:?01?k9:24896532:>01>=;:27896532:<01>:n:268962f2:?01>:n:24896072:>01>8?:27896072:<01>99:26896112:?01>99:24896>d2:>01>6l:27896>d2:<01>o=:26896g52:?01>o=:24896d?2:>01>l7:27896d?2:<01>mj:26896eb2:?01>mj:24896c32:>01>k;:27896c32:<01?hl:26897`d2:?01?hl:24896752:>01>?=:27896752:<01><;:26896432:?01><;:24896412:>01><9:27896412:<01><7:268964?2:?01><7:248964f2:>01>01>=?:26896572:?01>=?:24896552:>01>==:27896552:<01>=9:26896512:?01>=9:248965?2:>01>=7:278965?2:<01>=n:268965f2:?01>=n:248965d2:>01>=l:278965d2:<01>=j:268965b2:?01>=j:24896272:>01>:?:27896272:<01>:=:26896252:?01>:=:24896232:>01>:;:27896232:<01>:9:26896212:?01>:9:248962?2:>01>:7:278962?2:<01>:l:268962d2:?01>:l:248962b2:>01>:j:278962b2:<01>;?:26896372:?01>;?:24896352:>01>;=:27896352:<01>;;:26896332:?01>;;:24896312:>01>;9:27896312:<01>;7:268963?2:?01>;7:248963f2:>01>;n:278963f2:<01>;l:268963d2:?01>;l:248963b2:>01>;j:278963b2:<01>8=:26896052:?01>8=:24896032:>01>8;:27896032:<01>89:26896012:?01>89:248960?2:>01>87:278960?2:<01>8n:268960f2:?01>8n:248960d2:>01>8l:278960d2:<01>8j:268960b2:?01>8j:24896172:>01>9?:27896172:<01>9=:26896152:?01>9=:24896132:>01>9;:27896132:<01>97:268961?2:?01>97:248961f2:>01>9n:278961f2:<01>9l:268961d2:?01>9l:248961b2:>01>9j:278961b2:<01>6?:26896>72:?01>6?:24896>52:>01>6=:27896>52:<01>6;:26896>32:?01>6;:24896>12:>01>69:27896>12:<01>67:26896>?2:?01>67:24896>f2:>01>6n:27896>f2:<01>6j:26896>b2:?01>6j:24896?72:>01>7?:27896?72:<01>7=:26896?52:?01>7=:24896?32:>01>7;:27896?32:<01>79:26896?12:?01>79:24896??2:>01>77:27896??2:<01>7n:26896?f2:?01>7n:24896?d2:>01>7l:27896?d2:<01>7j:26896?b2:?01>7j:24896g72:>01>o?:27896g72:<01>o;:26896g32:?01>o;:24896g12:>01>o9:27896g12:<01>o7:26896g?2:?01>o7:24896gf2:>01>on:27896gf2:<01>ol:26896gd2:?01>ol:24896gb2:>01>oj:27896gb2:<01>l?:26896d72:?01>l?:24896d52:>01>l=:27896d52:<01>l;:26896d32:?01>l;:24896d12:>01>l9:27896d12:<01>ln:26896df2:?01>ln:24896dd2:>01>ll:27896dd2:<01>lj:26896db2:?01>lj:24896e72:>01>m?:27896e72:<01>m=:26896e52:?01>m=:24896e32:>01>m;:27896e32:<01>m9:26896e12:?01>m9:24896e?2:>01>m7:27896e?2:<01>mn:26896ef2:?01>mn:24896ed2:>01>ml:27896ed2:<01>j?:26896b72:?01>j?:24896b52:>01>j=:27896b52:<01>j;:26896b32:?01>j;:24896b12:>01>j9:27896b12:<01>j7:26896b?2:?01>j7:24896bf2:>01>jn:27896bf2:<01>jl:26896bd2:?01>jl:24896bb2:>01>jj:27896bb2:<01>k?:26896c72:?01>k?:24896c52:>01>k=:27896c52:<01?k7:26897c?2:?01?k7:24897cf2:>01?kn:27897cf2:<01?kl:26897cd2:?01?kl:24897cb2:>01?kj:27897cb2:<01?h?:26897`72:?01?h?:24897`52:>01?h=:27897`52:<01?h;:26897`32:?01?h;:24897`12:>01?h9:27897`12:<01?h7:26897`?2:?01?h7:24897`f2:>01?hn:27897`f2:<01?hj:26897`b2:?01?hj:24896672:>01>>?:27896672:<01>>=:26896652:?01>>=:24896632:>01>>;:27896632:<01>>9:26896612:?01>>9:248966?2:>01>>7:278966?2:<01>>n:268966f2:?01>>n:248966d2:>01>>l:278966d2:<01>>j:268966b2:?01>>j:24896772:>01>??:27896772:<01>?;:26896732:?01>?;:24896712:>01>?9:27896712:<01>?7:268967?2:?01>?7:248967f2:>01>?n:278967f2:<01>?l:268967d2:?01>?l:248967b2:>01>?j:278967b2:<01>01><=:27896452:<0147::2g89a2>l01l?8:2g89d712:o01l?::2g89d4>2:o01l<7:2g89d402:o01l=m:2g89d5f2:o01l=6:2g89d2c2:o01l:l:2g89d2e2:o01l;i:2g89d3b2:o01l;k:2g89d162:o01l9?:2g89d0a2:o01l6<:2g89d>52:o01l6>:2g89d?22:o01l7;:2g89d?42:o01lo8:2g89dg12:o01lo::2g892:o014jk:2g89<:2g89d652:o01l>>:2g89<`d2;??709ib;5g?81ak3=o709j7;5g?81bi3hm70694;5g?81a:3=o706>7;5g?8>603=o706:7;5g?8>2<3=o706:0;5g?8>3k3=o706;8;5g?8>3<3=o706;0;5g?8>4k3=o706<8;5g?8>4<3=o706<3;5e?8>4>3=m70;>f;5e?835:3=m709nf;3g0>;0i;0;00o0;0180;1=>08863956801>;1=>08:6395680<>;1km0;1000;0:o0856382g80e>;0:o08n6382g80g>;0:o08i63831800>;0;908963831802>;0;90846382884b>;0:109995270`97<=:?8h1?l5270`97g=:?8h1?n5270`97`=:?8i1?95270a970=:?8i1?;5270a97==:?8?1;k527069602<5>:<6>74=624>6g<5>:<6>l4=624>6e<5>:<6>k4=62;>62<5>:36>;4=62;>60<5>:36>64=622>2`<5>:;6?;;;<64f?1a34>h<7;;;<6`4?3434>h<7:j;<6`4?1634>h<79?;<6`4?0e34>h<7kl;<6`4?cf34>h<7k6;<6`4?c?34>h<7k8;<6`4?c134>h<7h:;<6`4?`334>h<7h<;<6`4?`534>h<7h>;<6`4?`734>h<7ki;<6`4?cb34>h<7kk;<6`4?c234>h<7?>e:?7g5<69j168n>510`891e728;j70:l0;32=>;3k90:=5524b29571<5=i;6<<9;<6`4?75n27?o=4>2e9>0f6=9:=019m?:0`6?82d838?n63;c1810a=:524``9`7=:46c34>jn7??b:?7eg<68h168ll511;891ge28:370:nb;333>;3ik0:<;524``9553<5=ki6<>;;<6bf?77;27?mo4>039>0dd=99:019om:gd891ge2oo019om:gf891ge288h70:nb;31e>;3ik0:>4524``957><5=ki6<=;;<6bf?74:27?mo4>b59>0dd=:=h019om:36`?82fm3><70:ne;65?82fm3>>70:ne;1e?82fm370:ne;f7?82fm3n870:ne;f1?82fm3n:70:ne;f3?82fm3in70:ne;ag?82fm3ih70:ne;aa?82fm3ij70:ne;a:?82fm3i370:ne;a4?82fm3i=70:ne;a6?82fm3o?70:ne;g0?82fm3o970:ne;g2?82fm3o;70:ne;fe?82fm3nn70:ne;fb?82fm3im70:ne;a7?82fm3;;j63;ad824a=:46f34>ji7??9:?7e`<681168lk5115891gb28:=70:ne;331>;3il0:<9524`g9555<5=kn6<>=;<6ba?77827?mh4if:?7e`;3il0:?9524`g9564<5=kn60g7=<>168o?5479>0g7=<<168o?53g9>0g7=>=168o?5629>0g7=>;168o?55b9>0g7=lm168o?5dc9>0g7=l0168o?5d99>0g7=l>168o?5d79>0g7=l<168o?5d59>0g7=l:168o?5d39>0g7=l8168o?5d19>0g7=kl168o?5ce9>0g7=kj168o?5cc9>0g7=kh168o?5c89>0g7=k1168o?5c69>0g7=k?168o?5c49>0g7=m=168o?5e29>0g7=m;168o?5e09>0g7=m9168o?5dg9>0g7=ll168o?5d`9>0g7=ko168o?5c59>0g7=99l019l>:02g?82e93;;n63;b0824d=:46?34>i=7??7:?7f4<68?168o?5117891d628:?70:m1;337>;3j80:cc<5=h:6kj4=5`2>44d34>i=7?=a:?7f4<6:0168o?513:891d6289?70:m1;306>;3j80:n9524c3961d<5=h:6?:l;<6a0?2034>i87:9;<6a0?2234>i87=i;<6a0?0334>i878<;<6a0?0534>i87;l;<6a0?bc34>i87jm;<6a0?b>34>i87j7;<6a0?b034>i87j9;<6a0?b234>i87j;;<6a0?b434>i87j=;<6a0?b634>i87j?;<6a0?eb34>i87mk;<6a0?ed34>i87mm;<6a0?ef34>i87m6;<6a0?e?34>i87m8;<6a0?e134>i87m:;<6a0?c334>i87k<;<6a0?c534>i87k>;<6a0?c734>i87ji;<6a0?bb34>i87jn;<6a0?ea34>i87m;;<6a0?77n27?n94>0e9>0g2=99h019l;:02b?82e<3;;563;b5824==:1==94=5`7>46134>i87??5:?7f1<68=168o:5111891d328:970:m4;334>;3j=0mj63;b58ea>;3j=0mh63;b5826f=:1=?o4=5`7>44>34>i87?=8:?7f1<6;=168o:5120891d328h?70:m4;07f>;3j=098n524c5902=:524c5927=:524c59a7=:k;<6a3?77j27?n:4>0`9>0g1=993019l8:02;?82e?3;;;63;b68243=:46334>i;7??3:?7f2<68;168o95112891d02ol019l8:gg891d02on019l8:00`?82e?3;9m63;b6826<=:45334>i;7?<2:?7f2<6j=168o9525`891d02;>h70:ma;64?82ei3>=70:ma;66?82ei39m70:ma;47?82ei3<870:ma;41?82ei3?h70:ma;fg?82ei3ni70:ma;f:?82ei3n370:ma;f4?82ei3n=70:ma;f6?82ei3n?70:ma;f0?82ei3n970:ma;f2?82ei3n;70:ma;af?82ei3io70:ma;a`?82ei3ii70:ma;ab?82ei3i270:ma;a;?82ei3i<70:ma;a5?82ei3i>70:ma;g7?82ei3o870:ma;g1?82ei3o:70:ma;g3?82ei3nm70:ma;ff?82ei3nj70:ma;ae?82ei3i?70:ma;33b>;3jh0:n;<6ae?77127?nl4>099>0gg=99=019ln:025?82ei3;;963;b`8241=:46534>im7??0:?7fd2b9>0gg=9;k019ln:00:?82ei3;9463;b`8271=:<4=5`b>4d334>im7<;b:?7fd<50gb=0gb=;o168oj5659>0gb=>:168oj5639>0gb==j168oj5de9>0gb=lk168oj5d89>0gb=l1168oj5d69>0gb=l?168oj5d49>0gb=l=168oj5d29>0gb=l;168oj5d09>0gb=l9168oj5cd9>0gb=km168oj5cb9>0gb=kk168oj5c`9>0gb=k0168oj5c99>0gb=k>168oj5c79>0gb=k<168oj5e59>0gb=m:168oj5e39>0gb=m8168oj5e19>0gb=lo168oj5dd9>0gb=lh168oj5cg9>0gb=k=168oj511d891dc28:o70:md;33f>;3jm0:7;<6a`?77?27?ni4>079>0gb=99?019lk:027?82el3;;?63;be8247=:4=5`g>c`<5=ho6kk4=5`g>cb<5=ho6<289>0gb=9;2019lk:017?82el3;8>63;be82f1=:9l4=5`g>72d3ty3<<4?:3y><57=::k015>?:cd8yv>1>3:1>v3767817d=:0??1nk5rs6g5>5<5s4=n:7<>:50;0x9=772;9j706?f;3g3>{t::?1<775f342;j7?k5:p660=838p15>9:31b?8>7=3;o>6s|22594?4|51:<6?=n;<:31?7c;2wvb??jf;295~Nc?k1vb??i0;295~Nc?k1vb??i1;295~Nc?k1vb??i2;295~Nc?k1vb??i3;295~Nc?k1vb??i4;295~Nc?k1vb??i5;295~Nc?k1vb??i6;295~Nc?k1vb??i7;295~Nc?k1vb??i8;295~Nc?k1vb??i9;295~Nc?k1vb??ia;295~Nc?k1vb??ib;295~Nc?k1vb??ic;295~Nc?k1vb??id;295~Nc?k1vb??ie;295~Nc?k1vb??if;295~Nc?k1vb?0;295~Nc?k1vb?<>1;295~Nc?k1vb?<>2;295~Nc?k1vb?<>3;295~Nc?k1vb?<>4;295~Nc?k1vb?<>5;295~Nc?k1vb?<>6;295~Nc?k1vb?<>7;295~Nc?k1vb?<>8;295~Nc?k1vb?<>9;295~Nc?k1vb?<>a;295~Nc?k1vb?<>b;295~Nc?k1vb?<>c;295~Nc?k1vb?<>d;295~Nc?k1vb?<>e;295~Nc?k1vb?<>f;295~Nc?k1vb?<=0;295~Nc?k1vb?<=1;295~Nc?k1vb?<=2;295~Nc?k1vb?<=3;295~Nc?k1vb?<=4;295~Nc?k1vb?<=5;295~Nc?k1vb?<=6;295~Nc?k1vb?<=7;295~Nc?k1vb?<=8;295~Nc?k1vb?<=9;295~Nc?k1vb?<=a;295~Nc?k1vb?<=b;295~Nc?k1vb?<=c;295~Nc?k1vb?<=d;295~Nc?k1vb?<=e;295~Nc?k1vb?<=f;295~Nc?k1vb?<<0;295~Nc?k1vb?<<1;295~Nc?k1vb?<<2;295~Nc?k1vb?<<3;295~Nc?k1vb?<<4;295~Nc?k1vb?<<5;295~Nc?k1vb?<<6;295~Nc?k1vb?<<7;295~Nc?k1vb?<<8;295~Nc?k1vb?<<9;295~Nc?k1vb?<0;295~Nc?k1vb?=>1;295~Nc?k1vb?=>2;295~Nc?k1vb?=>3;295~Nc?k1vb?=>4;295~Nc?k1vb?=>5;295~Nc?k1vb?=>6;295~Nc?k1vb?=>7;295~Nc?k1vb?=>8;295~Nc?k1vb?=>9;295~Nc?k1vb?=>a;295~Nc?k1vb?=>b;295~Nc?k1vb?=>c;295~Nc?k1vb?=>d;295~Nc?k1vb?=>e;295~Nc?k1vb?=>f;295~Nc?k1vb?==0;295~Nc?k1vb?==1;295~Nc?k1vb?==2;295~Nc?k1vb?==3;295~Nc?k1vb?==4;295~Nc?k1vb?==5;295~Nc?k1vb?==6;295~Nc?k1vb?==7;295~Nc?k1vb?==8;295~Nc?k1vb?==9;295~Nc?k1vb?==a;295~Nc?k1vb?==b;295~Nc?k1vb?==c;295~Nc?k1vb?==d;295~Nc?k1vb?==e;295~Nc?k1vb?==f;295~Nc?k1vb?=<0;295~Nc?k1vb?=<1;295~Nc?k1vb?=<2;295~Nc?k1vb?=<3;295~Nc?k1vb?=<4;295~Nc?k1vb?=<5;295~Nc?k1vb?=<6;295~Nc?k1vb?=<7;295~Nc?k1vb?=<8;295~Nc?k1vb?=<9;295~Nc?k1vb?=0;295~Nc?k1vb?:>1;295~Nc?k1vb?:>2;295~Nc?k1vb?:>3;295~Nc?k1vb?:>4;295~Nc?k1vb?:>5;295~Nc?k1vb?:>6;295~Nc?k1vb?:>7;295~Nc?k1vb?:>8;295~Nc?k1vb?:>9;295~Nc?k1vb?:>a;295~Nc?k1vb?:>b;295~Nc?k1vb?:>c;295~Nc?k1vb?:>d;295~Nc?k1vb?:>e;295~Nc?k1vb?:>f;295~Nc?k1vb?:=0;295~Nc?k1vb?:=1;295~Nc?k1vb?:=2;295~Nc?k1vb?:=3;295~Nc?k1vb?:=4;295~Nc?k1vb?:=5;295~Nc?k1vb?:=6;295~Nc?k1vb?:=7;295~Nc?k1vb?:=8;295~Nc?k1vb?:=9;295~Nc?k1vb?:=a;295~Nc?k1vb?:=b;295~Nc?k1vb?:=c;295~Nc?k1vb?:=d;295~Nc?k1vb?:=e;295~Nc?k1vb?:=f;295~Nc?k1vb?:<0;295~Nc?k1vb?:<1;295~Nc?k1vb?:<2;295~Nc?k1vb?:<3;295~Nc?k1vb?:<4;295~Nc?k1vb?:<5;295~Nc?k1vb?:<6;295~Nc?k1vb?:<7;295~Nc?k1vb?:<8;295~Nc?k1vb?:<9;295~Nc?k1vb?:0;295~Nc?k1vb?;>1;295~Nc?k1vb?;>2;295~Nc?k1vb?;>3;295~Nc?k1vb?;>4;295~Nc?k1vb?;>5;295~Nc?k1vb?;>6;295~Nc?k1vb?;>7;295~Nc?k1vb?;>8;295~Nc?k1vb?;>9;295~Nc?k1vb?;>a;295~Nc?k1vb?;>b;295~Nc?k1vb?;>c;295~Nc?k1vb?;>d;295~Nc?k1vb?;>e;295~Nc?k1vb?;>f;295~Nc?k1vb?;=0;295~Nc?k1vb?;=1;295~Nc?k1vb?;=2;295~Nc?k1vb?;=3;295~Nc?k1vb?;=4;295~Nc?k1vb?;=5;295~Nc?k1vb?;=6;295~Nc?k1vb?;=7;295~Nc?k1vb?;=8;295~Nc?k1vb?;=9;295~Nc?k1vb?;=a;295~Nc?k1vb?;=b;295~Nc?k1vb?;=c;295~Nc?k1vb?;=d;295~Nc?k1vb?;=e;295~Nc?k1vb?;=f;295~Nc?k1vb?;<0;295~Nc?k1vb?;<1;295~Nc?k1vb?;<2;295~Nc?k1vb?;<3;295~Nc?k1vb?;<4;295~Nc?k1vb?;<5;295~Nc?k1vb?;<6;295~Nc?k1vb?;<7;295~Nc?k1vb?;<8;295~Nc?k1vb?;<9;295~Nc?k1vb?;0;295~Nc?k1vb?8>1;295~Nc?k1vb?8>2;295~Nc?k1vb?8>3;295~Nc?k1vb?8>4;295~Nc?k1vb?8>5;295~Nc?k1vb?8>6;295~Nc?k1vb?8>7;295~Nc?k1vb?8>8;295~Nc?k1vb?8>9;295~Nc?k1vb?8>a;295~Nc?k1vb?8>b;295~Nc?k1vb?8>c;295~Nc?k1vb?8>d;295~Nc?k1vb?8>e;295~Nc?k1vb?8>f;295~Nc?k1vb?8=0;295~Nc?k1vb?8=1;295~Nc?k1vb?8=2;295~Nc?k1vb?8=3;295~Nc?k1vb?8=4;295~Nc?k1vb?8=5;295~Nc?k1vb?8=6;295~Nc?k1vb?8=7;295~Nc?k1vb?8=8;295~Nc?k1vb?8=9;295~Nc?k1vb?8=a;295~Nc?k1vb?8=b;295~Nc?k1vb?8=c;295~Nc?k1vb?8=d;295~Nc?k1vb?8=e;295~Nc?k1vb?8=f;295~Nc?k1vb?8<0;295~Nc?k1vb?8<1;295~Nc?k1vb?8<2;295~Nc?k1vb?8<3;295~Nc?k1vb?8<4;295~Nc?k1vb?8<5;295~Nc?k1vb?8<6;295~Nc?k1vb?8<7;295~Nc?k1vb?8<8;295~Nc?k1vb?8<9;295~Nc?k1vb?80;295~Nc?k1vb?9>1;295~Nc?k1vb?9>2;295~Nc?k1vb?9>3;295~Nc?k1vb?9>4;295~Nc?k1vb?9>5;295~Nc?k1vb?9>6;295~Nc?k1vb?9>7;295~Nc?k1vb?9>8;295~Nc?k1vb?9>9;295~Nc?k1vb?9>a;295~Nc?k1vb?9>b;295~Nc?k1vb?9>c;295~Nc?k1vb?9>d;295~Nc?k1vb?9>e;295~Nc?k1vb?9>f;295~Nc?k1vb?9=0;295~Nc?k1vb?9=1;295~Nc?k1vb?9=2;295~Nc?k1vb?9=3;295~Nc?k1vb?9=4;295~Nc?k1vb?9=5;295~Nc?k1vb?9=6;295~Nc?k1vb?9=7;295~Nc?k1vb?9=8;295~Nc?k1vb?9=9;295~Nc?k1vb?9=a;295~Nc?k1vb?9=b;295~Nc?k1vb?9=c;295~Nc?k1vb?9=d;295~Nc?k1vb?9=e;295~Nc?k1vb?9=f;295~Nc?k1vb?9<0;295~Nc?k1vb?9<1;295~Nc?k1vb?9<2;295~Nc?k1vb?9<3;295~Nc?k1vb?9<4;295~Nc?k1vb?9<5;295~Nc?k1vb?9<6;295~Nc?k1vb?9<7;295~Nc?k1vb?9<8;295~Nc?k1vb?9<9;295~Nc?k1vb?90;295~Nc?k1vb?6>1;295~Nc?k1vb?6>2;295~Nc?k1vb?6>3;295~Nc?k1vb?6>4;295~Nc?k1vb?6>5;295~Nc?k1vb?6>6;295~Nc?k1vb?6>7;295~Nc?k1vb?6>8;295~Nc?k1vb?6>9;295~Nc?k1vb?6>a;295~Nc?k1vb?6>b;295~Nc?k1vb?6>c;295~Nc?k1vb?6>d;295~Nc?k1vb?6>e;295~Nc?k1vb?6>f;295~Nc?k1vb?6=0;295~Nc?k1vb?6=1;295~Nc?k1vb?6=2;295~Nc?k1vb?6=3;295~Nc?k1vb?6=4;295~Nc?k1vb?6=5;295~Nc?k1vb?6=6;295~Nc?k1vb?6=7;295~Nc?k1vb?6=8;295~Nc?k1vb?6=9;295~Nc?k1vb?6=a;295~Nc?k1vb?6=b;295~Nc?k1vb?6=c;295~Nc?k1vb?6=d;295~Nc?k1vb?6=e;295~Nc?k1vb?6=f;295~Nc?k1vb?6<0;295~Nc?k1vb?6<1;295~Nc?k1vb?6<2;295~Nc?k1vb?6<3;295~Nc?k1vb?6<4;295~Nc?k1vb?6<5;295~Nc?k1vb?6<6;295~Nc?k1vb?6<7;295~Nc?k1vb?6<8;295~Nc?k1vb?6<9;295~Nc?k1vb?6jr@A@x4xFGXrwKL \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf new file mode 100644 index 000000000..c94e639df --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.ucf @@ -0,0 +1,15 @@ +# +# Clock constraints +# +NET "CLK" TNM_NET = D_CLK ; +INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK; +TIMESPEC TS_D2_TO_T2_chipscope_ila = FROM D2_CLK TO "FFS" TIG; +TIMESPEC TS_J2_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J3_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; +TIMESPEC TS_J4_TO_D2_chipscope_ila = FROM "FFS" TO D2_CLK TIG; + +# +# Input keep/save net constraints +# +NET "TRIG0<*" S; +NET "TRIG0<*" KEEP; diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v new file mode 100644 index 000000000..e231eaf35 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.v @@ -0,0 +1,31 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.v +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: Verilog Synthesis Wrapper +/////////////////////////////////////////////////////////////////////////////// +// This wrapper is used to integrate with Project Navigator and PlanAhead + +`timescale 1ns/1ps + +module chipscope_ila( + CONTROL, + CLK, + TRIG0) /* synthesis syn_black_box syn_noprune=1 */; + + +inout [35 : 0] CONTROL; +input CLK; +input [127 : 0] TRIG0; + +endmodule diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo new file mode 100644 index 000000000..4c4ce1250 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.veo @@ -0,0 +1,30 @@ +/////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 2015 Xilinx, Inc. +// All Rights Reserved +/////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor : Xilinx +// \ \ \/ Version : 14.7 +// \ \ Application: Xilinx CORE Generator +// / / Filename : chipscope_ila.veo +// /___/ /\ Timestamp : Tue Jun 30 11:48:11 PDT 2015 +// \ \ / \ +// \___\/\___\ +// +// Design Name: ISE Instantiation template +/////////////////////////////////////////////////////////////////////////////// + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +chipscope_ila YourInstanceName ( + .CONTROL(CONTROL), // INOUT BUS [35:0] + .CLK(CLK), // IN + .TRIG0(TRIG0) // IN BUS [127:0] +); + +// INST_TAG_END ------ End INSTANTIATION Template --------- + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco new file mode 100644 index 000000000..823a5cccf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xco @@ -0,0 +1,141 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 30 18:46:21 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:chipscope_ila:1.05.a +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = csg484 +SET removerpms = false +SET simulationfiles = Structural +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT ILA_(ChipScope_Pro_-_Integrated_Logic_Analyzer) family Xilinx,_Inc. 1.05.a +# END Select +# BEGIN Parameters +CSET check_bramcount=false +CSET component_name=chipscope_ila +CSET constraint_type=external +CSET counter_width_1=Disabled +CSET counter_width_10=Disabled +CSET counter_width_11=Disabled +CSET counter_width_12=Disabled +CSET counter_width_13=Disabled +CSET counter_width_14=Disabled +CSET counter_width_15=Disabled +CSET counter_width_16=Disabled +CSET counter_width_2=Disabled +CSET counter_width_3=Disabled +CSET counter_width_4=Disabled +CSET counter_width_5=Disabled +CSET counter_width_6=Disabled +CSET counter_width_7=Disabled +CSET counter_width_8=Disabled +CSET counter_width_9=Disabled +CSET data_port_width=0 +CSET data_same_as_trigger=true +CSET disable_save_keep=false +CSET enable_storage_qualification=true +CSET enable_trigger_output_port=false +CSET example_design=false +CSET exclude_from_data_storage_1=false +CSET exclude_from_data_storage_10=false +CSET exclude_from_data_storage_11=false +CSET exclude_from_data_storage_12=false +CSET exclude_from_data_storage_13=false +CSET exclude_from_data_storage_14=false +CSET exclude_from_data_storage_15=false +CSET exclude_from_data_storage_16=false +CSET exclude_from_data_storage_2=false +CSET exclude_from_data_storage_3=false +CSET exclude_from_data_storage_4=false +CSET exclude_from_data_storage_5=false +CSET exclude_from_data_storage_6=false +CSET exclude_from_data_storage_7=false +CSET exclude_from_data_storage_8=false +CSET exclude_from_data_storage_9=false +CSET match_type_1=basic_with_edges +CSET match_type_10=basic_with_edges +CSET match_type_11=basic_with_edges +CSET match_type_12=basic_with_edges +CSET match_type_13=basic_with_edges +CSET match_type_14=basic_with_edges +CSET match_type_15=basic_with_edges +CSET match_type_16=basic_with_edges +CSET match_type_2=basic_with_edges +CSET match_type_3=basic_with_edges +CSET match_type_4=basic_with_edges +CSET match_type_5=basic_with_edges +CSET match_type_6=basic_with_edges +CSET match_type_7=basic_with_edges +CSET match_type_8=basic_with_edges +CSET match_type_9=basic_with_edges +CSET match_units_1=1 +CSET match_units_10=1 +CSET match_units_11=1 +CSET match_units_12=1 +CSET match_units_13=1 +CSET match_units_14=1 +CSET match_units_15=1 +CSET match_units_16=1 +CSET match_units_2=1 +CSET match_units_3=1 +CSET match_units_4=1 +CSET match_units_5=1 +CSET match_units_6=1 +CSET match_units_7=1 +CSET match_units_8=1 +CSET match_units_9=1 +CSET max_sequence_levels=1 +CSET number_of_trigger_ports=1 +CSET sample_data_depth=1024 +CSET sample_on=Rising +CSET trigger_port_width_1=128 +CSET trigger_port_width_10=8 +CSET trigger_port_width_11=8 +CSET trigger_port_width_12=8 +CSET trigger_port_width_13=8 +CSET trigger_port_width_14=8 +CSET trigger_port_width_15=8 +CSET trigger_port_width_16=8 +CSET trigger_port_width_2=8 +CSET trigger_port_width_3=8 +CSET trigger_port_width_4=8 +CSET trigger_port_width_5=8 +CSET trigger_port_width_6=8 +CSET trigger_port_width_7=8 +CSET trigger_port_width_8=8 +CSET trigger_port_width_9=8 +CSET use_rpms=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T14:13:15Z +# END Extra information +GENERATE +# CRC: 76868b6e diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc new file mode 100644 index 000000000..49e2b9e7b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.xdc @@ -0,0 +1,6 @@ +# +# Clock constraints +# +set_false_path -from [get_cells U0/*/U_STAT/U_DIRTY_LDC] -to [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] +set_false_path -from [get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_CLOCK]] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] +set_false_path -from [get_cells U0/*/U_RST/U_ARM_XFER/U_GEN_DELAY[3].U_FD] -to [get_cells U0/*/U_STAT/U_DIRTY_LDC] diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt new file mode 100644 index 000000000..5c3195e53 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_flist.txt @@ -0,0 +1,18 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +chipscope_ila.asy +chipscope_ila.cdc +chipscope_ila.constraints/chipscope_ila.ucf +chipscope_ila.constraints/chipscope_ila.xdc +chipscope_ila.gise +chipscope_ila.ncf +chipscope_ila.ngc +chipscope_ila.ucf +chipscope_ila.v +chipscope_ila.veo +chipscope_ila.xco +chipscope_ila.xdc +chipscope_ila.xise +chipscope_ila_flist.txt +chipscope_ila_readme.txt +chipscope_ila_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt new file mode 100644 index 000000000..9d997cd6f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_readme.txt @@ -0,0 +1,48 @@ +The following files were generated for 'chipscope_ila' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen/ + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * chipscope_ila.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * chipscope_ila.cdc + * chipscope_ila.constraints/chipscope_ila.ucf + * chipscope_ila.constraints/chipscope_ila.xdc + * chipscope_ila.ncf + * chipscope_ila.ngc + * chipscope_ila.ucf + * chipscope_ila.v + * chipscope_ila.veo + * chipscope_ila.xdc + * chipscope_ila_xmdf.tcl + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * chipscope_ila.asy + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * _xmsgs/pn_parser.xmsgs + * chipscope_ila.gise + * chipscope_ila.xise + +Deliver Readme: + Readme file for the IP. + + * chipscope_ila_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * chipscope_ila_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl new file mode 100755 index 000000000..b18241e0b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila_xmdf.tcl @@ -0,0 +1,87 @@ +# The package naming convention is _xmdf +package provide chipscope_ila_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::chipscope_ila_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::chipscope_ila_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name chipscope_ila +} +# ::chipscope_ila_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::chipscope_ila_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.cdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ucf +incr fcount + + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ncf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ncf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.constraints/chipscope_ila.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Xdc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path chipscope_ila_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module chipscope_ila +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams + diff --git a/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp new file mode 100644 index 000000000..127790678 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx150 +SET devicefamily = spartan6 +SET flowvendor = Foundation_ISE +SET package = csg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy new file mode 100644 index 000000000..fd6eef6f7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_4k_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[9:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[9:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc new file mode 100644 index 000000000..61795f415 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$67`44<,[o}e~g`n;"2*73>(-80!6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456709:;<=>?2:2;0>6?0920<56?81:355=701:3<5>7092;4=633932<<5>1b924?IR\Y__6jPd`vb[firf}626=0>c:33>JSSX\^1{Qkauc\gjsi|531<3?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=>5>:HLSQQ50?30?4:HLSQQ11:024>4?0123456789:;<=753:81EC^ZT;FJE956294:>6==:HLSQQ112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=0>329<2098;:05230>32L<;0:?599595=<0682<25;7968;52<0>1?80:L:46@CB<>0FIHKJML??;7CBEDGFIHKJMLONAd95wi~0=jyl85:"301837586;2=96D@_UU8GMKD;?80;2<<4738JJUSS2mce0:?50?36?24=G\^[YY4KOTVB827=87;>7:<5OTVSQQ<09:;<=>?119;456789:;<=>?01224>>7092;4=>781:3<577310BB][[:VGB82<768:047GAPTV9S@D;?3:5866J8@38=1=>89397487;845=3?>>8:05;8668;52008=?OIX\^1MIJ]B=:94;70300DYY^ZT;PGEABUMJI747>12:;B0>?FIH205LONA@CB55=>IHKJMLONA@CBEDG53H8:<6ONA@CBED0FIHKJML64AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828f3HNO^L27:1<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;>GKD01J_T@L_SGD6>D79?1IA@QFNGM\EABUMZYT^L@HE99AQVYNFOE:7N<4CD31?FNBKBUGENKASD]W]UC53JC?7NBD079@HN7?8?1H@F<8049@HN4_02IGG?V>8178GIM>8=1H@FO:;BNHE418118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@f=CI]KT[DJ[H^C`?AGSIV]BHYFPB69GFLRBZ[;;7IHIFGDEBC@ANOLMJ?5KI79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOII5:546JFN@>24;>BNFH6:8364DHLB843902NBBL2>6?:8@LHF48=546JFN@>2<;>99GMKG;:=437IGAA=06:==CAGK7>;07;EKME940611OECO329<;?AOII5822:5KIOC?6;>>18:FJJD:49720HD@N<20=<>BNFH68?364DHLB862902NBBL2<5?:8@LHF4:<546JFN@>03;>618:FJJD:417=0HD@N<2<;?AOII5>;255KIOC?048?3MCEM1:=>99GMKG;<:437IGAA=67:==CAGK78807;EKME921611OECO346<;?AOII5>3255KIOC?0<803MCEM1:18:FJJD:28720HD@N<43=<>BNFH6>>364DHLB805902NBBL2:4?:8@LHF462;>BNFH6>255KIOC?258?3MCEM18>>99GMKG;>;437IGAA=40:==CAGK7:907;EKME902611OECO367<;?AOII5<<255KIOC?2=8?3MCEM186>69GMKG;>720HD@N<62=e>BNFH6<=7>18:FJJD:097=0HD@N<6<4?AOII525;6JFN@>::2=CAGH7<364DHLA846902NBBO2>1?:8@LHE488546JFNC>27;>BNFK6:;364DHLA84>902NBBO2>9?58@LHE48437IGAB=03:==CAGH7><07;EKMF945611OECL322<;?AOIJ58?255KIO@?608?3MCEN1<9>99GMKD;:>437IGAB=0;:==CAGH7>408;EKMF94902NBBO2<0?:8@LHE4:;546JFNC>06;>=18:FJJG:4<720HD@M<27=<>BNFK68:364DHLA861902NBBO2<8?:8@LHE4:35;6JFNC>0:==CAGH78=07;EKMF926611OECL343<;?AOIJ5>8255KIO@?018?3MCEN1::>99GMKD;6>1OECL34?:8@LHE4<:546JFNC>65;>BNFK6>9364DHLA800902NBBO2:7?:8@LHE4<2546JFNC>6=;199GMKD;><437IGAB=45:==CAGH7::07;EKMF90?611OECL368<4?AOIJ5<546JFNC>44;g;5;6JFNC>4:2=CAGH74394DHLA8<803MC[M1>17:FJTD:66>1OE]O32?;8@LVF4:0;2:5KIQC?7;189GMUD;;3:5;6JFPC>0:3=CGH6;2:5KO@>24;169GKD:6<7=0HBO314<4?AIF48<5;6J@A=34:2=CGH6:4394DNC?5<813MEJ0<08;EMB8769?2NDM1<>>69GKD:5:7=0HBO322<4?AIF4;>5;6J@A=06:2=CGH69:394DNC?62803MEJ0?617:FLE94>6?1OCL2=>69GKD:48730HBO33083:2=CGH68=384DNC?7;017:FLF9766>1OCO2>2?58@JD;9:4<7IAM<06=3>BHJ5;>2:5KOC>22;19?2NDN1?6>79GKG:66>1OCO2=0?58@JD;:84<7IAM<30=3>BHJ5882:5KOC>10;1808;EMA8709?2NDN1<8>69GKG:507=0HBL328<5?AIE4;4<7IAM<22==>BHJ59:6=08;EMA8679>2NDN1=16:FLF929>2NDN1;16:FLF909>2NDN1916:FLF9>9>2NDN1717:FLFZTBO>1OC]O30?58@JVF484<7IA_A=0==>BHXH686=08;EMSE959?2ND\O2?>69GKUD;97=0HB^M<3<:?AIWJ591<394DNRA868?3ME^XL2?>89GKPRF48:556J@UUC?548>3ME^XL2>2?;8@JSSI5;8245KOTVB842912NDYYO314<:?AIR\H6::374DNWWE970601OCXZN<0:==>BH]]K7=407;EMVPD:6601OCXZN<32==>BH]]K7><06;EMVPD:5:730HB[[A=00:<=CG\^J0?:19:FLQQG;:<427IAZT@>12;?89GKPRF4;2556J@UUC?6<8?3ME^XL2=>89GKPRF4::556J@UUC?748>3ME^XL2<2?;8@JSSI598245KOTVB862912NDYYO334<:?AIR\H68:374DNWWE950601OCXZN<2:==>BH]]K7?407;EMVPD:4601OCXZN<52==>BH]]K78<06;EMVPD:3:730HB[[A=60:<=CG\^J09:19:FLQQG;<<427IAZT@>72;?89GKPRF4=2556J@UUC?0<8?3ME^XL2;>89GKPRF4<:556J@UUC?148>3ME^XL2:2?;8@JSSI5?8245KOTVB802912NDYYO354<:?AIR\H6>:374DNWWE930601OCXZN<4:==>BH]]K79407;EMVPD:2601OCXZN<72==>BH]]K7:<06;EMVPD:1:730HB[[A=40:<=CG\^J0;:19:FLQQG;><427IAZT@>52;?89GKPRF4?2556J@UUC?2<8?3ME^XL29>89GKPRF4>:5n6J@UUC?34<7601OCXZN<63=<>BH]]K7;364DNWWE9>902NDYYO39?:8@JSSJ5:556J@UU@?558>3ME^XO2>1?;8@JSSJ5;9245KOTVA845912NDYYL315<:?AIR\K6:9374DNWWF971601OCXZM<05==>BH]]H7=506;EMVPG:61720HB[[B=3==>BH]]H7>=06;EMVPG:59730HB[[B=01:<=CG\^I0?=19:FLQQD;:=427IAZTC>11;?89GKPRE4;=556J@UU@?6=8>3ME^XO2=9?:8@JSSJ58556J@UU@?758>3ME^XO2<1?;8@JSSJ599245KOTVA865912NDYYL335<:?AIR\K689374DNWWF951601OCXZM<25==>BH]]H7?506;EMVPG:41720HB[[B=1==>BH]]H78=06;EMVPG:39730HB[[B=61:<=CG\^I09=19:FLQQD;<=427IAZTC>71;?89GKPRE4==556J@UU@?0=8>3ME^XO2;9?:8@JSSJ5>556J@UU@?158>3ME^XO2:1?;8@JSSJ5?9245KOTVA805912NDYYL355<:?AIR\K6>9374DNWWF931601OCXZM<45==>BH]]H79506;EMVPG:21720HB[[B=7==>BH]]H7:=06;EMVPG:19730HB[[B=41:<=CG\^I0;=19:FLQQD;>=427IAZTC>51;?89GKPRE4?=556J@UU@?2=8>3ME^XO299?:8@JSSJ5<556J@UU@?358e3ME^XO281;2==>BH]]H7;<07;EMVPG:0611OCXZM<9<;?AIR\K622>5JN@18AKDe3LDT^^Z]AL]Bf>CIW[Y_^LCPB49EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BATB02LMJK;:1433?C@ANOLMJ?O6:2C9>6G<2:K76>O202CEEY^P01:8MKOSXV::46GAIUR\47><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQJR\:>0@XZ;4:NVP025A1718J4>43G8;>6@97:LFPRIUC=1ECCK>;N34?JVSADCQIR^]ILKWMSCTWGMH=6^l;Q,14456889T@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN56^D_INVPKK43YXN:6^\DNLF5>W63[k0^LCM17@TAWeTCIMNYINM31?a8VAGCL[OHO1<1c:PGEABUMJI7?3m4RECG@WCDK5>5o6\KAEFQAFE;=7i0^IOKDSG@G909k2XOMIJ]EBA?3;cTBOJOJn6\JGBGB85<7601YIJMJA=2=2>TBOJOIn6\JGBGA85<7601YIJMJB=2=0>TT\H30^^ZN<183:2=U[]K7<3:4RRVA=>TT\K6;6=08;SQWF969?2XXXYW_Ed9QWQYWZ@EYEYWPAd9QWQYWZ@EYEYWPB09P3>UEA]OY^S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\9TUYHR^ATSY1YZ^HZV?:SbQwo=2=53`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^PG[UHSZR8VS_JPPOVQ_6[XPFXT9=Q`_ym?4;71n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\VAYWF]XP?PQ]D^RMPW]3UVRD^R:6_n]{k9699?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/LzlvZTCWYD_^V:R_SF\TKRUS117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]6UV]YS]@[RZ0^[]IUW>UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\:TU\^R^ATSY0YZ^HZVSbQwo=2=53c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#@v`r^UQ[UHSZR>VSZ\PPOVQ_0[XPFXT8RaPxn>3:40b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Cwos]TVZVI\[Q>QRY]_QLWV^0ZWQEYS>Q`_ym?4;71m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!Bxnp\SWYWF]XP:PQXR^RMPW]0UVRD^Rl1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[RTXXG^YW:SPWS]SJQT\0TUSC_Q>_n]{k9699?90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/SF\TKRUS9WT^IQ_NUPX5XY_G[U>>Ra93:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ULVZEX_U>]^PG[UHSZR8VSUA]_43\k35<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#_JPPOVQ_7[XZMU[BY\T3\][KWY28Ve=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-Q@ZVI\[Q8QR\K_QLWV^2ZWQEYS97Po718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'[NT\CZ][5_\VAYWF]XP9PQWOS]7S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%YHR^ATSY4YZTCWYD_^V6R_YMQ[13Xg?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/VP\TKRUS9WT[_Q_NUPX5XY_G[U3Sb8=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*QUWYD_^V?R_VP\TKRUS;WTTB\P7^m56>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%\^R^ATSY1YZQUWYD_^V=R_YMQ[3Yh>;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv WS]SJQT\;TU\^R^ATSY7YZ^HZV?Tc;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+RTXXG^YW9SPWS]SJQT\=TUSC_Q;_n41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&]YS]@[RZ7^[RTXXG^YW;SPXNP\7Zi1:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!XR^RMPW]1UV]YS]@[RZ5^[]IUW;Ud:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,SWYWF]XP;PQXR^RMPW]?UVRD^R?Po768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,@969>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%O0<094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+tcWz~lSd`|t.F?6;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'M682;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z D=6=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)C4<4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"J36?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+A:06?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.sf\wqaXagy#\Q}ef]222=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$yhR}{g^kmwq)VW{olS?88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ3^*wbX{}mTec}{/P]qabY4>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P }d^qwcZoi{}%ZSkh_544?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&{nTyiPioqw+TYumnU>::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!^_sgd[3003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"jPsue\mkus'XUyijQ8669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(ulVykRgasu-R[wc`W1 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2?>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'M6?2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z D=7=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\9T$|~R}{g^kmwq)C4?4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"J37?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+A:?6?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*WXzlmT=;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-swYt|nUbb~z Q^pfcZ41?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#y}_rvd[lht|&[T~hiP3758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,UZtboV>=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/uq[vr`W`dxx"_Prde\131<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(YVxnkR897:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY2Y+quWz~lSd`|t.S\v`aX??=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnWS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*B;87 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@949>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0>094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?0;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6>2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z D=4=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)C4>4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"J38?4;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumn6;2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc979>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<3<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7?387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=7=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{ol0;098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`a;?7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV;:31<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR>97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.S\v`aX9?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg^053>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlmT?;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfcZ21?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#|k_rvd[lht|&[T~hiP5758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,UZtboV<=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/pg[vr`W`dxx"_Prde\331<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%~iQ|tf]jjvr(YVxnkR694:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.F?4;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6:2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=0=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4:4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J34?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:26?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$H181659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-G8281<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&N74387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*rtX{}mTec}{/P]qab:76?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=3=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0?098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;;7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV7:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1;1699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`4?4=46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde?3;0?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'XUyij27>758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV:=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"_Prde\531<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnkR<97:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`aX;?=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg^653>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'}yS~zh_hlpp*WXzlmT9;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z Q^pfcZ01?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ9Q#y}_rvd[lht|&[T~hiP7758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[){UxxjQfnrv,UZtboV2>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!}d^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]amk:36<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkce080:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio>5:05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goi4>4>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{Uiec27>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_ckm[5353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZdnfV;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UiecQ=539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\704<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW=?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR;:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]517=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX?<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWkceS5;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[4363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW;?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS>;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[0363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadW??:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhS:;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_94g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n4f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R?9_n35`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m5a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%yhR~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>7^m236=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7?Wf=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ7>9Vrd0=0>6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=4Q`729V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>=?Pxn>3:40c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"|k_qlwvZadWhyyijQcarvX6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-q`Zvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY5:8Usc1>1779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT>??Pxn>3:ZUP8?n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[74Xg>80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniTm~|jg^nbwq]?UVxnhxmj_ymq[756Wqe7<38k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV88Sb;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=2=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flh;97?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVhbb1<1529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pbhl?7;343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZdnf5>59>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`35?70?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jj909=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`d7;3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn=:=17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\flhX8<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^066>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY4=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT88<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_471?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^`jjZ02:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeagU<9?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,swYwf}xTnd`P8438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\407<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT>8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP3438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\007<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beX=<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniT:8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmP7438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\<25<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_022[}i;87;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY68Ve<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{UloRo|rde\hdusS1WT~hjzcd]{kwY698Usc1>16e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~({U{by|Pgb]bwwc`WekxxV6R_sggqfcXpfxT=3:20<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY;YZtbl|inSua}_002[}i;87UX[=8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;9Sb9=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_`qqabYkiz~P4PQ}eew`aZ~hzV;8=Rv`<1<5`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ>3^m5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]dgZgtzlmT`l}{[9_\v`brklUscQ9_n4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R8Po04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[duumnUgm~zT8\]qaasdmVrd~R9Po7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`1608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS5?Pxn>3:40d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhSl}}ef]oevr\0TUyii{le^zlvZ?Xg>n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\g|:66>o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,dvae5&{nh#@okd^fjbcYpzVxoW4SPGOF\751XgVkohR=POTV\hpr;:7=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"Cnde]gmc`X{UyhV7R_FLG[660WfUjhiQ<_NWW[iss4:45;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT9\]DJAY48>UdSljk_2]LQQYk}}6>2:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/Lcg`ZbnnoU|~R|k[8_\CKBX;9=TcRokd^1\KPRXd|~7:39j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.Ob`aYcaolT{Q}dZ;^[BHCW::UdS`{w_4]LQQ46?01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A`{w_ekebZquW{nP5PQHNE]042YhWdsS8Q@UU123<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EdsSigif^uq[wb\1TULBIQ<06]l[hsW6`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[f;97 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^az8781i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTot2;>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWjs7938n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZe~4?4=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]`}919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?4;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rbzt=3=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1=16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.OpqgYcaolT{Q}d^vntZjr|5?5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;>7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^nvp919>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pltv?<;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=2=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzTtb|31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVrd~1<16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.OpqgYcaolT{Q}d^vntZ~hz5>5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\|jt;=7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"C|uc]gmc`X{UyhRzbp^zlv909>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?3;0e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GxyoQkigd\swYulV~f|Rv`r=:=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5:5;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=3=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\585;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=1=30=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im dhde[rtXzmQ2QRIAD^133ZiXe|rT9RAZT=6=541e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&nbjkQxr^pg_<[XOGNT?=9Po^ov|Z3XG\^783?>_RU333=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY:YZAILV9;;RaPmtz\1ZIR\5>5>:o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/ekebZquW{nP5PQHNE]042YhWdsS8Q@UU>7:Zdcl9=>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"jffg]tvZtcS0WTKCJP315\kZkrpV?TCXZ35?55?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[8_\CKBX;9=TcRczx^7\KPR;=7;<96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR3VSJ@K_224[jYj}qU>SB[[<7<42>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)caolT{Q}dZ;^[BHCW::7c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.fjbcYpzVxoW4SPGOF\751XgVg~tR;POTV?3;40=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%oekhPws]q`^?ZWNDOS>>8_n]nq}Y2WF__05086:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-gmc`X{UyhV7R_FLG[660WfUfyuQ:_NWW8=86?<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$hdhi_vp\va]>UVMEHR=?7^m\ip~X=VE^X171779V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.pg[aeXa5:59o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;97?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\m949=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k?7;3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&xoSimPi=6=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRg35?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTe1815c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhn#|kc.pg[aeXa5259o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im re]ggZo;17?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ62i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP24c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS>;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV>>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!}d^f`[lY2=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$~iQkc^k\20g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf_67b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*tcWmiTeR6:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`U2:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>14`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/SCN[WC@G\^TIC?95:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!lusp\br`sWz~jxhQISL]EBa7102_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.sdtbq)d}{xTjzh{_rvbp`YA[DUMJi?"Io4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8'Bb<8:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`70>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh?#Fn07b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.gntqXn~lSkl:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!jmqvz[cqa|Vc<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%lcd`h_dosp|Yao~T`hoyioe\ahvsqVl|jyQ@R^:\k2><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+binfnUna}zv_guepZjbicekRkbpu{\br`sWFXT4Ra>5g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz ndzw[cqa|Vymyk30?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.wpawYqieco:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a3+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o=!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g5)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n> v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f6(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwgt&{y"m?/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*e7';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!l0.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d8&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} c1-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(k9%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|ns/pppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zhy%~~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr`q-vvrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#n? glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{kx"}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gscp*wus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&mym~ }suq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$o!re-dvdu)zz~x#n? v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpbw+tt|z%hc`~?_bmnt4YHZV4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT:Ra>539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos50d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{nT|cz}_qnvw4:76>=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HgclVmh<#m`uovX>0343>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV6R_FLG[7?2WfUjhiQ?_NWW[}iu4;4:=:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>0:470?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ:^[BHCW;3>SbQnde]3[JSSWqey090>1658Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@okd^e`4+eh}g~P4PQHNE]1=0YhWhnoS=Q@UU]{kw:268;<;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<7<252><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lcg`Zad8'idyczT8\]DJAY51769V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q3QRIAD^0:1ZiXimnT xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^Ttb|38?3210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5?5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=4=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5=5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=:=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R2VSJ@K_3;6[jYflmU;SB[[<0<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP287\kZgclV:TCXZ32?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=94]l[dbcW9UDYY2<>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<65^m\eabX8VE^X1:16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__0809c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>4;Po^cg`Z6XG\^7:38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX!re-qtkru'ni;"naznuY;YZAILV829RaPaef\4ZIR\525995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^360>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW;??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP3468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY3==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR;:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[3333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T;8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu];1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0=0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;97?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>1:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1=1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64=4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?1;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<29>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95=5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f28=82?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P0458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\601<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R=:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X<<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^763>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z12?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P87f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP303\kZKRPV;;1728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d9&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b3,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{l}!wrvp+f7(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsdu)z~x#n? glw{*bk\076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a2+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i:#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}j#y|tr-`5*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%h="x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,g4)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o!ws-dsdu)z~x#n? v0>7:4073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#mnrs{maq:76?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'j8$k`{w.bcqv|hb|5;5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$o?!hmtz-gdtuqgo0?090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.enq}(di{xrbhz33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW=S!gl3-i4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5'ng~t#ib[0_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#jczx/en_7[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/fov|+ajS:W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+bkrp'mfW9S!glq-iv323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*e5';7<3;9;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"m=/w3?4;72=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jyns/uppv)d:&|:0<0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqf{'}xx~!l2.t28486=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(k;%}=1<1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} c3-u59499448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/b0,r4:468?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&i9#{?34?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.a1+s7;<7;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%hc`~>679V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*apiz$|y} cnos5ZAILV9:=RaPMTZ\556Xg<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hw`q-svrt'jef|?;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"|nm^dvhiYajVc>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fubw+qt|z%ym`Qiumn\m2e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQly=3=3f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabXS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*KflmUoekhPws]q`^?ZWNDOS>?<_n]b`aY3WF__Snw38?323a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabXUVMEHR=>3^m\eabX7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~1:110:3?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|34?325=2<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EhnoSigif^uq[wb\1TULBIQ<12]l[dbcW=UDYYQwos>7:476WZ];;k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVPZ~hz5>5=?9i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[8_\CKBX;89TcRokd^6\KPRXpfx793?>7g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NeabXl`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\Vrd~181105e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HgclVnbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^Ttb|37?323c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)JimnThdhi_vp\va]>UVMEHR=>3^m\eabX3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw30?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs7=386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;:7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;0>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Snw34?4:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWjs79386;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[f;>7<27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?3;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sa{{<1<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1?16`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYk}}692;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz Mrwa[aoanV}ySjPtlr\hpr;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_mww8181i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rbzt=7=2d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUgyy29>7c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,IvseWmcmjRy}_sf\phvXd|~7;38n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/LqvfZbnnoU|~R|k_uos[iss414=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"C|uc]gmc`X{UyhRzbp^zlv969>h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%FxlPdhde[rtXzmUa}Qwos>2:3g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzTtb|32?4b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWqey0>09a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz5>5:l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]{kw:26?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~$A~{m_ekebZquW{nTx`~Pxnp?2;0f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'Dy~nRjffg]tvZtcW}g{Sua}<6<5e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVrd~161759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-gmc`X{UyhV7R_FLG[674WfUjhiQ;_NWW8480<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&nbjkQxr^pg_<[XOGNT?<=Po^cg`Z2XG\^7>39;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nP5PQHNE]056YhWhnoS9Q@UU>0:22<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(l`lmSz|PreY:YZAILV9:?RaPaef\0ZIR\5>5;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR3VSJ@K_230[jYflmU?SB[[<4<40>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*bnnoU|~R|k[8_\CKBX;89TcRokd^6\KPR;>7=?7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#igif^uq[wb\1TULBIQ<12]l[dbcW=UDYY28>668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,`l`aW~xT~iU6]^EM@Z56;VeTmijP4^MVP9>9>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`Zrjx5:5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2?>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?5;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4;4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1=1639V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h>7:34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r({UomyoPcnwmpZo;=7<97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}Ub0;092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.uq[agsiVidyczPi=5=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[l:?6?80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwea2*rbdmq~${Qkauc\gjsi|Vc7538>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_142?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW;<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS>8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_542?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[0063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYnW?<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#z|Pd`vb[firf}UbS:8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/vp\`drfWje~byQf_942?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j[<2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$~lcPws]fjZo6?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\0TULBIQ<08]l[dbcW9UDYYQwos>2:470k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-NeabXo`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^XRv`r=0=541d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Ob`aY`aj:%kn>!cnwmp^>ZWNDOS>>6_n]b`aY7WF__Sua}<2<252e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lcg`Zank9$lo= lotlw_=[XOGNT?=7Po^cg`Z6XG\^Ttb|34?323f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| M`fg[bod8'mh<#m`uovX034g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Baef\cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\Vrd~181105g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0;0>105`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cnde]dmf6)oj:%ob{atZ:^[BHCW::2SbQnde]3[JSSWqey0:0>16a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^ejg5(`k9$hcx`{[9_\CKBX;93TcRokd^2\KPRXpfx743?>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6:28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;:7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw8682i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at=6=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~by2:>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~7:3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphs4>4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmp9>9?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznuY;YZAILV9;5RaPaef\4ZIR\5;5;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjq]?UVMEHR=?9^m\eabX8VE^X1<1709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}Q3QRIAD^13=ZiXimnT9=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]21<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ=589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U8945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY3=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]61<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQ9589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}U<945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqY?=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5969=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5979=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5949=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5959=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5929=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5939=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5909=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5919=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g59>9=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cle7∋"naznu]g5Z62k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{at^f2[43d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_e3\60e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fk`4+ad8'idyczPd0]01f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gha3*be7&je~byQk1^66g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexRj>_47`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~Th!gb2-gjsi|Vn90?0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90>0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9090:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9080:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90;0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn90:0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9050:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%len>!gb2-gjsi|Vn9S?;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm8T?8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl;U?9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc:V?>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb5W??h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a4X?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z;7<3Qfnw7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt({U{by|Ppmwp5969W`d}=RGAV^263>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~xT|cz}_qnvw7:66Vcez8k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-tvZvi|{U{`x}=<0<\mkp6W@D]S=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;87>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5583i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<03=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?=>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6:?3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;9=4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j84397?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=50;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4835845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m979?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9436=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>11;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg327<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0?914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:517>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg331<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0>?14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa59929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:4;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?7183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<27=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=1=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6?=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;<;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j81597=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg34?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc79=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4<;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9356=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>67;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg355<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub08;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:2?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<4;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:197>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?2783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<71=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te18;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6=93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;>?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j831927X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\572>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP126:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=9:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX9<>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\532>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP166:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT=5:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX90>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\61?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=05;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U9=974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5:=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]171?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=45;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U99974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY5>=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]131?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ=85;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U95964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^130<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV99845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ54<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^170<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=:489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV9=845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ50<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^1;0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR=6499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[16312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_537=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS9<;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW=9?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[12312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_577=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS98;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW==?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[1>312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_5;7<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=9>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\142>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP536:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9>:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX==>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\102>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP576:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT9::6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX=1>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\1<2?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP65;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=<974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY19=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]561?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ935;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=8974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY1==30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]521?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ975;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U=4974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY11=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]40<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR9?489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV=:855Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ>302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_8:2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?4;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;9943>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7=9072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<07=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9716180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6:;36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg319<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j84?9081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>15;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;:;43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0?=1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=07:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:5=7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7>;072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<35=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m94?6180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`69536>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg32?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?758?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4:;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1==>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>07;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;;=43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0>;1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=15:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:4?7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7?5072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<2;=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5>;25<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2;1?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?078?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4=954?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe1:;>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>71;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0991839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=6;:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!kotva[l:3172:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7836=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg351<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j80790;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5?925<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-cgk`&nhfkl agda`*gk`'ndjxdxjble-jdrn~lUi`fQbel]dak)cg|~iSd2:3?:1?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$hb{{b^k?118?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/emvpgYn4908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>63;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;=143>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+air|kUb0871809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&ndyylPi=7=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m9076180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-gkpreW`6==36=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(lfnRg363<;6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#iaztc]j83590;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%ocxzm_h>5=;>63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` dnwwfZo;>7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,`jssjVc7;=072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+aeen$ln`in.oefgf(een%lblzfvd`nc+hf|`|nSobd_lgn[bci'me~xoQf<63=<4=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"j`uu`\m919081^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.flqqdXa5254<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*bh}}hTe171809V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&|j`dj!crvq0a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm0`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)seyUym`Q}d^gm565<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtcWld8;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zhgT~hi`uu]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld8j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zmU{by|30?]jjs7XAG\T<8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZtcW{ySl}}ef]DJAY6:VeTAXVP2^m2503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tRy}_sqw[duumnULBIQ>2^m\IP^X9Ve:=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/SQW[CSKDVMNB964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/fpppa7)o{ySk{cl/pppZb6<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e07<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)`zz~o=#i}su]eqij)zz~Th>:l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumn6;29m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy }d^pppZtbo5;58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#|k_sqw[wc`4;4?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ73j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`75d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_bmnf6b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa56b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfc1c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfcZAILV;9SbQBUY]2[j273\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_`qqabYc9=:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\evtboVn9?n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae ws]qwqYdgdh8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!xr^pppZehek;h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCF4=4=7ZKN<4<5?RCF4?437ZKN<683:3=PMH6<2;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA86813^OI0909;VGA80813^OI0;07;VGA82<76?1\IO28>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy?6Wjs9c8[ZY_DGGTSR>P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FmijPgha3*be7&je~byU7]^EM@Z571VeTmijP0^MVPZ~hz5>5=<69;^]\\IHJWVU:!re-qtkru'DkohRil0/alqkr\0TULBIQ=94]l[dbcW9UDYYQwos>1:47?02UTSUBAM^]\54YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR3VSJ@K_224[jYj}qU>SB[[105g?ZYXPEDFSRQ>2^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS<8Po05`?ZYXPEDFSRQ>3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_fa\evtboVfjyU7]^pf`pebWqeyS:Q`14g8[ZY_DGGTSR?;_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*tfeV}ySh`Pi05g?ZYXPEDFSRQ>5^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^6ZW^XT\CZ][0_\\JTX0VeTtb2?>05f?ZYXPEDFSRQ>6^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~R\K_QLWV^7ZW[NT\CZ][3_\\JTX=8UdSua30?34a>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQ]D^RMPW]4UVXOS]@[RZ6^[]IUW=3TcRv`<1<23`=XWVRGB@QP_0:\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PRE]SJQT\?TUYHR^ATSY;YZ^HZV>>SbQwo=2=52bWT[_Q_NUPXUVMEHR=?7^m\ip~X=VE^X>?8e:]\[]JIEVUT>=QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY6YZTCWYD_^V8R_YMQ[11XgVrd0=0>7e9\[Z^KFDUTS??P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT[_Q_NUPX0XYPZVZEX_U:]^ZLVZ2XgVrd0=0>659\[Z^KFDUTS?63VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\cfYf{{olSao|tZ:^[wcc}joTtb|P193\|j:7682;7RQPXMLN[ZY3WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^>ZW{ooynkPxnp\<4Yg5:5=:>4_^][HKKXWV?TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>8`9\[Z^KFDUTS;QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GjhiQhib2-cf6)kfexV6R_FLG[66>WfUjhiQ?_NWW[}iu4>4:=5;4_^][HKKXWV=TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JimnTkn>!cnwmp^>ZWNDOS?7:_n]b`aY7WF__Sua}<6<253?_yqw56=edbUfi`Qfnqww[gjhkb;?7obd_lgn[jssx|~Tnaalkc9`hnYWyd~~94cnosewca3jy~Rhxfu]ppdrb;2noa;5kio>3:2=cag6:<394dhl?54803mce0<<17:fjj9746>1oec2>4?58`lh;9<4<7iga<04=3>bnf5;<2:5kio>2<;117:fjj9466>1oec2=2?58`lh;::4<7iga<36=3>bnf58>2:5kio>12;1:08;ekm87>9?2nbb1<6>79gmk:56>1oec2<0?58`lh;;84<7iga<20=3>bnf5982:5kio>00;169gmk:407=0hd`338<5?aoi4:4<7iga<52=3>bnf5>:2:5kio>76;108;ekm8129?2nbb1::>69gmk:3>7=0hd`346<4?aoi4=25;6jfn=6::3=cag6?2:5kio>64;169gmk:2<7=0hd`354<4?aoi4<<5;6jfn=74:2=cag6>4394dhl?1<813mce0808;ekm8369?2nbb18>>69gmk:1:7=0hd`362<4?aoi4?>5;6jfn=46:2=cag6=:394dhl?22803mce0;617:fjj90>6?1oec29>69gmk:08730hd`37083:2=cag6<=384dhl?3;099gkpr;9<437iazt=35:==cg|~7=:07;emvp97?611ocxz318<4?air|5;546j`uu>14;>bh}}698364dnww873902ndyy2=6?:8`jss4;=546j`uu>1<;>99gkpr;;=437iazt=16:==cg|~7?;07;emvp950611ocxz339<;?air|5922:5kotv?7;>18:flqq:39720hb{{<50=<>bh}}6??364dnww812902ndyy2;5?:8`jss4=<546j`uu>73;>99gkpr;=:437iazt=77:==cg|~79807;emvp931611ocxz356<;?air|5?3255kotv?1<803me~x1;18:flqq:18720hb{{<73=<>bh}}6=>364dnww835902ndyy294?:8`jss4??546j`uu>52;>bh}}6=255kotv?358f3me~x19>:1<;?air|5=:2:5kotv?3;1m4:djbjY3cV8h`f"iigm\c`hbzh~d~Rx6_2.xgZgclVdloRm`nrvqelhs59&hSl~lhabpliiW}s{iRowir?3(fYfxyfbolzfoo]w}ucXzhic1="l_`rshlef|`eeSywe^pggm;7$jUj|}bfc`vjkkYsqyoT{lmg=1.`[dvwd`ijxdaa_u{saZqcka7; nQnpqnjgdrnggUu}kPwsak95*dWhrbSljkr^uj`qn:;;&hSlvf_`pvw`tX`nd0?#c^c{mZgp}zoySzgkti?2(fYfp`Uiy~k}_vkgpm;6$jUjtdQkauc\slbs`40)eXiqcxSy}{rc]tmaro5=&hSlvfs^vvw`tX`nd0:#c^c{mvYsqyo6!mPdhl\slbs`Vkse~3>,b]gmkYpam~cSolh<11(fYcagU|eizg_sf`l803$jUoecQxievk[rgd`499 nQkio]tmaroW~nhd08;,b]gmkYpam~cSz|lh<0/gZbh}}UyyQyam?3(fYcg|~T{dj{h<51(fYbfhhgiR|cobq>4)eXmgki`hQ}su]p}ke:9%iTi|`r^kmn`esafdTxt~j=1.`[`tug{Ubbgklthmm[qwmVkse~3?,b]fvwiuW`dainzfoo]w}ucXzhic1="l_dpqkwYnfcohxdaa_u{saZtcka7; nQjrsmq[lhmmj~bccQ{yqg\sdeo59&hSh|}os]jjocd|`eeSywe^uggm;7$jUn~a}_hliafrnggUu}kPwsak95*dWokfeaw2sqcqpdh1$jUmyabPfmcdwZtt|V|j`0?#c^jbwZgkefyShctx?3(fYoizUj``a|t^dvhi;7$jUcm~Qnxh]bvpubz4:'oRfns^c{mZgp}zoy1="l_icp[d~nWkxi3?,b]kevYfp`UyhRmgaolfh86+kVbjRowi^pvw`t:8%iTdl}Payk\swYd`hdeia3?,b]kevYfp`U|y~k}=1.`[mgtWhrbRzkauc>4)eX`hyTmug|_uffwq;7$jUcm~Qnxhq\plb:8%iTdl}Paykp[qmbmy7; nQgar]b|luX|ekxx0>#c^jbwZgazU~hoky<3/gZnf{Vkse~Q{supa95*dWakxSlvfs^vvw`t:8%iTdl}Pb`ahqu;7$jUcm~Qkauc\gjsi|4:'oRfns^fbpdYdg|dRowir?3(fYoizUomyoPcnwmpvYuijb6#c^jbwZbf|hUhcx`{s^uggm;7$jUcm~Qkauc\gjsi|zU|~nf20-a\lduXag~Toae20-a\lduXehyiQle<2/gZnf{Vgnad`ft^djh`;7$jUcm~Q`vdpehjq:8%iTdl}Ppsmd[cjfozUjtd}20-a\lduXx{elSkbngr]qefn:8%iTdl}Ppsmd[cjfozUyhnf20-a\lduXx{elSkbngr]tefn:8%iTdl}Ppsmd[cjfozU|hnf20-a\lduXx{elSkbngr]tvfn:8%iTdl}Pre]geqgXkfex0?#c^jbwZtcW{y1="l_icp[wus58&hSeo|_rnbr`Ydm4:'oRfns^qqwq;7$jUcm~Qznegqbiip59&hSeo|_wcoma;7$jUcm~Qxr^c`o86+kVbjRy}_ecweZeh}g~6=!mPh`q\swYu{}7; nQfmqnfi`hsi}cdbRzvpd?1(fYneyfnah`{aukljZr~xlUjtd}21-a\mhvkmdoexlzfoo]w}ucXzhic1<"l_hosh`kbf}keb`Ptxrf[wbd`4;'oRgbpmgnakrf|`eeSywe^ubgm;6$jUba}bjmdlweqohfV~r|hQxdbj>5)eXadzgi`kat`vjkkYsqyoT{mg=0.`[lhn|V}yS}`{r^tbh86+kVcexh|iabg\p|vb59&hS`kbos{\p|vb58&hS`gi_gkoaZhfel7I`l`dSupjjb*dWdylccQyam?2(fYhx}cfewo{inl\ijbb59&hSbxjrgnlsZjh4:'oR~}emmb`Zjf|ldhu0>#c^rqaiiflVxnk~3>,b]svlkXn`ldSywe<726}15$jU{~biPelrw}ZrozlycSl}|esv\rdj:<%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkWhrb0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pbgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieUyhnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sdeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSzjlh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZquka7:#c^rqkbYbey~rSywe^ubgm;7$jU{~biPelrw}Zr~xlU|hnf20-a\twi`Wlg{xtQ{yqg\sweo59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk5<;: nQrne\bpjkW}byi~fParqfvqYqieUjtd}21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]qefn:9988 nQrne\bpjkW}byi~fParqfvqYqieUyhnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tefn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|hnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]tvfn:9988 nQrne\bpjkW}byi~fPndebp`Yqie7>=="l_qplcZ`rdeUu}k20-a\twi`Wog`Rzvpd]b|lu:8%iT|ah_gwohZr~xlUymnf20-a\twi`Wog`Rzvpd]q`fn:8%iT|ah_gwohZr~xlU|mnf20-a\twi`Wog`Rzvpd]t`fn:8%iT|ah_gwohZr~xlU|~nf20-a\vdeoW}s{i0>#c^pg[agsiVidyczPwhfwl877$jUyhRjjpuj>144+kVxoSk|jq<3/gZtcWyd~Ryfduj>=)eXzmicSywe<2/gZtboVygenkPmnff[d~n{4:'oR|jg^qomfcXefnnSolh<2/gZtboVygenkPmnff[wbd`4:'oR|jg^qomfcXefnnSzolh<2/gZtboVygenkPmnff[rbd`4:'oR|jg^qomfcXefnnSz|lh<2/gZu~fjbyccgues]ppdab5;&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}64)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag84)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a7:=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=8.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x443ocmcR:d_3aoo)`nnfUlick}aumq[s?X;%qv=;5iigm\c`hbzh~d~R|nmgkek443:46028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7jPd`vb[firf}632<<4re]geqgXkfex1750?33?wbXlh~jSnaznu>::47tcWz~jxx}PfmcdwZgt981yi~k{_cnh[wgjn`ld?6||tg9p}keozfdbvh|Pfg`8swYeagUhby|9;vp\gim682}ySio{a^alqkr;87;;7z|Pd`vb[firf}6:2<>4ws]geqgXkfex1<1119tvZbf|hUhcx`{<2<24>quWmkmRm`uov?0;773~xThlzn_bmvjq:268:0{Qkauc\gjsi|5<5==5xr^fbpdYdg|d0:0>0:uq[agsiVidycz38?31?rtXlh~jSnaznu>:>58682}ySio{a^alqkr;17;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw98>o7MNw7349B?2=9rY3m789c;0`b?74;>28:7;1v_56567a96f`=9:9<4>852g3ba>U5j<0852g3bg>"18=7W8i:5y1b?552m0n6p*966846f=#?;0<>55+888462=#:o?1=6*=f5852a=n>m21<7*=d385`2=i:m;1<65f6e494?"5l;0=h:5a2e395>=n>m>1<7*=d385`2=i:m;1>65f6e194?"5l;0=h:5a2e397>=n>m81<7*=d385`2=i:m;1865f6e394?"5l;0=h:5a2e391>=n>m:1<7*=d385`2=i:m;1:65f6bd94?"5l;0=h:5a2e393>=n>jo1<7*=d385`2=i:m;1465f6bf94?"5l;0=h:5a2e39=>=n>ji1<7*=d385`2=i:m;1m65f6b`94?"5l;0=h:5a2e39f>=n>j31<7*=d385`2=i:m;1o65f6b:94?"5l;0=h:5a2e39`>=n>j=1<7*=d385`2=i:m;1i65f6b494?"5l;0=h:5a2e39b>=n>j?1<7*=d385`2=i:m;1==54i7a7>5<#:m81:i94n3f2>47<3`5$3f1>3b03g8o=7?=;:k5g7<72-8o>78k7:l1`4<6;21b:n?50;&1`7<1l>1e>i?51598m3e7290/>i<56e58j7b628?07d8me;29 7b52?n<7co1jm0;6)h5l80:;65f6ca94?"5l;0=h:5a2e395==b:9j2g>=83.9h?49d69m6a7=9j10e;l8:18'6a4=>m=0b?j>:0f8?l0e>3:1(?j=:7f4?k4c93;n76g9b483>!4c:3=n>k91<7*=d385`2=i:m;1>=54i7`1>5<#:m81:i94n3f2>77<3`5$3f1>3b03g8o=7<=;:k5f5<72-8o>78k7:l1`4<5;21b:lh50;&1`7<1l>1e>i?52598m3gb290/>i<56e58j7b62;?07d8nd;29 7b52?n<7co1ij0;6)h5l809;65f6``94?"5l;0=h:5a2e396==m=0b?j>:3f8?l0f<3:1(?j=:7f4?k4c938n76g9a283>!4c:3=n>h81<7*=d385`2=i:m;1?=54i7c2>5<#:m81:i94n3f2>67<3`5$3f1>3b03g8o=7==;:k5=c<72-8o>78k7:l1`4<4;21b:4j50;&1`7<1l>1e>i?53598m3?d290/>i<56e58j7b62:?07d86b;29 7b52?n<7co11h0;6)h5l808;65f68;94?"5l;0=h:5a2e397==74;h4:3?6=,;n96;j8;o0g5?5f32c=5;4?:%0g6?0c?2d9h<4m=0b?j>:2f8?l0cj3:1(?j=:7f4?k4c939n76g9d`83>!4c:3=n>m31<7*=d385`2=i:m;18=54i7f6>5<#:m81:i94n3f2>17<3`5$3f1>3b03g8o=7:=;:k5fc<72-8o>78k7:l1`4<3;21b:o:50;&1`7<1l>1e>i?54598m3g>290/>i<56e58j7b62=?07d86e;29 7b52?n<7co11:0;6)h5l80?;65f75;94?=n?=i1<75f72594?=n?<31<75f75694?=h?;;1<7*=d38465=i:m;1<65`70d94?"5l;0<>=5a2e395>=h?8n1<7*=d38465=i:m;1>65`70a94?"5l;0<>=5a2e397>=h?8h1<7*=d38465=i:m;1865`70c94?"5l;0<>=5a2e391>=h?831<7*=d38465=i:m;1:65`70:94?"5l;0<>=5a2e393>=h?8=1<7*=d38465=i:m;1465`70494?"5l;0<>=5a2e39=>=h?8?1<7*=d38465=i:m;1m65`70694?"5l;0<>=5a2e39f>=h?881<7*=d38465=i:m;1o65`70394?"5l;0<>=5a2e39`>=h?8:1<7*=d38465=i:m;1i65`71d94?"5l;0<>=5a2e39b>=h?9o1<7*=d38465=i:m;1==54o62g>5<#:m81;?>4n3f2>47<3f=;o7>5$3f1>2473g8o=7?=;:m44g<72-8o>79=0:l1`4<6;21d;=o50;&1`7<0:91e>i?51598k26>290/>i<57328j7b628?07b9?7;29 7b52>8;7ci08?0;6)h5l80:;65`71794?"5l;0<>=5a2e395==:?6=4+2e09376b:9l357=83.9h?48219m6a7=9j10c:>?:18'6a4=?;:0b?j>:0f8?j0an3:1(?j=:603?k4c93;n76a9fd83>!4c:3=9<6`=d082b>=h>oi1<7*=d38465=i:m;1>=54o7da>5<#:m81;?>4n3f2>77<3f5$3f1>2473g8o=7<=;:m5b<<72-8o>79=0:l1`4<5;21d:k650;&1`7<0:91e>i?52598k3`0290/>i<57328j7b62;?07b8i6;29 7b52>8;7ci1n<0;6)h5l809;65`6g694?"5l;0<>=5a2e396==:3f8?j0bl3:1(?j=:603?k4c938n76a9eb83>!4c:3=9<6`=d081b>=h>lh1<7*=d38465=i:m;1?=54o7gb>5<#:m81;?>4n3f2>67<3f5$3f1>2473g8o=7==;:m5a=<72-8o>79=0:l1`4<4;21d:h850;&1`7<0:91e>i?53598k3c2290/>i<57328j7b62:?07b8j4;29 7b52>8;7ci1m:0;6)h5l808;65`6d094?"5l;0<>=5a2e397==74;n4f4?6=,;n96::2f8?j15<3:1(?j=:603?k4c939n76a82283>!4c:3=9<6`=d080b>=h?;81<7*=d38465=i:m;18=54o63f>5<#:m81;?>4n3f2>17<3f=:?7>5$3f1>2473g8o=7:=;:m44=<72-8o>79=0:l1`4<3;21d:kj50;&1`7<0:91e>i?54598k3`5290/>i<57328j7b62=?07b8j7;29 7b52>8;7ci1lj0;6)h5l80?;65`75094?"5l;0<8<5a2e394>=h?=:1<7*=d38404=i:m;1=65`72d94?"5l;0<8<5a2e396>=h?:o1<7*=d38404=i:m;1?65`72f94?"5l;0<8<5a2e390>=h?:i1<7*=d38404=i:m;1965`72`94?"5l;0<8<5a2e392>=h?:k1<7*=d38404=i:m;1;65`72;94?"5l;0<8<5a2e39<>=h?:21<7*=d38404=i:m;1565`74594?"5l;0<9;5a2e394>=h?=h?<91<7*=d38413=i:m;1?65`74094?"5l;0<9;5a2e390>=h?<;1<7*=d38413=i:m;1965`74294?"5l;0<9;5a2e392>=h?=l1<7*=d38413=i:m;1;65`75g94?"5l;0<9;5a2e39<>=h?=n1<7*=d38413=i:m;1565`73g94?=h?;?1<75m67494?7=83:p(?hi:76:?M01<2B=855`2bg94?=zj?<>6=4>:183!4an38>h6F9659K21>?>0D;:7;%05`?e:1<75f8c83>>o5l=0;66a=d783>>{ee2900e?j;:188k7b12900qo:m9;290?6=8r.9jk4=569K232<@?>37)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a27c=83>1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<;6F9659K21><@?i0(?lk:3df?l172900e5l50;9j6a2=831d>i850;9~f325290?6=4?{%0eb?42?2B=:95G65:8L3e<,;ho6?hj;h53>5<5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a26`=8391<7>t$3de>7333A<=86F9499K2f=#:kn1>kk4$34g>6=n?90;66g7b;29?j4c>3:17pl94483>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<54;294~"5no099:5G6768L32?3A"5>m0h7d9?:188m=d=831b>i:50;9l6a0=831vn;=8:187>5<7s-8mj7<:7:J521=O>=20(?8k:b9j35<722c3n7>5;h0g0?6=3f8o:7>5;|`573<72=0;6=u+2gd9601<@?1<75`2e494?=zj?9>6=4;:183!4an38>;6F9659K21><,;>o?j3:17d3:17pl93d83>6<729q/>kh52468L3033A;j53:k44?6=3`2i6=44o3f5>5<54;294~"5no099:5G6768L32?3-8=h7m4i6294?=n0k0;66g=d583>>i5l?0;66sm62c94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg03<3:1?7>50z&1bc<5==1C:;:4H76;?M0d3-8ih75<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;a8m26=831b4o4?::k1`1<722e9h;4?::a1ag=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;k7;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>h;4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=m?1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0b3290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:d383>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3dn3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6g`<72<0;6=u+2gd960?<@?>i5l?0;66sm5ba94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8mm:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1f>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l6;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>o84?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=j>1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0e4290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:c183>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3el3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ff<72<0;6=u+2gd960?<@?>i5l?0;66sm5c`94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8ln:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1g0=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;m4;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n>4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=k81<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0d6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:ag83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3fj3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6ed<72<0;6=u+2gd960?<@?>i5l?0;66sm5`;94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn8o7:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1d3=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;n3;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>m?4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=h:1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0?a290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:9e83>0<729q/>kh524;8L3033AN1<11/>;j53:k44?6=3`=:6=44i9`94?=n:m=1<75`2e494?=zj<3i6=4::183!4an38>56F9659K21><,;5f7183>>o093:17d6m:188m7b02900c?j9:188yg3>i3:197>50z&1bc<5=01C:;:4H76;?!41l390e:>50;9j34<722c3n7>5;h0g3?6=3f8o:7>5;|`6=<<72<0;6=u+2gd960?<@?>i5l?0;66sm58:94?3=83:p(?hi:37:?M01<2B=855+27f97>o083:17d9>:188m=d=831b>i950;9l6a0=831vn878:186>5<7s-8mj7<:9:J521=O>=20(?8k:29j35<722c<=7>5;h:a>5<5<55;294~"5no09945G6768L32?3-8=h7=4i6294?=n?80;66g7b;29?l4c?3:17b"5>m087d9?:188m27=831b4o4?::k1`2<722e9h;4?::a1a>=83?1<7>t$3de>73>3A<=86F9499'63b=;2c<<7>5;h52>5<5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>e2900e?j8:188k7b12900qo;l2;291?6=8r.9jk4=589K232<@?>37)<9d;18m26=831b;<4?::k;f?6=3`8o;7>5;n0g2?6=3th>n:4?:483>5}#:ol1>874H747?M0302.9:i4<;h53>5<;1<75f8c83>>o5l>0;66a=d783>>{e=hi1<7;50;2x 7`a2;?27E894:J50==#:?n1?6g80;29?l162900e5l50;9j6a1=831d>i850;9~f0g6290>6=4?{%0eb?4212B=:95G65:8 70c2:1b;=4?::k45?6=3`2i6=44i3f4>5<?>0D;:7;%05`?5:1<75f7083>>o?j3:17d3:17pl:9483>0<729q/>kh524;8L3033A3>13S3;32>6?=<809h7?;:0097d<3:38n6>>530877?{#>l097d1e>i?50:9j13<72-8o>7;8;o0g5?7<3`?>6=4+2e0912=i:m;1>65f5583>!4c:3?<7ci<5569m6a7=<21b9?4?:%0g6?303g8o=7;4;h72>5<#:m819:5a2e392>=n=90;6)1e>i?58:9j54>=83.9h?4>169m6a7=821b=<850;&1`7<69>1e>i?51:9j542=83.9h?4>169m6a7=:21b=<=50;&1`7<69>1e>i?53:9j544=83.9h?4>169m6a7=<21b=1e>i?55:9j546=83.9h?4>169m6a7=>21b==h50;&1`7<69>1e>i?57:9j55c=83.9h?4>169m6a7=021b==j50;&1`7<69>1e>i?59:9j55e=83.9h?4>169m6a7=i21b==l50;&1`7<69>1e>i?5b:9j55?=83.9h?4>169m6a7=k21b==650;&1`7<69>1e>i?5d:9j551=83.9h?4>169m6a7=m21b==850;&1`7<69>1e>i?5f:9j553=83.9h?4>169m6a7=9910e<>;:18'6a4=98=0b?j>:038?l77;3:1(?j=:034?k4c93;976g>0383>!4c:3;:;6`=d0827>=n99;1<7*=d38252=i:m;1=954i023>5<#:m81=<94n3f2>43<3`ln6=4+2e095415<#:m81=<94n3f2>41<3`lh6=4+2e095415<#:m81=<94n3f2>4?<3`lj6=4+2e095415<#:m81=<94n3f2>4d<3`l36=4+2e095415<#:m81=<94n3f2>4b<3`l=6=4+2e095415<#:m81=<94n3f2>4`<3`l86=4+2e095414;hd1>5<#:m81=<94n3f2>77<3`l:6=4+2e095415<#:m81=<94n3f2>75<3`om6=4+2e095415<#:m81=<94n3f2>73<3`oo6=4+2e095415<#:m81=<94n3f2>71<3`oi6=4+2e095415<#:m81=<94n3f2>7?<3`o36=4+2e095415<#:m81=<94n3f2>7d<3`o=6=4+2e095415<#:m81=<94n3f2>7b<3`o?6=4+2e095415<#:m81=<94n3f2>7`<3`o96=4+2e09541>4;hg2>5<#:m81=<94n3f2>67<3`o;6=4+2e09541<4;hfe>5<#:m81=<94n3f2>65<3`no6=4+2e09541:4;hf`>5<#:m81=<94n3f2>63<3`ni6=4+2e0954184;hfb>5<#:m81=<94n3f2>61<3`n26=4+2e0954164;hf;>5<#:m81=<94n3f2>6?<3`n<6=4+2e09541o4;hf5>5<#:m81=<94n3f2>6d<3`n>6=4+2e09541m4;hf7>5<#:m81=<94n3f2>6b<3`;:n7>5$3f1>4703g8o=7=j;:k25d<72-8o>7?>7:l1`4<4n21b=<750;&1`7<69>1e>i?54198m472290/>i<51058j7b62=;07d??a;29 7b528;<7coan3:1(?j=:034?k4c93>876gi4;29 7b528;<7cob13:1(?j=:034?k4c93>>76gke;29 7b528;<7coc;3:1(?j=:034?k4c93><76g=ed83>!4c:38nh6`=d083?>o5m10;6)h5l80;76g95d83>!4c:3<>h6`=d083?>o1=j0;6)h5l80:76g95c83>!4c:3<>h6`=d081?>o1=h0;6)h5l80876g95883>!4c:3<>h6`=d087?>o1=>0;6)h5l80>76g95783>!4c:3<>h6`=d085?>o1=<0;6)h5l80<76g98;29 7b52?=0b?j>:198m30=83.9h?497:l1`4<632c=97>5$3f1>31o1;3:1(?j=:758j7b62=10e;<50;&1`7<1?2d9h<4:;:k55?6=,;n96;94n3f2>3=h5l80<76g:a;29 7b52?=0b?j>:998m406290/>i<51728j7b62910e<;i:18'6a4=9?:0b?j>:098m43c290/>i<51728j7b62;10e<;l:18'6a4=9?:0b?j>:298m43e290/>i<51728j7b62=10e<;n:18'6a4=9?:0b?j>:498m43>290/>i<51728j7b62?10e<;7:18'6a4=9?:0b?j>:698m430290/>i<51728j7b62110e<;9:18'6a4=9?:0b?j>:898m432290/>i<51728j7b62h10e<;;:18'6a4=9?:0b?j>:c98m435290/>i<51728j7b62j10e<;>:18'6a4=9?:0b?j>:e98m437290/>i<51728j7b62l10e<:i:18'6a4=9?:0b?j>:g98m42b290/>i<51728j7b628:07d?;d;29 7b528<;7co6h5l80:>65f15`94?"5l;0::=5a2e3956=j6=4+2e095366:9j510=83.9h?4>619m6a7=9>10e<:::18'6a4=9?:0b?j>:0:8?l73<3:1(?j=:043?k4c93;276g>4283>!4c:3;=<6`=d082e>=n9=81<7*=d38225=i:m;1=o54i062>5<#:m81=;>4n3f2>4e<3`;?<7>5$3f1>4073g8o=7?k;:k27c<72-8o>7?90:l1`4<6m21b=>k50;&1`7<6>91e>i?51g98m45d290/>i<51728j7b62;:07d?o6;h0;6)h5l809>65f12;94?"5l;0::=5a2e3966=619m6a7=:>10e<=;:18'6a4=9?:0b?j>:3:8?l74;3:1(?j=:043?k4c938276g>3083>!4c:3;=<6`=d081e>=n9::1<7*=d38225=i:m;1>o54i00e>5<#:m81=;>4n3f2>7e<3`;9i7>5$3f1>4073g8o=77?90:l1`4<5m21b=?m50;&1`7<6>91e>i?52g98m44e290/>i<51728j7b62::07d?=a;29 7b528<;7co6:00;6)h5l808>65f13:94?"5l;0::=5a2e3976=:4;h311?6=,;n96<8?;o0g5?5232c:>94?:%0g6?7182d9h<4<6:9j575=83.9h?4>619m6a7=;>10e<<=:18'6a4=9?:0b?j>:2:8?l7593:1(?j=:043?k4c939276g>2183>!4c:3;=<6`=d080e>=n98l1<7*=d38225=i:m;1?o54i03f>5<#:m81=;>4n3f2>6e<3`;:h7>5$3f1>4073g8o=7=k;:k221<72-8o>7?90:l1`4<4m21b=;=50;&1`7<6>91e>i?53g98m405290/>i<51728j7b62=:07d?:e;29 7b528<;7co6=:0;6)h5l80?>65f15:94?"5l;0::=5a2e3906=:4?:%0g6?7182d9h<4;6:9j54e=83.9h?4>619m6a7=<>10e:o50;9j61`=831b;k4?::k115<722c9n?4?::k1f4<722e97777732e:j;4?:%0g6?4792d9h<4n;:m2b0<72-8o>74?:%0g6?4792d9h<4l;:m2b7<72-8o>770:9l5`c=83.9h?4=009m6a7=9810c:008?j7bk3:1(?j=:322?k4c93;876a>ec83>!4c:38;=6`=d0820>=h9lk1<7*=d38144=i:m;1=854o0g;>5<#:m81>=?4n3f2>40<3f;n;7>5$3f1>7663g8o=7?8;:m2a3<72-8o>7i?51898k4c3290/>i<52138j7b628k07b?j3;29 7b52;::7ci6m;0;6)h5l80:o65`1d394?"5l;09<<5a2e395a=>;o0g5?7a32e:hi4?:%0g6?4792d9h<4=0:9l5ae=83.9h?4=009m6a7=:810c:308?j7ci3:1(?j=:322?k4c938876a>d883>!4c:38;=6`=d0810>=h9m21<7*=d38144=i:m;1>854o0f4>5<#:m81>=?4n3f2>70<3f;o:7>5$3f1>7663g8o=7<8;:m2`0<72-8o>7i?52898k4b5290/>i<52138j7b62;k07b?k1;29 7b52;::7ci6l90;6)h5l809o65`1bd94?"5l;09<<5a2e396a=>;o0g5?4a32e:on4?:%0g6?4792d9h<4<0:9l5fd=83.9h?4=009m6a7=;810c:208?j7d13:1(?j=:322?k4c939876a>c683>!4c:38;=6`=d0800>=h9j<1<7*=d38144=i:m;1?854o0a6>5<#:m81>=?4n3f2>60<3f;h87>5$3f1>7663g8o=7=8;:m2g6<72-8o>7i?53898k4e6290/>i<52138j7b62:k07b?l0;29 7b52;::7ci6jo0;6)h5l808o65`1cg94?"5l;09<<5a2e397a=6=4+2e09657k4;n030?6=,;n96?>>;o0g5?5a32e9<>4?:%0g6?4792d9h<4;0:9l5c`=83.9h?4=009m6a7=<810c:508?j7b13:1(?j=:322?k4c93>876a>dd83>!4c:38;=6`=d0870>=h9m91<7*=d38144=i:m;18854o0a;>5<#:m81>=?4n3f2>10<3f;ih7>5$3f1>7663g8o=7:8;:m10g<72-8o>7<;a:l1`4<732e9844?:%0g6?43i2d9h<4>;:m102<72-8o>7<;a:l1`4<532e98;4?:%0g6?43i2d9h<4<;:m100<72-8o>7<;a:l1`4<332e9894?:%0g6?43i2d9h<4:;:m106<72-8o>7<;a:l1`4<132e98?4?:%0g6?43i2d9h<48;:m104<72-8o>7<;a:l1`47<;a:l1`47<;a:l1`47<;a:l1`47<;a:l1`4<6821d>>950;&1`7<5i?51098k751290/>i<525c8j7b628807b<<5;29 7b52;>j7ci5;=0;6)h5l80:865`22194?"5l;098l5a2e3950=k4?:%0g6?43i2d9h<4>8:9l67c=83.9h?4=4`9m6a7=9010c?:0c8?j45k3:1(?j=:36b?k4c93;i76a=2c83>!4c:38?m6`=d082g>=h:;k1<7*=d3810d=i:m;1=i54o30:>5<#:m81>9o4n3f2>4c<3f8947>5$3f1>72f3g8o=7?i;:m163<72-8o>7<;a:l1`4<5821d>?;50;&1`7<5i?52098k743290/>i<525c8j7b62;807b<=3;29 7b52;>j7ci5:;0;6)h5l809865`23394?"5l;098l5a2e3960=:3c8?j46i3:1(?j=:36b?k4c938i76a=1883>!4c:38?m6`=d081g>=h:821<7*=d3810d=i:m;1>i54o334>5<#:m81>9o4n3f2>7c<3f8::7>5$3f1>72f3g8o=77<;a:l1`4<4821d><:50;&1`7<5i?53098k774290/>i<525c8j7b62:807b<>2;29 7b52;>j7ci5990;6)h5l808865`21d94?"5l;098l5a2e3970=84;n03`?6=,;n96?:n;o0g5?5032e9n:18'6a4=:=k0b?j>:2c8?j4713:1(?j=:36b?k4c939i76a=0983>!4c:38?m6`=d080g>=h:9=1<7*=d3810d=i:m;1?i54o36f>5<#:m81>9o4n3f2>6c<3f8?h7>5$3f1>72f3g8o=7=i;:m10f<72-8o>7<;a:l1`4<3821d>9650;&1`7<5i?54098k75c290/>i<525c8j7b62=807b<<2;29 7b52;>j7ci5:>0;6)h5l80?865`20a94?"5l;098l5a2e3900=77775;c451?6=93:1?>0D;:7;n06g?6=3thN1<11Q:k4l{g824?7e28l1=n4=0;3g>4?=910:m7?j:059y!4dl3=8>6`7c;07?k>c2;>0b<7?:19m5g4=82.99<4=529'604=:oo0(?8::49'630==2.9::4:;%05"5>k0>7)<9c;78 70b2<1/>;h55:&135<23-8<=7;4$351>0=#:>9196*=7586?!40=3?0(?99:49'621==2.9;54:;%04=?3<,;=j685+26`91>"5?j0>7)<8d;78 71b2<1/>:h55:&1<5<23-83=7;4$3:1>0=#:19196*=8586?!4?=3?0(?69:49'6=1==2.9454:;%0;=?3<,;2j685+29`91>"50j0>7)<7d;78 7>b2<1/>5h55:&1=5<23-82=7;4$3;1>0=#:09196*=9586?!4>=3?0(?79:49'6<1==2.9554:;%0:=?3<,;3j685+28`91>"51j0>7)<6d;78 7?b2<1/>4h55:&1e5<23-8j=7;4$3c1>0=#:h9196*=a586?!4f=3?0(?o9:49'6d1==2.9m54:;%0b=?3<,;kj685+2``91>"5ij0>7)lh54:&1f5<5kk1/>hh52gf8 7`72>;0(?h>:638 7`12?h0(?h8:3d:?!4ai3>0(?hm:59'236=>:77e?l4b;3:17d9n:188m7372900e?kl:188m2`=831b>h850;9j6`?=831b>9h50;9j53?=83.9h?4>699m6a7=821b=;950;&1`7<6>11e>i?51:9j530=83.9h?4>699m6a7=:21b=;;50;&1`7<6>11e>i?53:9j21`=83.9h?494d9m6a7=821b:9j50;&1`7<1i?51:9j21e=83.9h?494d9m6a7=:21b:9l50;&1`7<1i?53:9l5=>=83.9h?4>869m6a7=821d=5850;&1`7<60>1e>i?51:9l5=2=83.9h?4>869m6a7=:21d=5=50;&1`7<60>1e>i?53:9l5=4=83.9h?4>869m6a7=<21d=5?50;&1`7<60>1e>i?55:9l5=6=83.9h?4>869m6a7=>21d=:h50;&1`7<60>1e>i?57:9l52c=83.9h?4>869m6a7=021d=:j50;&1`7<60>1e>i?59:9l52e=83.9h?4>869m6a7=i21d=:l50;&1`7<60>1e>i?5b:9l52?=83.9h?4>869m6a7=k21d=:650;&1`7<60>1e>i?5d:9l521=83.9h?4>869m6a7=m21d=:850;&1`7<60>1e>i?5f:9l523=83.9h?4>869m6a7=9910c<9;:18'6a4=91=0b?j>:038?j70;3:1(?j=:0:4?k4c93;976a>7383>!4c:3;3;6`=d0827>=h9>;1<7*=d382<2=i:m;1=954o053>5<#:m81=594n3f2>43<3f;3j7>5$3f1>4>03g8o=7?9;:m2<`<72-8o>7?77:l1`4<6?21d=5j50;&1`7<60>1e>i?51998k4>d290/>i<51958j7b628307b?7b;29 7b5282<7ci60h0;6)h5l80:n65`19;94?"5l;0:4:5a2e395f=6=4+2e095=1f:9j0c<72-8o>7:j;o0g5?6<3`>o6=4+2e090`=i:m;1=65f4b83>!4c:3>n7ci<54d9m6a7=;21b944?:%0g6?2b3g8o=7:4;h7;>5<#:m818h5a2e391>=n=?0;6)i?57:9j11<72-8o>7:j;o0g5?><3`?86=4+2e090`=i:m;1565f5383>!4c:3>n7ci<54d9m6a7=j21b9=4?:%0g6?2b3g8o=7m4;h6b>5<#:m818h5a2e39`>=n=o0;6)i?51:9j1f<72-8o>7;j;o0g5?4<3`?i6=4+2e091`=i:m;1?65f6883>!4c:3?n7ci<55d9m6a7==21b:;4?:%0g6?3b3g8o=784;h46>5<#:m819h5a2e393>=n>=0;6)i?59:9j27<72-8o>7;j;o0g5?g<3`<:6=4+2e091`=i:m;1n65f6183>!4c:3?n7ci<55d9m6a7=l21boo4?:%0g6?ef3g8o=7>4;ha:>5<#:m81ol5a2e395>=nk>0;6)i?53:9jg0<72-8o>7mn;o0g5?2<3`i?6=4+2e09gd=i:m;1965fc283>!4c:3ij7ci<5c`9m6a7=?21bo<4?:%0g6?ef3g8o=764;ha3>5<#:m81ol5a2e39=>=njo0;6)i?5b:9jff<72-8o>7mn;o0g5?e<3`hi6=4+2e09gd=i:m;1h65fb`83>!4c:3ij7c290/>i<5c`9m6a7=n21bn54?:%0g6?ef3g8o=7??;:ka3?6=,;n96no4n3f2>47<3`h=6=4+2e09gd=i:m;1=?54ic794?"5l;0hm6`=d0827>=nj=0;6):078?lb5290/>i<5c`9m6a7=9?10ei?50;&1`77:9j`5<72-8o>7mn;o0g5?7?32chj7>5$3f1>fg5<#:m81ol5a2e395d=h5l80:n65fcb83>!4c:3ij7cod03:1(?j=:bc8j7b628n07dlk:18'6a4=kh1e>i?51d98mg4=83.9h?4la:l1`4<6n21d=o950;&1`7<6j?1e>i?50:9l5g3=83.9h?4>b79m6a7=921d=o:50;&1`7<6j?1e>i?52:9l5g5=83.9h?4>b79m6a7=;21b=;k50;&1`7<6>m1e>i?50:9j53e=83.9h?4>6e9m6a7=921b=;l50;&1`7<6>m1e>i?52:9j53g=83.9h?4>6e9m6a7=;21d=om50;&1`7<6jk1e>i?50:9l5gg=83.9h?4>bc9m6a7=921d=o750;&1`7<6jk1e>i?52:9l5g>=83.9h?4>bc9m6a7=;21d=lo50;&1`7<6i01e>i?50:9l5d>=83.9h?4>a89m6a7=921d=l850;&1`7<6i01e>i?52:9l5d3=83.9h?4>a89m6a7=;21d=l:50;&1`7<6i01e>i?54:9l5d5=83.9h?4>a89m6a7==21d=l<50;&1`7<6i01e>i?56:9l5d7=83.9h?4>a89m6a7=?21d=l>50;&1`7<6i01e>i?58:9l5<`=83.9h?4>a89m6a7=121d=4k50;&1`7<6i01e>i?5a:9l5a89m6a7=j21d=4l50;&1`7<6i01e>i?5c:9l5a89m6a7=l21d=4750;&1`7<6i01e>i?5e:9l5<>=83.9h?4>a89m6a7=n21d=4950;&1`7<6i01e>i?51198k4?1290/>i<51`;8j7b628;07b?65;29 7b528k27ci61=0;6)h5l80:?65`18194?"5l;0:m45a2e3951=7:9l5d`=83.9h?4>a89m6a7=9110c:0;8?j7fl3:1(?j=:0c:?k4c93;j76a>ab83>!4c:3;j56`=d082f>=h9hh1<7*=d382e<=i:m;1=n54o0c4>5<#:m81=l74n3f2>4b<3f;2o7>5$3f1>4g>3g8o=7?j;:m2=4<72-8o>7?n9:l1`4<6n21b:8750;&1`7<1=11e>i?50:9j201=83.9h?49599m6a7=921b:8850;&1`7<1=11e>i?52:9j203=83.9h?49599m6a7=;21bml4?:%0g6?g>3g8o=7>4;hc;>5<#:m81m45a2e395>=ni?0;6)i?53:9je1<72-8o>7o6;o0g5?2<3`k86=4+2e09e<=i:m;1965fa383>!4c:3k27ci<5a89m6a7=?21bm=4?:%0g6?g>3g8o=764;h;e>5<#:m81m45a2e39=>=n1l0;6)i?5b:9j=g<72-8o>7o6;o0g5?e<3`3j6=4+2e09e<=i:m;1h65f9883>!4c:3k27ci<5a89m6a7=n21b5:4?:%0g6?g>3g8o=7??;:k:2?6=,;n96l74n3f2>47<3`3>6=4+2e09e<=i:m;1=?54i8694?"5l;0j56`=d0827>=n1:0;6):078?ld6290/>i<5a89m6a7=9?10eo>50;&1`77:9jec<72-8o>7o6;o0g5?7?32cji7>5$3f1>d?5<#:m81m45a2e395d=h5l80:n65fac83>!4c:3k27cof?3:1(?j=:`;8j7b628n07d7l:18'6a4=i01e>i?51d98m<7=83.9h?4n9:l1`4<6n21vn:=::18ag?6=8r.9jk4=d99K232<@?>37W8i:bye>46=9k0:j7?l:3295a<613;36<4n9a961=i0m0986`>9183?k7e:3:0(?;>:370?!42:38mi6*=6486?!41>3?0(?88:49'63>==2.9:44:;%05e?3<,;"5>l0>7)<9f;78 7172<1/>:?55:&137<23-80=#:>?196*=7786?!40?3?0(?97:49'62?==2.9;l4:;%04f?3<,;=h685+26f91>"5?l0>7)<8f;78 7>72<1/>5?55:&1<7<23-83?7;4$3:7>0=#:1?196*=8786?!4??3?0(?67:49'6=?==2.94l4:;%0;f?3<,;2h685+29f91>"50l0>7)<7f;78 7?72<1/>4?55:&1=7<23-82?7;4$3;7>0=#:0?196*=9786?!4>?3?0(?77:49'6"51l0>7)<6f;78 7g72<1/>l?55:&1e7<23-8j?7;4$3c7>0=#:h?196*=a786?!4f?3?0(?o7:49'6d?==2.9ml4:;%0bf?3<,;kh685+2`f91>"5il0?7)"5n90<=6*=f0845>"5n?0=n6*=f681b<=#:ok186*=fc87?!0183<>j6*960851c=n:l91<75f7`83>>o5=90;66g=eb83>>o0n3:17d3:1(?j=:04;?k4c93807d?95;29 7b528<37cn7cn7c07b?71;29 7b5282<7c7583>!4c:3;3;6`=d0825>=h9>91<7*=d382<2=i:m;1=?54o051>5<#:m81=594n3f2>45<3f;<=7>5$3f1>4>03g8o=7?;;:m235<72-8o>7?77:l1`4<6=21d=5h50;&1`7<60>1e>i?51798k4>b290/>i<51958j7b628=07b?7d;29 7b5282<7ci60j0;6)h5l80:565`19`94?"5l;0:4:5a2e395d=d:9l52g=83.9h?4>869m6a7=9l10c<8i:18'6a4=91=0b?j>:0d8?l2a290/>i<54d9m6a7=821b8i4?:%0g6?2b3g8o=7?4;h6`>5<#:m818h5a2e396>=ni?54:9j1=<72-8o>7:j;o0g5?3<3`?=6=4+2e090`=i:m;1:65f5483>!4c:3>n7ci<54d9m6a7=021b9>4?:%0g6?2b3g8o=774;h71>5<#:m818h5a2e39e>=n=80;6)i?5c:9j0d<72-8o>7:j;o0g5?b<3`?m6=4+2e091`=i:m;1<65f5e83>!4c:3?n7ci<55d9m6a7=:21b9o4?:%0g6?3b3g8o=7=4;h4:>5<#:m819h5a2e390>=n>10;6)i?56:9j20<72-8o>7;j;o0g5?1<3`!4c:3?n7ci<55d9m6a7=i21b:<4?:%0g6?3b3g8o=7l4;h43>5<#:m819h5a2e39g>=n=h0;6)i?50:9jg<<72-8o>7mn;o0g5?7<3`i<6=4+2e09gd=i:m;1>65fc783>!4c:3ij7ci<5c`9m6a7=<21bo94?:%0g6?ef3g8o=7;4;ha0>5<#:m81ol5a2e392>=nk;0;6):18'6a4=kh1e>i?58:9jg5<72-8o>7mn;o0g5??<3`hm6=4+2e09gd=i:m;1m65fbd83>!4c:3ij7ci<5c`9m6a7=k21bno4?:%0g6?ef3g8o=7j4;h`b>5<#:m81ol5a2e39a>=nj00;6)i?51198mg1=83.9h?4la:l1`4<6921bn;4?:%0g6?ef3g8o=7?=;:ka1?6=,;n96no4n3f2>45<3`h?6=4+2e09gd=i:m;1=954ic194?"5l;0hm6`=d0821>=nl;0;6):058?lb7290/>i<5c`9m6a7=9110enh50;&1`79:9jg`<72-8o>7mn;o0g5?7f32chh7>5$3f1>fg5<#:m81ol5a2e395f=h5l80:h65fbe83>!4c:3ij7coe:3:1(?j=:bc8j7b628l07b?m7;29 7b528h=7cn3:1(?j=:0c:?k4c93307b?6e;29 7b528k27cl3:1(?j=:0c:?k4c93h07b?6b;29 7b528k27ci3:1(?j=:0c:?k4c93n07b?69;29 7b528k27c03:1(?j=:0c:?k4c93l07b?67;29 7b528k27ci61?0;6)h5l80:=65`18794?"5l;0:m45a2e3957=5:9l5g7=83.9h?4>a89m6a7=9?10c:058?j7fn3:1(?j=:0c:?k4c93;376a>ad83>!4c:3;j56`=d082=>=h9hn1<7*=d382e<=i:m;1=l54o0c`>5<#:m81=l74n3f2>4d<3f;jn7>5$3f1>4g>3g8o=7?l;:m2e2<72-8o>7?n9:l1`4<6l21d=4m50;&1`7<6i01e>i?51d98k4?6290/>i<51`;8j7b628l07d8:9;29 7b52??37ci?50:9je=<72-8o>7o6;o0g5?7<3`k=6=4+2e09e<=i:m;1>65fa483>!4c:3k27ci<5a89m6a7=<21bm>4?:%0g6?g>3g8o=7;4;hc1>5<#:m81m45a2e392>=ni80;6)i?58:9j=c<72-8o>7o6;o0g5??<3`3n6=4+2e09e<=i:m;1m65f9e83>!4c:3k27ci<5a89m6a7=k21b5l4?:%0g6?g>3g8o=7j4;h;:>5<#:m81m45a2e39a>=n110;6)i?51198m<0=83.9h?4n9:l1`4<6921b584?:%0g6?g>3g8o=7?=;:k:0?6=,;n96l74n3f2>45<3`386=4+2e09e<=i:m;1=954i8094?"5l;0j56`=d0821>=nj80;6):058?lga290/>i<5a89m6a7=9110elk50;&1`79:9jea<72-8o>7o6;o0g5?7f32cjo7>5$3f1>d?5<#:m81m45a2e395f=h5l80:h65f9b83>!4c:3k27co>93:1(?j=:`;8j7b628l07p}=ec83>6}Y:lh01:=;:3g:?814=38n56s|65`94??|V?>i709<4;47b>;0;=0=8i52726921e<5>9?6;:m;<501?03n27363=>=i01:=::76a?xu203:1?vP:8:?471<3n27?7>53z\67>;0;=0>56383486=>{t=;0;6>uQ539>362==116;>;5599~w07=839pR8?4=617>00<5>9>6884}r73>5<4sW?;709<4;76?814=3?>7p};a;297~X3i271=;74}rgf>5<5sWon709<4;cb?xubl3:1>vPjd:?4711m;5rsd`94?4|Vlh01:=;:`78yvcf2909wSkn;<500?g33tyn47>52z\f<>;0;=0j?6s|e683>7}Ym>16;>:5a39~w`0=838pRh84=617>d76=4={_g6?814<3;=;6s|e583>7}Ym=16;>:5a19~w`5=838pRh=4=617><`{tlk0;6?uQdc9>362=9?<0q~jn:181[bf34=88778;|qg=?6=:rTo5638358:2>{tl10;6?uQd99>362=1<1vi950;0xZa1<5>9?64:4}rf5>5<5sWn=709<4;;0?xuc=3:1>vPk5:?471<>:2wxh94?:3y]`1=:?:>1n<5rs03a>5<5sW;:n638358a4>{t98k1<7:5ae9~wc`=838pRkh4=617>de8;296~X69116;>;517;8yv76>3:1>vP>179>363=ih1v9>6l;4}r325?6=:rT:=<527279e1=z{8;;6=4={_324>;0;<0j?6s|11d94?4|V8:m709<5;c1?xu68l0;6?uQ11g892522h;0q~??d;296~X68m16;>;51758yv77k3:1>vP>0b9>363=i91v<>m:181[77j276;<501??b3ty:<54?:3y]55><5>9>64j4}r333?6=:rT:<:527279=g=z{8:=6=4={_332>;0;<02m6s|11794?4|V8:>709<5;;:?xu68=0;6?uQ116892522020q~??3;296~X68:16;>;51748yv77:3:1>vP>039>363=1>1v<>>:181[77927?;<501??23tymi7>52z\ea>;0;<0286s|fe83>7}Ynm16;>;5929~wce=838pRkm4=616><4638348b3>{tn80;6?uQf09>363=1j1vk>50;0xZc6<5>9>64?4}r0fa?6=;rT9ih5272696`e<5>9>6?kl;|q5;0;<0>j6s|6783>6}Y>?16;>:55e9>363==m1v;;50;1xZ33<5>9?68m4=616>0em7>53z\6e>;0;=0=863834850>{t?h0;6>uQ7`9>362=?h16;>;57`9~w72a2908wS<;f:?471<5;525d8yv1a2908wS9i;<500?1a34=8979i;|q115<72:qU>8>4=617>73734=897<:0:p674=838pR?<=;<500?7ek2wx>??50;0xZ74634=887?na:p676=838pR?<650;0xZ77?34=887?ma:p641=838pR??8;<500?7f82wx><850;0xZ77134=887?6f:p643=838pR??:;<500?7>m2wx><:50;0xZ77334=887?6d:p645=838pR??<;<500?7>j2wx><<50;0xZ77534=887?6a:p646=838pR???;<500?7>12wx>=h50;0xZ76a34=887?68:p65c=838pR?>j;<500?7e12wx>=j50;0xZ76c34=887?67:p65e=838pR?>l;<500?7>>2wx>=l50;0xZ76e34=887?65:p65g=838pR?>n;<500?7><2wx>=750;0xZ76>34=887?63:p65>=838pR?>7;<500?7>:2wx>=950;0xZ76034=887?m1:p61c=838pR?:j;<500?7e82wx>9j50;0xZ72c34=887?m8:p61e=838pR?:l;<500?7fn2wx>9650;0xZ72?34=887?ne:p66b=838pR?=k;<500?7fl2wx>><50;0xZ75534=887?nc:p671=838pR?<8;<500?7fj2wx>;<500?7>k2wx>=850;0xZ76134=887?61:p61d=838pR?:m;<501?7ek2wx>9750;0xZ72>34=897?na:p611=838pR?:8;<501?7f02wx>9850;0xZ72134=897?n6:p613=838pR?::;<501?7f=2wx>9:50;0xZ72334=897?n4:p615=838pR?:<;<501?7f;2wx>9<50;0xZ72534=897?n2:p617=838pR?:>;<501?7f92wx>9>50;0xZ72734=897?ma:p66`=838pR?=i;<501?7f82wx>>k50;0xZ75b34=897?6f:p66e=838pR?=l;<501?7>m2wx>>l50;0xZ75e34=897?6d:p66g=838pR?=n;<501?7>j2wx>>750;0xZ75>34=897?6a:p66>=838pR?=7;<501?7>12wx>>950;0xZ75034=897?68:p660=838pR?=9;<501?7e12wx>>;50;0xZ75234=897?67:p662=838pR?=;;<501?7>>2wx>>=50;0xZ75434=897?65:p667=838pR?=>;<501?7><2wx>>>50;0xZ75734=897?63:p67`=838pR?:2wx>?k50;0xZ74b34=897?m1:p67b=838pR??m50;0xZ74d34=897?m8:p67d=838pR??o50;0xZ74f34=897?ne:p67?=838pR?<6;<501?7fl2wx>?650;0xZ74?34=897?nc:p670=838pR?<9;<501?7fj2wx>?;50;0xZ74234=897?n7:p672=838pR?<;;<501?7>k2wx>?=50;0xZ74434=897?61:p<`<72kip1;8::37`?[>b3W8m?6P=e39]6`6X5l016;>:52d4892532;o87S?md:?471<2;2727l16;>:517a8925328;0;=0=94527269201<5>9?6;;9;<500?02=2T:o55Q1e18Z4bb3W;n56P>f59]5c`X6k81U=n<4^0a0?[7d<2T:o85Q1b48Z4e03W;h56P>c`9]5fdX6l;1U=i:4^0f6?[7c>2T:h:5Q1e:8Z4b>34=89705<5>9>68<4=616>07<5>9>68>4=616>1g<5>9>6;=4=616>34<5>9>6;?4=616>36<5>9>68o4=616>fd<5>9>6n74=616>f1<5>9>6n84=616>f3<5>9>6n:4=616>f5<5>9>6n<4=616>f7<5>9>6n>4=616>g`<5>9>6ok4=616>ge<5>9>6ol4=616>gg<5>9>6o74=616>g><5>9>6o94=616>g0<5>9>6o;4=616>g2<5>9>6o=4=616>a4<5>9>6i?4=616>a6<5>9>6nh4=616>fc<5>9>6nj4=616>fe<5>9>6n64=616>gb<5>9>6o<4=616>40b34=897?9c:?470<6>k16;>;517c892522??2709<5;463>;0;<0=9;527279203X6m;1U=h=4^0g7?[7b=2T:i;5Q1d58Z4c?3W;nm6P>ec9]5`e;_3e6>X6n:1U=k;4^0d5?[7a?2T:j55Q1g;8Z4`f3W;mn6P>fb9]5cb2;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<297>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<247>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<j57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<j;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<8;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<897>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<847>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<?57>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<?;7>54;294~"5no09nh5G6768L32?3-8hh79<2:k47?6=3`3;6=44i3d1>5<?>0D;:7;%0``?14:2c5;h;3>5<5<53;294~"5no0=:>5G6768L32?3`=86=44i3`7>5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b3<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i84?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j84?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a1<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b1<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i>4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j>4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a7<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b7<72:0;6=u+2gd9235<@?5;n0`g?6=3th8i<4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8j<4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0a5<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`0b5<72:0;6=u+2gd9235<@?5;n0`g?6=3th8hk4?:583>5}#:ol1>ok4H747?M0302c5;h;3>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;h06b?6=3`8=<7>5;n0`g?6=3th8ik4?:283>5}#:ol1:;=4H747?M0302c5;h0a0?6=3f8ho7>5;|`0``<72=0;6=u+2gd96gc<@?5<?>0D;:7;%05`?5d3`8>j7>5;h054?6=3f8ho7>5;|`767<72=0;6=u+2gd9603<@?>{e<;;1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn95<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi8N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb53`>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg26j3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?=l4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm40;94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f1>b290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5:g>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn96l:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f1>f290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb5::>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn967:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f1>1290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55`>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn99m:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f11>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb55;>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn998:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f112290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb50;>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg25=3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?>k4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm43`94?2=83:p(?hi:374?M01<2B=855+27f9g>o083:17d6m:188m7b32900c?j9:188yg25i3:187>50z&1bc<5=>1C:;:4H76;?!41l3i0e:>50;9jN1<11b;>4?::k1f1<722e9on4?::a0`6=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c?29086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j8:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ih50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k8:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b1290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ac=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c129086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j::187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8ij50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k::180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b3290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ae=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c329086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j<:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8il50;194?6|,;lm6?l7;I450>N1<11/>;j53b9j60`=831b>;>50;9l6fe=831vn9k<:180>5<7s-8mj7893:J521=O>=20e:=50;9j6g2=831d>nm50;9~f1b5290?6=4?{%0eb?4em2B=:95G65:8m25=831b5=4?::k1b7<722e9on4?::a0ag=8391<7>t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f1c529086=4?{%0eb?01;2B=:95G65:8m25=831b>o:50;9l6fe=831vn9j>:187>5<7s-8mj7=20e:=50;9j=5<722c9j?4?::m1gf<722wi8h?50;194?6|,;lm6;8<;I450>N1<11b;>4?::k1f1<722e9on4?::a0a6=83>1<7>t$3de>7db3A<=86F9499j36<722c2<7>5;h0e6?6=3f8ho7>5;|`643<72=0;6=u+2gd9603<@?>{e=9?1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn8>;:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi9=?50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb423>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg2an3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th?jh4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm4gf94?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f02?290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb464>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:9:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<>6=4::183!4an38>:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f023290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb460>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8:=:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f05>290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb41;>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=8:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<:6F9659K21><,;5f7183>>o093:17d68:188m=d=831d>i850;9~f052290>6=4?{%0eb?42>2B=:95G65:8 70c2:1b;=4?::k45?6=3`2<6=44i9`94?=h:m<1<75rb417>5<2290;w)N1>=1C:964$34g>6=n?90;66g81;29?l>02900e5l50;9l6a0=831vn8=<:186>5<7s-8mj7<:6:J521=O>=20(?8k:29j35<722c<=7>5;h:4>5<46F9659K21><,;>o093:17d6m:188m7b32900c?j9:188yg3503:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f7083>>o??3:17d6m:188k7b12900qo;=6;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<55;294~"5no099;5G6768L32?3-8=h7=4i6294?=n?80;66g77;29?l>e2900c?j9:188yg35<3:197>50z&1bc<5=?1C:;:4H76;?!41l390e:>50;9j34<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f7083>>o??3:17d6m:188k7b12900qo;=2;291?6=8r.9jk4=579K232<@?>37)<9d;18m26=831b;<4?::k;3?6=3`2i6=44o3f5>5<55;294~"5no09955G6768L32?3-8=h7m4i6294?=n?80;66g7b;29?l4c<3:17b0;694?:1y'6c`=:"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?o850;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`6>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e<3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8n>4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm3c094?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6d6290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=79;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0<=<72=0;6=u+2gd9603<@?>{e;1=1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>69:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?5=50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2;7>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5>;3:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th85?4?:583>5}#:ol1>8;4H747?M0302.9:i4<;h53>5<>i5l?0;66sm38394?2=83:p(?hi:376?M01<2B=855+27f97>o083:17d68:188m=d=831d>i850;9~f6?7290?6=4?{%0eb?42=2B=:95G65:8 70c2:1b;=4?::k;3?6=3`2i6=44o3f5>5<54;294~"5no09985G6768L32?3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<8d83>1<729q/>kh52478L3033A1<7>t$3de>7323A<=86F9499'63b=;2c<<7>5;h:4>5<96F9659K21><,;5f7183>>o??3:17d6m:188k7b12900qo=l0;290?6=8r.9jk4=549K232<@?>37)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`0fc<72=0;6=u+2gd9603<@?>{e;ko1<7:50;2x 7`a2;?>7E894:J50==#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>lk:187>5<7s-8mj7<:5:J521=O>=20(?8k:29j35<722c3;7>5;h:a>5<?>0D;:7;%05`?5:1<75f8683>>o?j3:17b"5>m087d9?:188m=1=831b4o4?::m1`3<722wi?oo50;694?6|,;lm6?;:;I450>N1<11/>;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb2`:>5<3290;w)N1>=1C:964$34g>6=n?90;66g77;29?l>e2900c?j9:188yg5e03:187>50z&1bc<5=<1C:;:4H76;?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>;k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<5b83>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb27a>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`01d<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f63>290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th89;4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=>:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3183>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb20e>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`06`<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f64c290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8>l4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn><6:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7883>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb25;>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`032<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f611290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8;>4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>9=:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<7083>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb260>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`007<72=0;6=u+2gd9603<@?"5jm09jh5+27f97>o083:17d68:188m=d=831d>i850;9~f626290?6=4?{%0eb?42=2B=:95G65:8L3e<,;ho6?hj;%05`?5:1<75f8683>>o?j3:17bN1k2.9ni4=fd9'63b=;2c<<7>5;h:4>5<96F9659K21><@?i0(?lk:3df?!41l390e:>50;9j<2<722c3n7>5;n0g2?6=3th8?h4?:583>5}#:ol1>8;4H747?M0302B=o6*=be81b`=#:?n1?6g80;29?l>02900e5l50;9l6a0=831vn>=k:187>5<7s-8mj7<:5:J521=O>=20D;m4$3`g>7`b3-8=h7=4i6294?=n0>0;66g7b;29?j4c>3:17pl<3b83>1<729q/>kh52478L3033A;j53:k44?6=3`2<6=44i9`94?=h:m<1<75rb21a>5<3290;w)N1>=1C:964H7a8 7dc2;ln7)<9d;18m26=831b4:4?::k;f?6=3f8o:7>5;|`642<72:0;6=u+2gd96g><@?49729j60`=831b>;>50;9l6fe=831vn96i:185>5<7s-8mj7=20(?8k:308 7b42?=87d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl92b83>6<729q/>kh52c:8L3033A"5l:0=;i5f24d94?=n:?:1<75`2ba94?=zj?8o6=4<:183!4an38i46F9659K21><,;31d3`8>j7>5;h054?6=3f8ho7>5;|`504<72:0;6=u+2gd96g><@?497e9j60`=831b>;>50;9l6fe=831vn;:8:180>5<7s-8mj7=20(?8k:078 7b42?=h7d<:f;29?l4183:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<0o1<7;50;2x 7`a2;hj7E894:J50==#:?n1?i5f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=3h6=4::183!4an38im6F9659K21><,;j4i37e>5<5<5<2m7>55;294~"5no09nl5G6768L32?3-8=h7=k;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;n0`g?6=3th?m?4?:283>5}#:ol1>o64H747?M0302.9:i4N1<11/>;j53e9j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9l>:186>5<7s-8mj7=20(?8k:2f8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo:nf;291?6=8r.9jk4=b`9K232<@?>37)<9d;1g?l42n3:17d<90;29?l4193:17d<92;29?j4dk3:17pl;b483>6<729q/>kh52c:8L3033Ao5=o0;66g=6183>>i5kj0;66sm45294?3=83:p(?hi:3`b?M01<2B=855+27f97a=n:5<2290;w)N1>=1C:964$34g>6b5<5<?>0D;:7;%05`?5c3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`77d<72<0;6=u+2gd96gg<@?t$3de>7d?3A<=86F9499'63b=;j1b>8h50;9j636=831d>nm50;9~f134290>6=4?{%0eb?4ei2B=:95G65:8 70c2:n0e?;i:188m7072900e?8>:188m7052900c?ml:188yg2293:197>50z&1bc<5jh1C:;:4H76;?!41l39o7d<:f;29?l4183:17d<91;29?l41:3:17b"5>m08h6g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<<,;=4i37e>5<5<?>0D;:7;%05`?5?3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0`d<72=0;6=u+2gd96g?<@?49719j60`=831b>;>50;9j637=831d>nm50;9~f6bd290>6=4?{%0eb?4ei2B=:95G65:8 70c211/>i=566;8m73a2900e?8?:188m7062900e?8=:188k7ed2900qo=kd;292?6=8r.9jk4=bc9K232<@?>37)<9d;;8 7b42?=27d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?j4dk3:17pl1<729q/>kh52c;8L3033A>o5>90;66g=6083>>i5kj0;66sm47394?5=83:p(?hi:3`;?M01<2B=855+27f97f=n:5<3290;w)N1>=1C:964$34g>12<,;n86;6<;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`721<72<0;6=u+2gd96gg<@?49829j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<;:187>5<7s-8mj7=20(?8k:7f8 7b42?2?7d<:f;29?l4183:17d<91;29?j4dk3:17pl;2e83>0<729q/>kh52cc8L3033A"5l:0=495f24d94?=n:?:1<75f27394?=n:?81<75`2ba94?=zj=886=4::183!4an38im6F9659K21><,;3>63`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`762<72<0;6=u+2gd96gg<@?49809j60`=831b>;>50;9j637=831b>;<50;9l6fe=831vn9<6:187>5<7s-8mj7=20(?8k:7c8 7b42?2>7d<:f;29?l4183:17d<91;29?j4dk3:17pl;cg83>2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4cf94?1=83:p(?hi:3``?M01<2B=855+27f92>o5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm4b594?2=83:p(?hi:3`:?M01<2B=855+27f96==n:<,;=4i37e>5<5<?>0D;:7;%05`?433`8>j7>5;h054?6=3f8ho7>5;|`64a<72:0;6=u+2gd96g><@?1<7>t$3de>7d>3A<=86F9499'63b=<=1/>i=56908m73a2900e?8?:188m7062900c?ml:188yg3683:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm38494?5=83:p(?hi:3`;?M01<2B=855+27f961=#:m91::?4i37e>5<5<54;294~"5no09n45G6768L32?3-8=h7=j;%0g7?0092c99k4?::k125<722c9:<4?::m1gf<722wi?nl50;194?6|,;lm6?l7;I450>N1<11/>;j5259j60`=831b>;>50;9l6fe=831vn>mk:187>5<7s-8mj7=20(?8k:2g8 7b42?=97d<:f;29?l4183:17d<91;29?j4dk3:17pl<0g83>6<729q/>kh52c:8L3033A"5l:0=;55f24d94?=n:?:1<75`2ba94?=zj::n6=4<:183!4an38i46F9659K21><,;31?3`8>j7>5;h054?6=3f8ho7>5;|`04a<72:0;6=u+2gd96g><@?t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f66d29086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:75a?l42n3:17d<90;29?j4dk3:17pl<0c83>6<729q/>kh52c:8L3033A"5l:0=;o5f24d94?=n:?:1<75`2ba94?=zj::<6=4<:183!4an38i46F9659K21><,;3103`8>j7>5;h054?6=3f8ho7>5;|`043<72:0;6=u+2gd96g><@?49769j60`=831b>;>50;9l6fe=831vn>>::180>5<7s-8mj7=20(?8k:368 7b42?=j7d<:f;29?l4183:17b4?:1y'6c`=:k20D;8;;I47<>"5>m0986*=d2853d=n:5<4290;w)N1>=1C:964$34g>72<,;n86;9:;h06b?6=3`8=<7>5;n0`g?6=3th8<>4?:283>5}#:ol1>o64H747?M0302.9:i4=4:&1`6<1??1b>8h50;9j636=831d>nm50;9~f66529086=4?{%0eb?4e02B=:95G65:8 70c2;>0(?j<:755?l42n3:17d<90;29?j4dk3:17pl<0183>6<729q/>kh52c:8L3033A"5l:0=;85f24d94?=n:?:1<75`2ba94?=zj:326=4::183!4an38im6F9659K21><,;31a3`8>j7>5;h054?6=3`8==7>5;h056?6=3f8ho7>5;|`0=g<72?0;6=u+2gd96gd<@?497g9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f6?c290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5dm3:197>50z&1bc<5jh1C:;:4H76;?!41l38=7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm3e294?0=83:p(?hi:3`a?M01<2B=855+27f97c=#:m91:5>4i37e>5<5<5<?>0D;:7;%05`?403`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`0e1<72>0;6=u+2gd96ge<@?4?::k121<722e9on4?::a7f>=83=1<7>t$3de>7dd3A<=86F9499'63b=:>1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6?a290<6=4?{%0eb?4ek2B=:95G65:8 70c2;=0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg5f:3:187>50z&1bc<5j01C:;:4H76;?!41l38>7d<:f;29?l4183:17d<91;29?j4dk3:17pl2<729q/>kh52ca8L3033Ao5=o0;66g=6183>>o5>80;66g=6383>>o5>:0;66g=6583>>i5kj0;66sm3b194?1=83:p(?hi:3``?M01<2B=855+27f962=n:5<3290;w)N1>=1C:964$34g>73<,;n86;9=;h06b?6=3`8=<7>5;h055?6=3f8ho7>5;|`0g0<72>0;6=u+2gd96ge<@?4?::k121<722e9on4?::a0db=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a01b=83?1<7>t$3de>7df3A<=86F9499'63b=j2c99k4?::k125<722c9:<4?::k127<722e9on4?::a0a>=8391<7>t$3de>7d?3A<=86F9499'63b=:=1b>8h50;9j636=831d>nm50;9~f1b>29086=4?{%0eb?4e02B=:95G65:8 70c2;>0e?;i:188m7072900c?ml:188yg2dj3:197>50z&1bc<5jh1C:;:4H76;?!41l3827d<:f;29?l4183:17d<91;29?l41:3:17b"5>m09m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>i5kj0;66sm4bf94?1=83:p(?hi:3``?M01<2B=855+27f96g=n:5<4290;w)N1>=1C:964$34g>625<?>0D;:7;%05`?4e3`8>j7>5;h054?6=3`8==7>5;h056?6=3`8=?7>5;h050?6=3f8ho7>5;|`71=<72>0;6=u+2gd96ge<@?8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`a290<6=4?{%0eb?4ek2B=:95G65:8 70c2h1b>8h50;9j636=831b>;?50;9j634=831b>;=50;9j632=831d>nm50;9~f6`b29086=4?{%0eb?4e02B=:95G65:8 70c2:>0e?;i:188m7072900c?ml:188yg27:3:1;7>50z&1bc<5jj1C:;:4H76;?!41l3;=7d<:f;29?l4183:17d<91;29?l41:3:17d<93;29?l41<3:17b"5>m0896g=5g83>>o5>90;66g=6083>>o5>;0;66a=cb83>>{e<931<7950;2x 7`a2;hh7E894:J50==#:?n1m6g=5g83>>o5>90;66g=6083>>o5>;0;66g=6283>>o5>=0;66a=cb83>>{e<9=1<7850;2x 7`a2;hi7E894:J50==#:?n1?;5f24d94?=n:?:1<75f27394?=n:?81<75f27194?=h:ji1<75rb52a>5<0290;w)N1>=1C:964$34g>d=n:5<1290;w)N1>=1C:964$34g>605<5<5<;h7>57;294~"5no09nn5G6768L32?3-8=h7=8;h06b?6=3`8=<7>5;h055?6=3`8=>7>5;h057?6=3`8=87>5;n0`g?6=3th?:?4?:683>5}#:ol1>om4H747?M0302.9:i47f:k11c<722c9:=4?::k124<722c9:?4?::k126<722c9:94?::m1gf<722wi9=k50;594?6|,;lm6?ll;I450>N1<11/>;j58g9j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn>>7:180>5<7s-8mj7=20(?8k:368 7b42?=?7d<:f;29?l4183:17b0;6;4?:1y'6c`=:kh0D;8;;I47<>"5>m099l5+2e192=35<5<5<>j7>56;294~"5no09no5G6768L32?3-8=h7:9;%0g7?00m2c99k4?::k125<722c9:<4?::k127<722c9:>4?::m1gf<722wi8;>50;594?6|,;lm6?ll;I450>N1<11/>;j5469j60`=831b>;>50;9j637=831b>;<50;9j635=831b>;:50;9l6fe=831vn9;j:186>5<7s-8mj7=20(?8k:578 7b42?=n7d<:f;29?l4183:17d<91;29?l41:3:17b"5>m0?86*=d2852c=n:<,;m4$3f0>30a3`8>j7>5;h054?6=3f8ho7>5;|`64g<72?0;6=u+2gd96gd<@?2.9h>496d9j60`=831b>;>50;9j637=831b>;<50;9j635=831d>nm50;9~f06d290<6=4?{%0eb?4ek2B=:95G65:8 70c2==0e?;i:188m7072900e?8>:188m7052900e?8<:188m7032900c?ml:188yg37i3:197>50z&1bc<5jh1C:;:4H76;?!41l3>>7)o5=o0;66g=6183>>o5>80;66g=6383>>i5kj0;66sm51;94?2=83:p(?hi:3`:?M01<2B=855+27f901=n:<,;m4i37e>5<5<53;294~"5no09n55G6768L32?3-8=h7=l;%0g7?00<2c99k4?::k125<722e9on4?::a0f3=8381<7>t$3de>7d43A<=86F9499j60c=831d>nm50;9~f02f29096=4?{%0eb?4e;2B=:95G65:8m73b2900c?ml:188yg05j3:1>7>50z&1bc<5j:1C:;:4H76;?l42m3:17bo5=l0;66a=cb83>>{t?=31<79t^66:?805n38o86393181`1=:>:;1>i:4=761>7b334<8o7n?50;`x93012;in708=5;0f1>;1:<09i552486936=:52426936=:<==1;>523eg936=:;ll1;>524e2936=:5rs3a1>5<1mr7=:84=5b9>27`=0k16:>o58c9>26d=0k16:>;58c9>260=0k16:>958c9>273=:lh01;<::77f?805=3<>o63924851g=:>;?1:8o4=706>33>34<9978:7:?560<1=?16:?;56478934228<:708=5;36b>;1:<0:9i52637950e<5?8>6<;m;<411?72i27=>84>589>273=9<201;<::074?805=3;>:639248210=:>;?1=8:4=706>43534<997?:1:?560<6=916:?;515d8934228>n708=5;37`>;1:<0:8n52637951d<5?8>6<:n;<411?73127=>84>469>273=9=<01;<::066?805=3;?8639248206=:>;?1=9<4=706>42634<997?;0:?560<6;o16:?;512g89342289h708=5;30f>;1:<0:?l52637956?<5?8>6<=7;<411?74?27=>84>379>273=9:?01;<::017?805=3;8?639248274=:>;?1=>>4=706>44a34<997?=e:?560<6:m16:?;513a89342288i708=5;31e>;1:<0:>452637957><5?8>6<<9;<411?75=27=>84>259>273=9;901;<::001?805=3;9=639248265=:>;?1=47b34<997?>d:?560<6>=16:?;51718934228<9708=5;36a>;1:<0:9>52637951><5?8>6<=k;<411?74:27=>84>269>273=98i01;<::3`1?805=38i=63;998:4>;31=02<63;948:4>;31?02<63;968:4>;3ik02<63;a68:4>;3i102<63;a88:4>;3ih02<63;398:4>;3;=02<63;348:4>;3;?02<63;368:4>;3;3<102<63;488:4>;30a>=:22;n=70:6c;055>;3io09:<524ec960`54z?0<3<5l?1684m524d891ga2;?m70:kb;06b>{t;>o1<7:t=5f`>7073493;78168o?52738yv50n3:18v3;de8125=:;121>i84=5;f>73a34>i=7<:f:p7=6=83>p19jj:343?85?138o:63;a18124=:;?4}r1;5?6=7=g=:m<019o?:37e?82e;38>j6s|39094?2|5=o;6?8?;<1;f?4c>27?m?4=5g9>0g3=:i84=51b>70534>?h7<92:p7d1=83?p1>k8:37e?85cn33;70=m0;0g2>;3;h09:=5245f963655z?0a=<5=o16?h>5919>7g7=:m<019=l:341?823n38=>6s|3`;94?3|5:o26?;i;<1f5??7349i>791689h52728yv5fi3:19v3;>4}r1bg?6==r78in4=5g9>7`2=1916?o;52e4891272;<970::3;056>{t;hn1<7;t=2gg>73a349n977?;<1a2?4c>27?8=4=619>005=:?:0q~=ne;290~;4ml099k523c596a0<5=>96?8?;<661?4182wx:?o50;01805=3<>8639248517=:>;?1:8?4=706>33734<9978;f:?560<1i708=5;07b>;2:80<=63:23845>;2::0<=63:25845>;2:<0<=63:27845>;2:>0<=63:29845>;2;;0<=63:32845>;2;=0<=63:34845>;2;?0<=63:36845>;2;10<=63:38845>;2;h0<=63:43845>;2<:0<=63:45845>;2<<0<=63:47845>;2<>0<=63:49845>;28>09on5rs5`b>5<5s4>im7;3nm09h;5rs5d7>5<5sW=?i63;fd81`3=z{=l>6=4={_57b>;3no09h;5rs5d5>5<5sW=><63:0181`3=z{=l<6=4={_565>;28809h;5rs5d;>5<5sW=>>63:0381`3=z{=l26=4={_567>;28:09h;5rs5db>5<5sW=>863:0581`3=z{=li6=4={_561>;28<09h;5rs5d`>5<5sW=>;63:0781`3=z{<9i6=49{<411?3634>o>77?;<776?4c>27875?=:?:019jn:343?xu2;j0;6;u2637917=:j63;d58:4>;2<=09h;5231a960`<5::i6?8?;|q67`<72?q6:?;5559>0ab=:;48j09:=5rs41e>5<1s4<997;:;<6ga?42n27?h;460:?603<5l?16?=k524d8966c2;<;7p}:4183>3}:>;?19;524ed960`<5=n<64>4=464>7b1349;j7<:f:?04`<5>91v8:>:186805=3?370:j0;06b>;2<109h;523b29;>4}r41020=?8168:95709>02>=?8168:75709>02g=?8168:l5709>02e=?8168585709>0=1=?8168565709>0=?=?81685o5709>0=d=?81685m5709>0=b=?81685k5709>075=:ji0q~8=9;290<}:=0?1;<52584934=:=h;1;<525`a934=:=k=1;<525b0934=:=jn1;<525e:934=:=mh1;<525ea934=:=0=1;<5258:934=:=031;<5258c934=:=0h1;<5258a934=:=0n1;<5258g934=:=0l1;<525`2934=:=h81;<525`1934=:=h>1;<525`7934=:=h<1;<525`5934=:=h21;<525`;934=:=hk1;<525``934=:=hn1;<525`g934=:=hl1;<525c2934=:=k;1;<525c0934=:=k91;<525c6934=:=k?1;<525c4934=:=k21;<525c;934=:=kk1;<525c`934=:=ki1;<525cf934=:=ko1;<525cd934=:=j:1;<525b3934=:=j91;<525b6934=:=j?1;<525b4934=:=j=1;<525b:934=:=j31;<525bc934=:=jh1;<525ba934=:=jo1;<525bd934=:=m:1;<525e3934=:=m81;<525e1934=:=m>1;<525e7934=:=m<1;<525e5934=:=m31;<525ec934=:<;>1>nm4}r66e?6==r7?9l4=d79>075=:;3:k09h;5rs52e>5<5sW=8463;1881`3=z{=;;6=4={_50=>;39h09h;5rs532>5<5sW=8m63;1c81`3=z{=;96=4={_50f>;39j09h;5rs530>5<5sW=8o63;1e81`3=z{=;?6=4={_50`>;39l09h;5rs536>5<5sW=8i63;1g81`3=z{=;=6=4={_50b>;3:909h;5rs534>5<5sW=?<63;2081`3=z{=;36=4={_576>;3:;09h;5rs55g>506g=:?;01>>?:37e?823l38==63;638125=:<;>4=543>70734>>i7<90:?71a<5>91688m52728934e2;?n7p};7d83>3}:>;?1:=523d59636<5=2<6?j9;<60e?42n278<<4=5g9>756=:?:0q~:8f;292~;1:<0==63i84=51`>706349;=7<90:?047<5=o1v96?:185805=3<970=j9;054>;30009h;5242a960`<5::86?;i;<136?4182wx85?50;4x93422?901>kn:343?82?i38o:63;3d8124=:;9>1>8h4=220>7073ty?4?4?:7y>273=>=16?hl5272891>e2;n=70:;48<099k5231696363?7>56z?560<1=278in4=619>0=e=:m<019:?:342?857>38>j63<048125=z{=2?6=49{<411?01349nh7<90:?7524d896602;?m70=?6;054>{t<1?1<78t=706>3><5:on6?8?;<6;a?4c>2785947b:?707<5=o16?=952728yv33l3:1>vP9db9>1<3=:m<0q~;:8;296~X1m>1694852e48yv31;3:1>vP9f39>1d7=:m<0q~;9e;296~X1nm169lm52e48yv3013:1>vP8099>1g1=:m<0q~;74;296~X09:169n<52e48yv3?n3:1>vP81d9>1fb=:m<0q~;62;296~X0:;169i652e48yv3>;3:1>vP8229>1ad=:m<0q~;64;296~X0:=169im52e48yv33m3:1>vP9de9>1<1=:m<0q~;;f;296~X1ll1694652e48yv3283:1>vP9dg9>1vP9e09>1vP9e29>13:1>vP9e49>1<`=:m<0q~;:7;296~X1m?169l>52e48yv3213:1>vP9e99>1d4=:m<0q~;:a;296~X1m0169l=52e48yv32j3:1>vP9e`9>1d2=:m<0q~;:c;296~X1mk169l;52e48yv32l3:1>vP9eb9>1d0=:m<0q~;:e;296~X1mm169l952e48yv32n3:1>vP9ed9>1d>=:m<0q~;90;296~X1mo169l752e48yv3193:1>vP9f19>1dg=:m<0q~;92;296~X1n8169ll52e48yv31<3:1>vP9f29>1db=:m<0q~;95;296~X1n=169lk52e48yv31>3:1>vP9f49>1d`=:m<0q~;97;296~X1n?169o>52e48yv3103:1>vP9f69>1g7=:m<0q~;99;296~X1n1169o<52e48yv31i3:1>vP9f89>1g5=:m<0q~;9b;296~X1nh169o:52e48yv31k3:1>vP9fc9>1g3=:m<0q~;9d;296~X1nj169o852e48yv31n3:1>vP9fd9>1g>=:m<0q~;80;296~X1no169o752e48yv3093:1>vP8019>1gg=:m<0q~;82;296~X088169ol52e48yv30;3:1>vP8039>1ge=:m<0q~;84;296~X08:169oj52e48yv30=3:1>vP8059>1gc=:m<0q~;86;296~X08<169oh52e48yv30?3:1>vP8079>1f6=:m<0q~;88;296~X08>169n?52e48yv30i3:1>vP8089>1f5=:m<0q~;8b;296~X08h169n:52e48yv30k3:1>vP80c9>1f3=:m<0q~;8d;296~X08j169n852e48yv30m3:1>vP80e9>1f1=:m<0q~;8f;296~X08l169n652e48yv3?83:1>vP80g9>1f?=:m<0q~;71;296~X099169no52e48yv3?:3:1>vP8109>1fd=:m<0q~;73;296~X09;169nm52e48yv3?=3:1>vP8159>1fc=:m<0q~;76;296~X09<169nh52e48yv3??3:1>vP8179>1a6=:m<0q~;78;296~X09>169i?52e48yv3?13:1>vP8199>1a4=:m<0q~;7a;296~X090169i=52e48yv3?j3:1>vP81`9>1a2=:m<0q~;7c;296~X09k169i;52e48yv3?l3:1>vP81b9>1a0=:m<0q~;7e;296~X09m169i952e48yv3>83:1>vP81g9>1a?=:m<0q~;61;296~X0:8169io52e48yv0483:18v3;b881`1=:i:4=712>=d<5?9;6?j9;|q56`<72;q6:?k52e4891>a2;?m7p}93583>74|5=?j6?j;;<403?4c>27?=4477:?75d<477:?767:<1>i84=2:`>=1<5:2o6594=2:f>=1<5:2m6594=2;3>=1<5:3:6594=2;1>=1<5:386594=2;7>=1<5:km6594=2`3>=1<5:h:6594=2`1>=1<5:h86594=2`7>=1<5:h>6594=2`5>=1<5:h<6594=275>=1<5:?<6594=27;>=1<5:?26594=27b>=1<5:?i6594=27`>=1<5:?o6594=27f>=1<5:826594=20b>=1<5:8i6594=20`>=1<5:8o6594=20f>=1<5:8m6594=213>=1<5:9:6594}r406?6=;52e4890?22;n<70;66;0g3>;2i809h:525`a96a1<5oi4=d69>1a>=:m=018jm:3f4?83ck38o;63:9681`2=:=021>i94=4;:>7b034?2m71694m52e5890?c2;n<70;6e;0g3>;21o09h:525`296a1<5m94=d69>1d3=:m=018o9:3f4?83f?38o;63:a981`2=:=h31>i94=4cb>7b034?jn7169lk52e5890ga2;n<70;m0;0g3>;2j809h:525c096a1<5n84=d69>1g0=:m=018l7:3f4?83e138o;63:b`81`2=:=kh1>i94=4``>7b034?ih7169oh52e5890e72;n<70;l1;0g3>;2k:09h:525b696a1<56?j8;<7`2?4c?27>o:4=d69>1f>=:m=018m6:3f4?83di38o;63:cc81`2=:=ji1>i94=4af>7b034?hj7169i?52e5890b52;n<70;k3;0g3>;2l=09h:525e796a1<5h44=d69>1ag=:m=01;<::3gf?805?38=?6s|62;94?44s4<8n7<=477:?644<9477:?640<;477:?664<5l=169?<5869>175=0>169?:5869>173=0>169?85869>171=0>169?65869>164=:m>018=<:958905321=018=::958905121=018=8:958905?21=018=6:958905f21=018:=:958902421=018:;:958902221=018:9:958902021=018:7:958yv0403:1>8u262c96a0<5:h36594=2`:>=1<5:hj6594=2`a>=1<5:hh6594=2`g>=1<5:hn6594=2`e>=1<5:i;6594=2:0>=1<5:2?6594=2:6>=1<5:2=6594=2:4>=1<5:236594=2::>=1<5:2j6594=2:a>=1<5:=:6594=251>=1<5:=86594=257>=1<5:=>6594=255>=1<5:=<6594=25;>=1<5:=26594=21a>=1<5:9h6594=21g>=1<5:9n6594=21e>=1<5:>;6594=262>=1<5:>96594=260>=157>53z\41<=:=9=1>8h4=5:e>7053ty<8n4?:41xZ22d34>i579?;<6ae?1734<9j79?;<470?1734<8m79?;<40f?1734<8<79?;<471?1734<8=79?;<476?1734<9i79?;<411?1f34>mh79?;<6ea?1734>mj79?;<734?1734?;=79?;<736?1734?;?79?;<730?1734?;979?;<732?1734?9=79?;<716?1734?9?79?;<710?1734?9979?;<712?1734?9;79?;<7179?;<707?1734?8879?;<701?1734?8:79?;<703?1734?8479?;<70=?1734?8m79?;<776?1734???79?;<770?1734??979?;<772?1734??;79?;<7779?;<177?173ty<894?:9y]312<5=8o6?8=;<617?41:27?>:4=639>07?=:?;019;7:341?805?38=>63;25811c=z{>9<6=465z\472=:<:?1;=52624935=:>:=1;=5262d935=:>:i1;=52587935=:=0<1;=525`3935=:=hi1;=525c5935=:=j81;=525bf935=:=m21;=525e`935=:=mi1;=52585935=:=021;=5258;935=:=0k1;=5258`935=:=0i1;=5258f935=:=0o1;=5258d935=:=h:1;=525`0935=:=h91;=525`6935=:=h?1;=525`4935=:=h=1;=525`:935=:=h31;=525`c935=:=hh1;=525`f935=:=ho1;=525`d935=:=k:1;=525c3935=:=k81;=525c1935=:=k>1;=525c7935=:=k<1;=525c:935=:=k31;=525cc935=:=kh1;=525ca935=:=kn1;=525cg935=:=kl1;=525b2935=:=j;1;=525b1935=:=j>1;=525b7935=:=j<1;=525b5935=:=j21;=525b;935=:=jk1;=525b`935=:=ji1;=525bg935=:=jl1;=525e2935=:=m;1;=525e0935=:=m91;=525e6935=:=m?1;=525e4935=:=m=1;=525e;935=:=mk1;=5263793c=:<831;=5240c935=:<8h1;=5240a935=:<8n1;=5240g935=:<8l1;=52432935=:<;;1;=52430935=:<>?1;=52464935=:<>=1;=5246:935=:<>31;=5246c935=:<>h1;=5246a935=:<1<1;=52495935=:<121;=5249;935=:<1k1;=5249`935=:<1i1;=5249f935=:<1o1;=5243c935=:<;h1;=5243d935=:<;?1;=5243:935=:;1i1;=5239f935=:;1o1;=5239d935=:;0:1;=52383935=:;081;=52381935=:;0>1;=523`d935=:;k:1;=523c3935=:;k81;=523c1935=:;k>1;=523c7935=:;k<1;=523c5935=:;<<1;=52345935=:;<21;=5234;935=:;;1:<0o?6s|68g94?4|V?3n708=5;ff?xu1i00;6?uQ6`;893422l30q~8m4;296~X1j=16:?;5f59~w3da2909wS8mf:?56034<997?>9:p2ag=838pR;jn;<411?76i2wx:il50;0xZ3be34<997?>b:p2<2=838pR;7;;<411?b33ty=584?:3y]2<3<5?8>6i;4}r4:2?6=:rT=5;526379`3=z{?3<6=4={_4:3>;1:<0o;6s|68:94?4|V?33708=5;f;?xu1100;6?uQ68;893422m30q~86a;296~X11h16:?;5d`9~w3?e2909wS86b:?560ab52z\5=c=:>;?1hk5rs7c3>5<5sW{t>h;1<77}Y>h801;<::d08yv0f;3:1>vP9a29>273=m:1v;o;:181[0f<27=>84j4:p2d3=838pR;o:;<411?c23ty=m;4?:3y]2d0<5?8>6h84}r4b3?6=:rT=m:526379a2=z{?k36=4={_4b<>;1:<0n46s|6`c94?4|V?kj708=5;gb?xu1ik0;6?uQ6``893422lh0q~8nc;296~X1ij16:?;5eb9~w3gc2909wS8nd:?560``52z\5f5=:>;?1j=5rs7`2>5<5sW{t>k81<77}Y>k901;<::g18yv0e=3:1>vP9b49>273=n<1v;l9:181[0e>27=>84i6:p2g1=838pR;l8;<411?`03ty=n54?:3y]2g><5?8>6k64}r4a=?6=:rT=n4526379b<=z{?hj6=4={_4ae>;1:<0mm6s|6c`94?4|V?hi708=5;da?xu1jj0;6?uQ6ca893422oi0q~8md;296~X1jm16:?;5fe9~w3db2909wS8me:?56050;0xZ3e734<997??0:p2f7=838pR;m>;<411?7792wx:n<50;0xZ3e534<997??2:p2f5=838pR;m<;<411?77;2wx:n:50;0xZ3e334<997??4:p2f3=838pR;m:;<411?77=2wx:n850;0xZ3e134<997??6:p2f1=838pR;m8;<411?77?2wx:n650;0xZ3e?34<997??8:p2f?=838pR;m6;<411?7712wx:nl50;0xZ3ee34<997??b:p2fe=838pR;ml;<411?77k2wx:nj50;0xZ3ec34<997??d:p2fc=838pR;mj;<411?77m2wx:nh50;0xZ3ea34<997??f:p2a6=838pR;j?;<411?7682wx:i?50;0xZ3b634<997?>1:p2a4=838pR;j=;<411?76:2wx:i=50;0xZ3b434<997?>3:p2a2=838pR;j;;<411?76<2wx:i850;0xZ3b134<997?>6:p2a>=838pR;j7;<411?7602wx8?h50;`x914a2;n=70:?4;06b>;4lh099k523ea9636<5:no6?8?;<1gf?42n278jk4=5g9>7cc=:?:019>6:37e?827j38>j63;09811c=z{=8>6=4>2z?760<5l?168=:5272896bf2;<;70=kc;06b>;4lm099k523e`9636<5=8o6?8>;<617?41927?>:4=619>07?=:;>4=52a>70734<9;7<91:?761<5>8168=652728yv22j3:1>v3;878;f>;1:k09on5rs546>5<>s4><97034=:?8019;i:342?821838==63;5d8124=:<;?4=57`>73a3ty?:;4?:9y>020=:m<01967:9`8912a2;<:70:92;055>;3=o09:?524729634<5=?n6?8=;<66`?42n2wx8;950;5x91102;n=70:79;:a?823n38>j63;638126=:<;=4=543>70434>>i7<:f:p03>=83;3>;09:95244d960`<5=<;6?8;;|q72<<72e21h019;>:37e?821:38>j63;61811c=z{=27?4n47b:?716<5>8168;?524d891042;<:70:94;055>{t7b134>3h76m;<667?42n27?:>4=5g9>032=:?80q~:9c;290~;3?j09h;5249g98h4=547>73a3ty?9:4?:3y>00g=0k1688652ba8yv2213:1>v3;4c81gf=:<<21>8h4}r662?6=:r7??54=cb9>00>=:?>0q~;>1;29`~;2:809h;525219;>4=42f>705349;47<:f:?64g<5>8169=m52738906f2;<:70;?9;055>;281099k5231;960`<5<>h6?;j;<6g=?4182wx9<<50;:x90452;n=70;<4;:a?82fn38=>63:0d8124=:=9h1>;<4=42`>70534?;m7<92:?64<<5=o1v8?<:184835;38o:63:348;f>;3io09:=5251g9635<5<:i6?8<;<73g?41;27>;2;?03n63;b08127=:=9o1>;:4=42a>73a34?;o7<94:p143=83?p18<::3f5?834?32i70:m1;054>;28l099k5251a960`56z?663<5l?169>658c9>0g5=:?8018>k:37e?837n38==63:118124=z{<;<6=4:{<713?4c>27>?447b:?7f6<5>9169=h524d890772;<97p}:1983>1}:=;21>i84=41b>=d<5=h>6?8?;<724?42n2wx9?750;ax90552;n=70:6a;056>;3im09:?5251g9636<5::36?8?;<73f?41827>15g=:?:018>6:343?837038=<63:4`811`=:;>4}r71e?6=:r7>?>4=d79>0;<4}r71g?6=;r7>?84=d79>115=0k1684m52728yv35l3:1?v3:3781`3=:==>14o5248g963453z?672<5l?1699;58c9>0;<4}r704?6=;r7>?44=d79>111=0k168l>52728yv3493:1?v3:3`81`3=:==214o524`09636i57>53z?7f<<5l?169=95272891>a2;<:7p};b683>6}:nm4}r6a0=`=:?:0q~:m6;296~;31109on5249d963552z?57fn4=cb9~w327290?w08<5;0g0>;1;?09h95262596a2<5?>:6?ml;|q506<72;q6:9<58c9>27b=:ji0q~8;6;297~;1;h09h95262`96a2<5?><6?ml;|q57c<72;q6:>h52e4893262;<;7p}93d83>6}:>:l14o5262g96a0<5?8h6?8?;|q57f<72=q6:>k58c9>26e=:m<01;j6s|65794?4|5?>>6?j9;<473?4182wx:9:50;1x932221h01;:;:3f5?805l38=<6s|65094?2|5?>?65l4=761>7b134<9h7<:f:?502<5=o1v;=>:181805m32i708<1;0g2>{t>;l1<7=d<5?8m6?j9;|q563<72;q6:?;5242893402;ih7p}:de83>7}:=0?14o52637965052z?6=384=109~w0`42909w0;n1;:a?805=38:o6s|5gg94?4|57403ty=<44?:3y>1g1=0k16:?;52208yv06<3:1>v3:c38;f>;1:<09?i5rs73e>5<5s4?hh76m;<411?4302wx:?<50;0x90b?21h01;<::36`?xu1::0;6?u25e`9;?1>9j4}r410?6=:r7>hn47b:?560<5?32i708=5;033>{t=ml1<7=d<5?8>6?>7;|q6a5<72;q694758c9>273=:930q~;j1;296~;21h03n63924814d=z{e34<9977}:=0n14o52637965b52z?6=`84=0d9~w0c12909w0;6f;:a?805=38;j6s|5d594?4|57773ty>i44?:3y>1d4=0k16:?;52008yv3bi3:1>v3:a28;f>;1:<09=>5rs4ga>5<5s4?j876m;<411?46<2wx9hm50;0x90g221h01;<::336?xu2mm0;6?u25`49;?1><84}r7fa?6=:r7>m:47b:?560<59>1v8ki:18183f032i708=5;02<>{t=o:1<7=d<5?8>6??6;|q6b4<72;q69lo58c9>273=:8k0q~;i2;296~;2ik03n63924815g=z{e34<997<>d:p1c3=838p18oj:9`893422;;n7p}:f783>7}:=hl14o52637964`52z?6f584=219~w0`?2909w0;m1;:a?805=389=6s|5g;94?4|57453ty>jl4?:3y>1g5=0k16:?;52318yv3aj3:1>v3:b58;f>;1:<09>95rs4d`>5<5s4?i976m;<411?45=2wx9kj50;0x90d121h01;<::305?xu2no0;6?u25c:9;?1>?64}r434?6=:r7>n447b:?560<5:01v;>>:18183ei32i708=5;01e>{t>981<7=d<5?8>6?273=:;i0q~8?4;296~;2jm03n63924816a=z{?:>6=4={<7aa?>e34<997<=e:p250=838p18li:9`893422;8m7p}90683>7}:=j:14o52637966652z?6g484=309~w36f2909w0;l3;:a?805=388?6s|61`94?4|57533ty=1f3=0k16:?;52278yv07l3:1>v3:c78;f>;1:<09?;5rs72f>5<5s4?h;76m;<411?44?2wx:=h50;0x90e?21h01;<::31;?xu1990;6?u25b;9;?1>>74}r425?6=:r7>ol47b:?560<5;h1v;?=:18183dj32i708=5;00f>{t>891<7=d<5?8>6?=l;|q550<72;q69nk58c9>273=::o0q~8>6;296~;2ko03n63924817c=z{?;<6=4={<7g4?>e34<997<;0:p24>=838p18j>:9`893422;>:7p}91883>7}:=m814o52637961452z?6`684=429~w37e2909w0;k4;:a?805=38?86s|60a94?4|565l4=706>7223ty==i4?:3y>1a0=0k16:?;52548yv06m3:1>v3:d68;f>;1:<098:5rs703>5<5s4?o576m;<411?4312wx:??50;0x90bf21h01;<::36a?xu31:0;6?u248:936=:<0=1>nm4}r6:6?6=:r7?5;4=cb9>0<1=?:1v97>:18182>=38ho63;97847>{t<0:1<77ed34>2979<;|q7=c<72;q684952g0891g72;ih7p};9e83>7}:<0<1>k<4=5;f>7ed3ty?5o4?:3y>0<3=:o80197l:3a`?xu3100;6?u248696c4<5=3j6?ml;|q7e4<72;q684652g0891g52;ih7p};a783>7}:524`c96fej97>52z?7e<<5kj168lo5729~w1g32909w0:n8;0`g>;3i000dg=:o8019l<:3a`?xu3j90;6?u24`;96c4<5=h:6?ml;|q7e`<72;q68l652g0891ga2;ih7p};ab83>7}:k<4=5cg>7ed3ty?n94?:3y>0dd=:o8019l::3a`?xu3;:0;6?u242:936=:<:=1>nm4}r606?6=:r7??;4=cb9>061=?:1v9=>:181824=38ho63;37847>{t<::1<77ed34>8979<;|q77c<72;q68>952g0891272;ih7p};3e83>7}:<:<1>k<4=51f>7ed3ty??o4?:3y>063=:o8019=l:3a`?xu3;00;6?u242696c4<5=9j6?ml;|q704<72;q68>652g0891252;ih7p};4783>7}:<=h1;>5245c96fe?97>52z?70<<5kj1689o5729~w1232909w0:;8;0`g>;3<00<6?ml;<6701g=:o8019;<:3a`?xu3=90;6?u245;96c4<5=?:6?ml;|q70`<72;q689652g08912a2;ih7p};4b83>7}:<==1>k<4=56g>7ed3ty?994?:3y>01d=:o8019;::3a`?xu3890;6?u23gf96fe<5=:?6?8;;|q0`<<72:q6?k952ba8916e2;<:70:?d;06b>{t;m21<7:t=2d5>7ed34>;57<91:?74g<5>;168=j52728yv5c?3:19v31>;?4=52:>70534>;n7<93:?74a<5>81v>j9:18585a<38ho63;058126=:<981>;>4=52:>70434>;;7<:f:?74a<5>;1v>j::18485a;38ho63;058127=:;ol1>;?4=521>70634>;:7<:f:?742<5>9168=j52718yv5c<3:14v3;?4=2fg>706349mj7<94:?747<5>;168=85272891602;<:70:?8;055>{t;m91<77t=2d2>7ed349mh7<90:?0`f<5>;16?ij5271896`a2;<870:?2;057>;38?09:<524159634<5=:36?8=;|q0`7<72hq6?k>52ba896`c2;?m70=kc;055>;4lm09:?523e`9637<5:lm6?8=;<636?41<27?<;4=639>051=:?9019>7:340?xu4ml0;6?u23dg96fe<5:l<6?l;;|q0a0<72;q6?h;52ba896`02>90q~=jd;297~;4mm09on523d796c4<5:l=6?l;;|q0a1<72:q6?h:52ba896c22>901>h9:618yv5bk3:1?v31>k<4=2d6>7d33ty8i>4?:2y>7`5=:ji01>k;:61896`22>90q~=jb;297~;4mk09on523d196c4<5:l?6?l;;|q0a7<72:q6?h<52ba896c42>901>h;:618yv5bi3:1?v3k<4=2d0>7d33ty8i<4?:2y>7`7=:ji01>k=:61896`42>90q~=j9;297~;4m009on523d396c4<5:l96?l;;|q0a5<72:q6?h>52ba896c62>901>h=:618yv5b03:1?v3k<4=2d2>7d33ty8hk4?:2y>7a`=:ji01>k?:61896`62>90q~=j7;297~;4m>09on523ed96c4<5:l;6?l;;|q0``<72:q6?ik52ba896ba2>901>h?:618yv5b>3:1?v3k<4=2ge>7d33ty8j54?:3y>04?=0k16?io52ba8yv5a13:1>v3;1`8;f>;4lk09on5rs2db>5<5s4>:n76m;<1gg?4dk2wx?kl50;0x917d21h01>jk:3a`?xu4nj0;6?u240f9nm4}r635?6=:r7?=h47b:?747<5kj1v9><:181826n32i70:?4;0`g>{t<9?1<7=d<5=:26?ml;|q74d<72;q68??58c9>05d=:ji0q~:?c;296~;3:;03n63;0e81gf=z{=:n6=4={<1fb?4dk278hl4=609~w106290?w0:91;054>;3>:09:=524769636<5=<96?ml;|q72a<72;q68:;58c9>00e=:ji0q~:9e;296~;3??03n63;5e81gf=z{=e34>>i77}:<>314o5247296fe<>7>52z?73d7ed3ty?>;4?:3y>073=0k168?952ba8yv25k3:1?v3;2`8;f>;3:k03n63;2e81gf=z{=8n6=4<{<61b?>e34>9476m;<61=?4dk2wx8?650;:x914?2;n=70:=4;054>;3:m09:=524319636<5=8<6?8>;<61=?41827?954=609>271=:?:0q~:=a;296~;3:h09h;5243f960`h47>53z?7g<<5kj168nh5276891dc2;7}:=9<14o524cf96feh87>53z?7a<<5kj168nh524d891dc2;?m7p};c283>1}:nm4=5af>73a34>hj7<90:?7fa<5>91v9m=:18682b?38ho63;ce811c=:;>4=5ae>70634>ih7<91:p0f7=83j63;ce8126=:;?4=5ae>70534>ih7<92:p0f6=83=p19k::3a`?82el38=?63;cc811c=:;=4=5ag>70334>hi7<92:?7gc<5>:1v9li:18482b<38ho63;c6811c=:8h4=5aa>70734>ho7<90:?7ga<5>9168nk52718yv2em3:14v3;e281gf=:;?4=5a:>70634>h:7<:f:?7gg<5>;168nm5270891ec2;<970:la;06b>{t7ed34>h;7<90:?7g<<5>9168n85272891ee2;<:70:lc;055>;3km09:<524bc9636<5=i>6?;j;|q7a5<72;q68h>52ba891c>2;h?7p};d683>7}:nm4=5g:>25oj7>53z?7`c<5kj168i952g0891c?2;h?7p};d783>6}:nm4=5f4>25<5=o36:=4}r6ga?6=;r7?hh4=cb9>0a0=:o8019k8:3`7?xu3l<0;6>u24e796fe<5=n=6:=4=5g4>25oh7>53z?7`a<5kj168i;52g0891c12;h?7p};d583>6}:1>nm4=5f6>25<5=o=6:=4}r6gg?6=;r7?hn4=cb9>0a2=:o8019k::3`7?xu3l:0;6>u24e196fe<5=n?6:=4=5g6>25on7>53z?7`g<5kj168i=52g0891c32;h?7p};d383>6}:nm4=5f0>25<5=o?6:=4}r6ge?6=;r7?hl4=cb9>0a4=:o8019k<:3`7?xu3l80;6>u24e396fe<5=n96:=4=5g0>25o57>53z?7`4<5n;168h<52c6891b>2;ih7p};d183>6}:nm4=5f2>25<5=o96:=4}r6g0`7=:k>019j7:3a`?xu3mh0;6?u24gg9nm4}r6ff?6=:r7?jk47b:?7g3<5kj1v9kl:181837832i70:l7;0`g>{t=d<5=ii6?ml;|q7a`<72;q69=<58c9>0fe=:ji0q~:jf;296~;28:03n63;ce81gf=z{=l;6=4={<730?>e34>hi7::9`891ea2;ih7p};f383>7}:nm4=5dg>=d54z?64a<5>9169=h5272890772;<;70;?e;0`g>{t=831<7=d<5<:36?ml;|q65d<72;q69?<58c9>15?=:ji0q~;>b;296~;2::03n63:0`81gf=z{<;h6=4={<710?>e34?;n77}:=;<14o5251f96fe52z?6627ed3ty8o94?:3y>7g7=0k16?n=52ba8yv5d:3:1>v3;4k809on5rs2f2>5<5s49i?76m;<1g4?4dk2wx?nh50;1x96d321h01>mj:3a`?85d038=86s|3ba94?4|5:h>65l4=2ag>7ed3ty8ol4?:2y>7g0=0k16?nl52ba896e42;7}:;1>14o523`396fe52z?0<0l38ho6s|38a94?4|5:2<65l4=2;a>7ed3ty85l4?:2y>7=>=0k16?4752ba896g32;7}:;1314o5238:96fe53z?07<`=:?>0q~=>0;296~;40j03n63<0181gf=z{:;:6=4={<1;`?>e349;=76j:9`896652;ih7p}<1283>7}:;1l14o5231196fe52z?0=57ed3ty8=:4?:3y>7<5=0k16?=952ba8yv5603:1>v3;48109on5rs23:>5<5s49i576m;<13=?4dk2wx?>n:3a`?xu49k0;6?u23c`9nm4}r12g?6=:r78nn47b:?04f<5kj1v>?k:18185el32i70=?d;0`g>{t;8o1<7=d<5::n6?ml;|q05c<72;q6?oh58c9>75`=:ji0q~=l9;296~;4io03n636=4={<1;7?>e349j87=m:9`896>d2;n=7p}<3283>7}:;:i14o5239f96a052z?07a7b13ty8?:4?:3y>716=0k16?4?52e48yv5403:1>v3<408;f>;41;09h;5rs21:>5<5s49?>76m;<1:7?4c>2wx?>o50;0x962421h01>7;:3f5?xu4{t;=l1<7:t=27;>7b1349h47<:f:?0g6<5=o16?n;524d8yv5283:19v3<5881`3=:;j;1>8h4=2a;>705349h?7<91:?0g0<5>;1v>;>:185852i38o:63;>4=2a;>706349h?7<90:?0g0<5>81v>;=:185852j38o:63;>4=2a2>706349h?7<93:?0g3<5=o1v>;<:184852k38o:63;>4=2f3>706349h=7<92:?0g6<5>;16?n;52718yv52<3:1;v3<5e81`3=:;jh1>8h4=2ag>73a349hi7<91:?0`5<5>;16?n?5271896e12;<:7p}<5483>=}:;k=14o5234g96a0<5:ii6?8?;<1``?418278oh4=639>7a6=:?901>m>:347?85d>38=<6s|35694?4|5:?=65l4=20:>7b13ty8884?:3y>701=0k16??o52e48yv53>3:1>v3<598;f>;4:k09h;5rs264>5<5s49>576m;<11g?4c>2wx?9650;0x963f21h01>i84}r17e?6=:r789n47b:?06c<5l?1v>:m:181852l32i70=<0;0g2>{t;=i1<7=d<5:9:6?j9;|q065<72;q6??758c9>7g>=:m<0q~==1;296~;4:h03n63e349im77}:;;n14o523ca96a052z?06`7b13ty8>54?:3y>767=0k16?n>52e48yv5103:1>v3<7081`3=:;h>1>;=4}r15=?6=;r78;?4=d79>7d2=:?:01>o>:343?xu4>h0;69u236196a0<5:k?6?;i;<1:b?42n278m<4=5g9~w60e290>w0=84;0g2>;41m099k523`69634<5:3m6?8>;<1b5?41:2wx?;m50;4x96122;n=70=6b;06b>;41m09:=523`69637<5:3m6?8?;<1b5?4192wx?;j50;4x96112;n=70=69;06b>;41k09:=5238f9637<5:3m6?8<;<1b6?42n2wx?;k50;5x96102;n=70=68;055>;41009:=5238`9637<5:3o6?8=;<1:b?41:278m<4=629~w60a290;41?099k5238:960`<5:326?8>;<1:f?41:2785i4=629>7d4=:?;0q~=80;29<~;40k03n63<7881`3=:;0<1>;>4=2;;>707349257<92:?0=g<5>:16?4j5276896g52;<;7p}<5g83>7}:;>;14o5232`96a052z?0377b13ty8:>4?:3y>723=0k16?>h52e48yv51<3:1>v3<778;f>;4<909h;5rs246>5<5s49<;76m;<175?4c>2wx?;850;0x961?21h01>:=:3f5?xu4>>0;6?u236;9i84}r77=?6=84;a:?7`5<>827>??47b:?60d<5kj1v8:m:180805=3?;70:k1;;3?833k38ho6s|2b;94?4|5:k96?ml;<1b5?41<2wx>n=50;0x96e12;ih70=l5;050>{t:j>1<77ed34>hi7<94:p6f3=838p1>hj:3a`?827:38>j6s|2b494?4|5=:=6?ml;<63=?41<2wx>n950;0x91602;ih70:?b;050>{t:j21<77ed34>;h7<94:~jfc5290:wE8;8:mg`5=83;pD;:7;|l`a1<72;qC:964}oaf1?6=9rB=855rnbg5>5<6sA37p`le983>4}O>=20qcmj9;295~N1<11vbnkn:182M0302weohl50;3xL32?3tdhin4?:0yK21>51zJ50==zfjon6=4>{I47<>{ikll1<7?tH76;?xhdn90;65<6sA37p`lf983>4}O>=20qcmi9;295~N1<11vbnhn:182M0302weokl50;3xL32?3tdhjn4?:0yK21>51zJ50==zfjln6=4>{I47<>{ikol1<7?tH76;?xhc890;65<5sA37p`k0983>7}O>=20qcj?9;296~N1<11vbi>n:182M0302weh=l50;3xL32?3tdo51zJ50==zfm:n6=4>{I47<>{il9l1<7?tH76;?xhc990;65<6sA37p`k1983>7}O>=20qcj>9;296~N1<11vbi?n:181M0302weh52zJ50==zfm;n6=4={I47<>{il8l1<75<6sA37p`k2983>4}O>=20qcj=9;295~N1<11vbin4?:0yK21>51zJ50==zfm8n6=4>{I47<>{il;l1<7?tH76;?xhc;90;65<6sA37p`k3983>4}O>=20qcj<9;295~N1<11vbi=n:182M0302weh>l50;3xL32?3tdo?n4?:0yK21>51zJ50==zfm9n6=4>{I47<>{il:l1<7?tH76;?xhc<90;65<6sA37p`k4983>4}O>=20qcj;9;295~N1<11vbi:n:182M0302weh9l50;3xL32?3tdo8n4?:0yK21>51zJ50==zfm>n6=4>{I47<>{il=l1<7?tH76;?xhc=90;65<6sA37p`k5983>4}O>=20qcj:9;295~N1<11vbi;n:182M0302weh8l50;3xL32?3tdo9n4?:0yK21>h7>51zJ50==zfm?n6=4>{I47<>{il90;65<6sA37p`k6983>4}O>=20qcj99;295~N1<11vbi8n:182M0302weh;l50;3xL32?3tdo:n4?:0yK21>52zJ50==zfm{I47<>{il?l1<7?tH76;?xhc?90;6vF9499~ja15290:wE8;8:m`25=838pD;:7;|lg31<72;qC:964}of41?6=:rB=855rne55>5<5sA37p`k7983>7}O>=20qcj89;296~N1<11vbi9n:181M0302weh:l50;0xL32?3tdo;n4?:3yK21>52zJ50==zfm=n6=4={I47<>{il>l1<7vF9499~ja>52909wE8;8:m`=5=838pD;:7;|lg<1<72;qC:964}of;1?6=:rB=855rne:5>5<5sA37p`k8983>7}O>=20qcj79;296~N1<11vbi6n:181M0302weh5l50;0xL32?3tdo4n4?:3yK21>52zJ50==zfm2n6=4={I47<>{il1l1<793:1>vF9499~ja?52909wE8;8:m`<5=838pD;:7;|lg=1<72;qC:964}of:1?6=:rB=855rne;5>5<5sA37p`k9983>7}O>=20qcj69;296~N1<11vbi7n:181M0302weh4l50;0xL32?3tdo5n4?:3yK21>52zJ50==zfm3n6=4={I47<>{il0l1<7vF9499~jag52909wE8;8:m`d5=838pD;:7;|lge1<72;qC:964}ofb1?6=:rB=855rnec5>5<5sA37p`ka983>7}O>=20qcjn9;296~N1<11vbion:181M0302wehll50;0xL32?3tdomn4?:3yK21>52zJ50==zfmkn6=4={I47<>{ilhl1<7vF9499~jad52909wE8;8:m`g5=838pD;:7;|lgf1<72;qC:964}ofa1?6=:rB=855rne`5>5<5sA37p`kb983>7}O>=20qcjm9;296~N1<11vbiln:181M0302wehol50;0xL32?3tdonn4?:0yK21>51zJ50==zfmhn6=4>{I47<>{ilkl1<7?tH76;?xhck90;65<6sA37p`kc983>4}O>=20qcjl9;295~N1<11vbimn:182M0302wehnl50;3xL32?3tdoon4?:0yK21>51zJ50==zfmin6=4>{I47<>{iljl1<7?tH76;?xhcl90;65<6sA37p`kd983>4}O>=20qcjk9;295~N1<11vbijn:182M0302wehil50;3xL32?3tdohn4?:0yK21>51zJ50==zfmnn6=4>{I47<>{ilml1<7?tH76;?xhcm90;65<6sA37p`ke983>4}O>=20qcjj9;295~N1<11vbikn:182M0302wehhl50;0xL32?3tdoin4?:3yK21>52zJ50==zfmon6=4={I47<>{illl1<7vF9499~ja`52909wE8;8:m`c5=838pD;:7;|lgb1<72;qC:964}ofe1?6=:rB=855rned5>5<5sA37p`kf983>7}O>=20qcji9;296~N1<11vbihn:181M0302wehkl50;0xL32?3tdojn4?:3yK21>52zJ50==zfmln6=4={I47<>{ilol1<7vF9499~j`652909wE8;8:ma55=838pD;:7;|lf41<72;qC:964}og31?6=:rB=855rnd25>5<5sA37p`j0983>7}O>=20qck?9;296~N1<11vbh>n:181M0302wei=l50;0xL32?3tdn52zJ50==zfl:n6=4={I47<>{im9l1<7vF9499~j`752909wE8;8:ma45=838pD;:7;|lf51<72;qC:964}og21?6=:rB=855rnd35>5<5sA37p`j1983>7}O>=20qck>9;296~N1<11vbh?n:181M0302wei52zJ50==zfl;n6=4={I47<>{im8l1<7vF9499~j`452909wE8;8:ma75=838pD;:7;|lf61<72;qC:964}og11?6=:rB=855rnd05>5<5sA37p`j2983>7}O>=20qck=9;296~N1<11vbhn4?:3yK21>52zJ50==zfl8n6=4={I47<>{im;l1<7vF9499~j`552909wE8;8:ma65=838pD;:7;|lf71<728qC:964}og01?6=9rB=855rnd15>5<6sA37p`j3983>4}O>=20qck<9;295~N1<11vbh=n:182M0302wei>l50;3xL32?3tdn?n4?:0yK21>51zJ50==zfl9n6=4>{I47<>{im:l1<7?tH76;?xhb<90;65<6sA37p`j4983>4}O>=20qck;9;295~N1<11vbh:n:182M0302wei9l50;3xL32?3tdn8n4?:0yK21>51zJ50==zfl>n6=4>{I47<>{im=l1<7?tH76;?xhb=90;65<6sA37p`j5983>4}O>=20qck:9;295~N1<11vbh;n:182M0302wei8l50;3xL32?3tdn9n4?:0yK21>h7>51zJ50==zfl?n6=4>{I47<>{im90;65<6sA37p`j6983>4}O>=20qck99;295~N1<11vbh8n:182M0302wei;l50;3xL32?3tdn:n4?:0yK21>51zJ50==zfl{I47<>{im?l1<7?tH76;?xhb?90;65<6sA37p`j7983>4}O>=20qck89;295~N1<11vbh9n:182M0302wei:l50;3xL32?3tdn;n4?:0yK21>51zJ50==zfl=n6=4>{I47<>{im>l1<7?tH76;?xhb090;65290:wE8;8:ma=5=83;pD;:7;|lf<1<728qC:964}og;1?6=9rB=855rnd:5>5<6sA37p`j8983>4}O>=20qck79;295~N1<11vbh6n:182M0302wei5l50;3xL32?3tdn4n4?:0yK21>51zJ50==zfl2n6=4>{I47<>{im1l1<7?tH76;?xhb190;693:1=vF9499~j`?5290:wE8;8:ma<5=83;pD;:7;|lf=1<728qC:964}og:1?6=9rB=855rnd;5>5<6sA37p`j9983>4}O>=20qck69;295~N1<11vbh7n:182M0302wei4l50;3xL32?3tdn5n4?:0yK21>51zJ50==zfl3n6=4>{I47<>{im0l1<7?tH76;?xhbi90;65<6sA37p`ja983>4}O>=20qckn9;295~N1<11vbhon:182M0302weill50;3xL32?3tdnmn4?:0yK21>51zJ50==zflkn6=4>{I47<>{imhl1<7?tH76;?xhbj90;65<6sA37p`jb983>4}O>=20qckm9;295~N1<11vbhln:182M0302weiol50;3xL32?3tdnnn4?:0yK21>51zJ50==zflhn6=4>{I47<>{imkl1<7?tH76;?xhbk90;65<6sA37p`jc983>4}O>=20qckl9;295~N1<11vbhmn:182M0302weinl50;3xL32?3tdnon4?:0yK21>51zJ50==zflin6=4>{I47<>{imjl1<7?tH76;?xhbl90;65<6sA37p`jd983>4}O>=20qckk9;295~N1<11vbhjn:182M0302weiil50;3xL32?3tdnhn4?:0yK21>51zJ50==zflnn6=4>{I47<>{imml1<7?tH76;?xhbm90;65<6sA37p`je983>4}O>=20qckj9;295~N1<11vbhkn:182M0302weihl50;3xL32?3tdnin4?:0yK21>51zJ50==zflon6=4>{I47<>{imll1<7?tH76;?xhbn90;65<6sA37p`jf983>4}O>=20qcki9;295~N1<11vbhhn:182M0302weikl50;3xL32?3tdnjn4?:0yK21>51zJ50==zflln6=4>{I47<>{imol1<7?tH76;?xha890;65<6sA37p`i0983>4}O>=20qch?9;295~N1<11vbk>n:182M0302wej=l50;3xL32?3tdm51zJ50==zfo:n6=4={I47<>{in9l1<75<6sA37psr}AB@473l3k< + +fifo_generator_v9_3_vinfo + + + + + + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd new file mode 100755 index 000000000..2674443c8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_4k_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_4k_2clk_exdes; + + + +architecture xilinx of fifo_4k_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_4k_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_4k_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat new file mode 100755 index 000000000..e6d9fb0f6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_4k_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh new file mode 100755 index 000000000..0b56fa62c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_4k_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..e0e613a94 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_4k_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_4k_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..fa07eb47d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_4k_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_4k_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_4k_2clk_exdes + +echo 'Running map' +map fifo_4k_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_4k_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..a48fea790 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_4k_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..7171a0e5e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_4k_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..98c6556e8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_4k_2clk +set design fifo_4k_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_4k_2clk_exdes +add_files -norecurse {../../example_design/fifo_4k_2clk_exdes.vhd} +add_files -norecurse {./fifo_4k_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_4k_2clk_exdes.xdc} +set_property top fifo_4k_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_4k_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_4k_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj new file mode 100755 index 000000000..573a1716f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_4k_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr new file mode 100755 index 000000000..11c7d5a89 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_4k_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_4k_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd new file mode 100755 index 000000000..d14bb7a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_4k_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd new file mode 100755 index 000000000..0a7c2aa87 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_4k_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd new file mode 100755 index 000000000..fa32e4781 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_4k_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_4k_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd new file mode 100755 index 000000000..46d4ac9cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_4k_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_4k_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_4k_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_4k_2clk_pkg; + + + +PACKAGE BODY fifo_4k_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_4k_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd new file mode 100755 index 000000000..bed58d88e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_4k_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_4k_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd new file mode 100755 index 000000000..4149735c5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_4k_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_4k_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_4k_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_4k_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_4k_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 9, + C_RD_PNTR_WIDTH => 9, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_4k_2clk_inst : fifo_4k_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd new file mode 100755 index 000000000..51d699e21 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_4k_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_4k_2clk_pkg.ALL; + +ENTITY fifo_4k_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_4k_2clk_arch OF fifo_4k_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_4k_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_4k_2clk_synth + + fifo_4k_2clk_synth_inst:fifo_4k_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 76 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..3d0783055 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_4k_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..c3abd5a51 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_4k_2clk.v +vhpcomp -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_4k_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..af53abefb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_4k_2clk.v +vcom -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..0d3376452 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_4k_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..8c26af20c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_4k_2clk.v +vhdlan ../../example_design/fifo_4k_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..b9ef9837a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..a6f8ce91f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_4k_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_4k_2clk_rng.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_4k_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_4k_2clk_synth.vhd +vhpcomp -work work ..\\fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +.\\fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..f5ad36b7e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_4k_2clk_pkg.vhd +vhpcomp -work work ../fifo_4k_2clk_rng.vhd +vhpcomp -work work ../fifo_4k_2clk_dgen.vhd +vhpcomp -work work ../fifo_4k_2clk_dverif.vhd +vhpcomp -work work ../fifo_4k_2clk_pctrl.vhd +vhpcomp -work work ../fifo_4k_2clk_synth.vhd +vhpcomp -work work ../fifo_4k_2clk_tb.vhd + +fuse work.fifo_4k_2clk_tb work.glbl -L simprims_ver -o fifo_4k_2clk_tb.exe + +./fifo_4k_2clk_tb.exe -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..74e930a13 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_4k_2clk_pkg.vhd +vcom -work work ../fifo_4k_2clk_rng.vhd +vcom -work work ../fifo_4k_2clk_dgen.vhd +vcom -work work ../fifo_4k_2clk_dverif.vhd +vcom -work work ../fifo_4k_2clk_pctrl.vhd +vcom -work work ../fifo_4k_2clk_synth.vhd +vcom -work work ../fifo_4k_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst=../../implement/results/routed.sdf work.fifo_4k_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..a1967adcf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_4k_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_4k_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_4k_2clk_synth_inst:fifo_4k_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_4k_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_4k_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..f62f540be --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_4k_2clk_pkg.vhd +vhdlan ../fifo_4k_2clk_rng.vhd +vhdlan ../fifo_4k_2clk_dgen.vhd +vhdlan ../fifo_4k_2clk_dverif.vhd +vhdlan ../fifo_4k_2clk_pctrl.vhd +vhdlan ../fifo_4k_2clk_synth.vhd +vhdlan ../fifo_4k_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_4k_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..9c95a2ade --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_4k_2clk.vpd -type VPD +dump -add fifo_4k_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..28ebc1163 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_4k_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_4k_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_4k_2clk_Group +gui_list_add_group -id Wave.1 {fifo_4k_2clk_Group} + +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +gui_sg_addsignal -group fifo_4k_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +gui_sg_addsignal -group fifo_4k_2clk_Group READ -divider +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_4k_2clk_Group /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..10be1a965 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +wave add /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..adc4cb8d7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +add wave -noupdate /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..51819bad9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/WR_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_CLK +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/RD_EN +waveform add -signals /fifo_4k_2clk_tb/fifo_4k_2clk_synth_inst/fifo_4k_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt new file mode 100644 index 000000000..a0152ac70 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_4k_2clk/doc/pg057-fifo-generator.pdf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +fifo_4k_2clk/fifo_generator_v9_3_readme.txt +fifo_4k_2clk/implement/implement.bat +fifo_4k_2clk/implement/implement.sh +fifo_4k_2clk/implement/implement_synplify.bat +fifo_4k_2clk/implement/implement_synplify.sh +fifo_4k_2clk/implement/planAhead_ise.bat +fifo_4k_2clk/implement/planAhead_ise.sh +fifo_4k_2clk/implement/planAhead_ise.tcl +fifo_4k_2clk/implement/xst.prj +fifo_4k_2clk/implement/xst.scr +fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +fifo_4k_2clk/simulation/functional/simulate_isim.bat +fifo_4k_2clk/simulation/functional/simulate_isim.sh +fifo_4k_2clk/simulation/functional/simulate_mti.bat +fifo_4k_2clk/simulation/functional/simulate_mti.do +fifo_4k_2clk/simulation/functional/simulate_mti.sh +fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +fifo_4k_2clk/simulation/functional/simulate_vcs.sh +fifo_4k_2clk/simulation/functional/ucli_commands.key +fifo_4k_2clk/simulation/functional/vcs_session.tcl +fifo_4k_2clk/simulation/functional/wave_isim.tcl +fifo_4k_2clk/simulation/functional/wave_mti.do +fifo_4k_2clk/simulation/functional/wave_ncsim.sv +fifo_4k_2clk/simulation/timing/simulate_isim.bat +fifo_4k_2clk/simulation/timing/simulate_isim.sh +fifo_4k_2clk/simulation/timing/simulate_mti.bat +fifo_4k_2clk/simulation/timing/simulate_mti.do +fifo_4k_2clk/simulation/timing/simulate_mti.sh +fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +fifo_4k_2clk/simulation/timing/simulate_vcs.sh +fifo_4k_2clk/simulation/timing/ucli_commands.key +fifo_4k_2clk/simulation/timing/vcs_session.tcl +fifo_4k_2clk/simulation/timing/wave_isim.tcl +fifo_4k_2clk/simulation/timing/wave_mti.do +fifo_4k_2clk/simulation/timing/wave_ncsim.sv +fifo_4k_2clk.asy +fifo_4k_2clk.gise +fifo_4k_2clk.ngc +fifo_4k_2clk.v +fifo_4k_2clk.veo +fifo_4k_2clk.xco +fifo_4k_2clk.xise +fifo_4k_2clk_flist.txt +fifo_4k_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl new file mode 100644 index 000000000..bdc5c355d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_4k_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_4k_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_4k_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_4k_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_4k_2clk +} +# ::fifo_4k_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_4k_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/example_design/fifo_4k_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/fifo_4k_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_4k_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_4k_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy new file mode 100644 index 000000000..1c03599f3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.asy @@ -0,0 +1,49 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fifo_short_2clk +RECTANGLE Normal 32 32 800 4064 +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName rst +PINATTR Polarity IN +LINE Normal 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wr_clk +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din[71:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName wr_en +PINATTR Polarity IN +LINE Normal 0 464 32 464 +PIN 0 464 LEFT 36 +PINATTR PinName full +PINATTR Polarity OUT +LINE Wide 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName wr_data_count[5:0] +PINATTR Polarity OUT +LINE Normal 832 240 800 240 +PIN 832 240 RIGHT 36 +PINATTR PinName rd_clk +PINATTR Polarity IN +LINE Wide 832 272 800 272 +PIN 832 272 RIGHT 36 +PINATTR PinName dout[71:0] +PINATTR Polarity OUT +LINE Normal 832 304 800 304 +PIN 832 304 RIGHT 36 +PINATTR PinName rd_en +PINATTR Polarity IN +LINE Normal 832 496 800 496 +PIN 832 496 RIGHT 36 +PINATTR PinName empty +PINATTR Polarity OUT +LINE Wide 832 656 800 656 +PIN 832 656 RIGHT 36 +PINATTR PinName rd_data_count[5:0] +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ncf new file mode 100644 index 000000000..e69de29bb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc new file mode 100644 index 000000000..6d6ba3499 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5a044<,[o}e~g`n;"2*73>(-80!?012355=78123<=6?892;<56682:34=>7092;4=6?09;;7=6789:;<=6789:;<<5>8:3305668=;0>95=32124>4=AGZ^X7JFA=394;773;0BB][[:EKA84<768:0>7GAPTV9@LE;93:5==5=:HLSQQ1:09KPRW]]0OCL2>:1<25>4=G\^[YY4KOC>2>5869281CXZ_UU8GKF:6294:=6<5OTVSQQ;8=:?54234567991>9LO69746=D0>I???78;K5028123A?OLM<<>:147657=22@D[YY4NDEPB81<7688097GAPTV9EABUJ5>1<3?=;48JJUSS2HNO^N2;:1<26>3=AGZ^X7OKDSF?0?69991>M;ON5876100FIH3:7;:4681@<>0>>?32:4:468;55g=12F__\XZ5re]geqgXkfex1;50?3a?312:4B0>0FIH20:NMLCBA@a>0tdq=>o~i;85/05>16:2=96D@_UU8gmk:093:5=9582;MVPUSS2me~x19>:1<24>1>MOLMJK691123457731:;<=>?0123456788:04=>7812;<56?812;==570521446709>;>=?;;90BE46<0?;;<=>?01234567<22N4L?4999:456780:?74>?9028=56>89:;<=>?912:0>?4>J805;??;84523011?<=:;86999:23?11070920M886687B6>G1<2KJ:L64A@CB230F02KOH_O30?:8EABUI5;546OKDSC?6;>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;>GBIHK9ML74AR[MGZTBO;1I<55M4D3;37B?3K_XSD@IO09@<>E1KJIHON<4CD31?FNBKBUGENKASD]W]UC33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CN?1OEL2?>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:6681N86KL8133?@@BN1<<5:776022446F2523457:2LO?6HKC59E@FC43ON[86HKPD68B@@A92M87J@K1:K1?L653@;97D<=;H11?L253@?27D@FTRVBP@0JR\;>0@XZ<4:NVP1286BZT778IVAHF<1FYUH8c:ObnjtQm{ybccm4MhllvScu{`ee46C}al]nah5H69:1E=?=4N010?K72;2D::?5A639M<7=I1>1EIYY@RJ38Kf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8TNYOD\^EA>5_RD48TVBHFL;0];5]AL11I4=T981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN:6]@USAF2>USI]_X86Z]UD68P\VB>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv Mymq[WBXXG^YW=SPRE]SJQT\9TUSC_Q>8^m\|j:768 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.O{kwYULVZEX_U>]^PG[UHSZR8VSUA]_05\kZ~h494::k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,I}iuW[NT\CZ][3_\VAYWF]XP?PQWOS]22ZiXpf6;2<8i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*Kg{UYHR^ATSY0YZTCWYD_^V:R_YMQ[43XgVrd0=0>6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_5[X_[U[BY\T1\][KWY3WfUsc1>117g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'Drd~RY]_QLWV^7ZW^XT\CZ][3_\\JTX;VeTtb2?>04f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]5UV]YS]@[RZ1^[]IUW;UdSua30?35a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%Ftb|PWS]SJQT\;TU\^R^ATSY7YZ^HZV;TcRv`<1<226=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$^IQ_NUPX4XYULVZEX_U>]^ZLVZ7?Wf<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.PG[UHSZR;VS_JPPOVQ_7[XPFXT=:Q`629V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ZMU[BY\T2\]Q@ZVI\[Q8QRV@R^35[j043\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"\K_QLWV^5ZW[NT\CZ][5_\\JTX9PQWOS]0[j053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"Y]_QLWV^4ZW^XT\CZ][2_\\JTX:Ve=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-TVZVI\[Q8QRY]_QLWV^2ZWQEYS1:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%~iQ|tf]jjvr(L595:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,q`ZusoVcey!K<5<53>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'xoS~zh_hlpp*WXzlmT<;94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[0_-vaYt|nUbb~z Q^pfcZ71?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!hsxl`[vrfolQ:Q#|k_rvd[lht|&[T~hiP2758Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[)zmUxxjQfnrv,UZtboV9=;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU>]/pg[vr`W`dxx"_Prde\032<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS8W%{Q|tf]jjvr(L5:5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!K<0<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]6U'}yS~zh_hlpp*B;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV?R.vp\wqaXagy#I2<>768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_4[){UxxjQfnrv,@929>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP=P xr^qwcZoi{}%ZSkh_144?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^7Z&~xTyiPioqw+TYumnU:::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT1\,tvZusoVcey!^_sgd[7003\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR;V"z|Psue\mkus'XUyijQ<669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX5X(pzVykRgasu-R[wc`W= xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV768Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'nyrbnQ|t`ef_7[)zmUxxjQfnrv,@979>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%O0?094:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+tcWz~lSd`|t.F?7;033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"jPsue\mkus'M6?2;64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-vaYt|nUbb~z Q^pfc969>11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh<0<5<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%lt`l_rvbc`]5U'xoS~zh_hlpp*WXzlm7>387;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qab:46?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!re]ppbYnfz~$]R|jg=6=22=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$yhR}{g^kmwq)VW{olS=88;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*atqgiTyoheZ0^*wbX{}mTec}{/P]qabY6>>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P }d^qwcZoi{}%ZSkh_344?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&{nTyiPioqw+TYumnU8::5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$lou lljz,cvikVymjkT2\,q`ZusoVcey!^_sgd[1033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"i|yoa\wqg`mR8V"z|Psue\mkus'M6;2;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+bu~fjUxxlij[3_-swYt|nUbb~z D=3=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)C4;4=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-dw|hdWz~jkhU=]/uq[vr`W`dxx"J33?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&mxucmPsucda^4Z&~xTyiPioqw+A:36?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/fqzjfYt|hmnW?S!ws]ppbYnfz~$]R|jg=2=2==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$k~wac^qwebc\:T$|~R}{g^kmwq)VW{ol0<098:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})`{pdhS~zngdY1Y+quWz~lSd`|t.S\v`a;:7<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV0:3><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#j}vnb]ppdabS;W%{Q|tf]jjvr(YVxnk1:1669V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(ozseoR}{afgX6X(pzVykRgasu-R[wc`W9<<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.ep}keX{}kliV>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv gr{mgZusinoP>P xr^qwcZoi{}%ZSkh_570?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&xoS}`{r^`jj969=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXj`d7=3;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Rlfn=0=16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flh;;7?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbb1:1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(zmU{by|Pbhl\404<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[goiW8?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.pg[uhszVhbbR<:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQmio]017=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr$~iQnup\flhX<<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT<8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmP1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'{nT|cz}_fa\607<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#jPpovq[beX;<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/sf\tkruWniT8;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc;k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+wbXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW88Tc<8k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb8j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*tcWyd~Ril_`qqabYkiz~P8PQ}eew`aZ~hzV;8Sb?83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})ulVzexQhc^cpv`aXdhyW9SPrdfvg`Yg{U:8?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mht#mcky-tvZvi|{Uiec2?>418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gbz-gim'~xT|cz}_ckm8482;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+adp'iggu!xr^rmpwYeag6928=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga<2<67>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amk:36<80Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWkceS=;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Rlfn^366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/e`|+ekcq%|~R~ats]amkY5=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXj`dT?8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySoga_572?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[5363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadW8?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nis"nbdx.uq[uhszVmhS?;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jmw.bnh|*quWyd~Ril_272?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&}yS}`{r^e`[10d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*be&jf`t"y}_qlwvZadWhyyijQcarvX0XYummhiRv`r^4\k3b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_7]l53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-cf~)keas#z|Ppovq[beXizxnkRbnsuY7YZtbl|inSua}_6]l2a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P7^m237=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P80]{k9699?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)ojr%oaew/vp\tkruWniTm~|jg^nbwq]3UVxnhxmj_ymq[=Yh?;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\=4Yg5:5=;m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%knv!cmi{+rtXxg~ySjmParpfcZjf{}Q?QR|jdtaf[}iuW0Ud;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im M`fg[aoanV}ySjT5\]DJAY49VeTmijP3^MVPZe~484.fpgg7(ulj%FaxvPdhde[rtXzmQ>QRIAD^12[jYj}qU>SB[[40]PS51d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!gsf`6+tck&GfyuQkigd\swYulR?VSJ@K_23\kZkrpV?TCXZ;1^QT52><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dg~tRjffg]tvZtcS799V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'myhnj1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pcx>3:471j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%FxlPdhde[rtXzmUa}Qly=1=53e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'Dy~nRjffg]tvZtcW}g{Snw33?322g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(EziSigif^uq[wbX|dzT`xz31?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*Kt}kUoekhPws]q`ZrjxVf~x1?117`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+HurjVnbjkQxr^pg[qkwWe0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYk}}692<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.OpqgYcaolT{Q}d^vntZjr|595:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+aulj8%~im Mrwa[aoanV}ySjPtlr\hpr;;7;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:668;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:568;=h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;=j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bst`\`l`aW~xT~iQ{mq]{kw:468;TJ;h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/LqvfZbnnoU|~R|k_uos[}iu4:4:=RI9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-NwpdXl`lmSz|Pre]wiuYg{6?2!re-dv4(`zmi9"jl/ekebZquW{nP9PQHNE]05ZiXe|rT9RAZT=0=31=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(l`lmSz|PreY6YZAILV9:SbQbuy]6[JSS4:4<86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!kigd\swYulR?VSJ@K_23\kZkrpV?TCXZ34?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%kjl2/pgg*bnnoU|~R|k[4_\CKBX;8UdS`{w_4]LQQ:26.fpgg7(ulj%yhRjl_h>2:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< hrea1*wbd'{nThnQf<3<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"j|kc3,q`f)ulVnhSd2<>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUb090:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&nxoo? }db-q`ZbdW`6>28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(`zmi9"jl/sf\`fYnW9?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-cwbd:'xoo"|k_ea\mZ72i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j|>.fpgg7(ulj%yhRjl_h]11d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#i}db0-vae(zmUooRgP34c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$l~im=.sf`+wbXljUbS9;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)o{nh>#|kc.pg[aeXaV?=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>699V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz Mlw{[WGJW[NTICQIWGV25Zebxf~=i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPR@O\VAYBFVL\JY?>_bgskqYNF_U;:45Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eq5+tao~$A`{w_SCN[WBXMGUM[KZ>1^pppuis=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(ZHGT^HI@UU]FJ4023\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*erz{Um{kzPsucwaZ@TEVLMh<87;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cw7)zo}mx"mzrs]escrX{}kiRH\M^DE`4+Nf?30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk1,Km533<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo>;74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#n{}r^dtbqYt|h~nSK]B_GDg6(Oi93\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k?!rguep*cjx}sTjzh{_h54?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.elmkaXmdzuRhxfu]oadpnfnUna}zv_guepZIUW.sdtbq)imq~Tjzh{_rvbp`:76<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'|yn~Rxnlhf6b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-`khv7Wjef|_NP\7Zi6>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}ar,qwqu(kfg{94:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btf{'xxx~!lolr3[fijx8UD^R=Po3]PS4033\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$ko|.sqww*ehey:Tobc1^MQ[6Yh:VY\>;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvdu)zz~x#nabp1]`khv6WFXT?Ra<619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*auiz$yy} cnos4Zehey;TC_Q<_n66f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxj#||tr-qehYbey~rSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)ulVzexQltq2858Xag|!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^Ttb|30?32[LHQW9==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_1[XOGNT>>Q`_`fg[5YH]]Usc2>>0342>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV:R_FLG[75XgVkohR>POTV\|jt;:7;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=2=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=2g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R>VSJ@K_31\kZgclV:TCXZ31?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\!cnwmp^2ZWNDOS?=Po^cg`Z6XG\^7?38l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX0XY@FMU9?RaPaef\4ZIR\595=;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY7YZAILV88SbQnde]3[JSS4=4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[5_\CKBX::UdSljk_1]LQQ:368??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP04;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY7Wmzdx8:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]211=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V8>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_277?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX<<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;;7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>7:01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X9V;>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\60?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\7Z72?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P47c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`hy%{~z|/Lov|Zehey;TKCJP34]l[HS_W08Tc<8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crgt&~y"Cbuy]`khv6WNDOS>;Po^OV\Z?5Wf;::o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etev(p{}y$A`{w_bmnt4Y@FMU89RaPMTZ\=7Yh9; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvcp*rus{&GfyuQlolr2[BHCW:?TcRCZX^;1[j74>k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixar,twqu(EdsSnabp0]DJAY4=VeTAXVP93]l510e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzo|.vqww*Kj}qUhc`~>_FLG[63XgVG^TR7=_n362g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|m~ xsuq,IhsWjef|UdSljk_5]LQQYdq5>5=<9l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYk}}682:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZjr|5>5;h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Baef\`l`aW~xT~iU:]^EM@Z50WfUjhiQ;_NWW[}iu484:=:k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsae6&~nhiuz M`fg[aoanV}ySjT5\]DJAY4?VeTmijP4^MVPZ~hz585=<9j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/Lcg`ZbnnoU|~R|k[4_\CKBX;>UdSljk_5]LQQYg{682689V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYdq5:5:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!Bst`\`l`aW~xT~iQ{mq]`}96998 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvf`5+qcklr#@}zb^fjbcYpzVxoSyc_b{?7;71j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<2<253g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{im>.vf`a}r(EziSigif^uq[wbX|dzT`xz32?4a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+HurjVnbjkQxr^pg[qkwWe0?0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-NwpdXl`lmSz|Pre]wiuYg{6;2_RU235=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)J{|hThdhi_vp\vaYseyUsc2<>03\WR41k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=6=54143\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'mcmjRy}_sfX1XY@FMU8;RaPaef\0ZIR\5;5;>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!kigd\swYulR?VSJ@K_25\kZgclV>TCXZ32?50?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+aoanV}ySjT5\]DJAY4?VeTmijP4^MVP959?:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%oekhPws]q`^3ZWNDOS>9Po^cg`Z2XG\^7838>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crbd9'}oohv{/ekebZquW{nTx`~30?41?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~nh=#ykcdzw+rtXlh~jSnaznu]j8581:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&}ySio{a^alqkrXa5;5:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSd2=>708Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`mi:"zjleyv,swYci}kTob{at^k?7;053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kzjl1/ugg`~s'~xThlzn_bmvjqYn4=4=>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fugg4(pljosx"y}_ecweZeh}g~Te1;1609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]324=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY6>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixdb3-saebp}%|~Rjnt`]`kphsW`U9:<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.et`f7)minty!xr^fbpdYdg|dSdQ<609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*aplj;%{imjxu-tvZbf|hUhcx`{_h]724=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|hn?!weaf|q)pzVnjxlQlotlw[lY2 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlen>!gb2-gjsi|R>VSJ@K_21\kZgclV:TCXZPxnp?5;76?k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnch<#il0/alqkr\S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$kdm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr;<7=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6:2:>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkr\S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hib2-cf6)kfexV:R_FLG[65XgVkohR>POTV?7;7082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dmf6)oj:%ob{atZ6^[BHCW:9TcRokd^2\KPR;<7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw_1[XOGNT?>Q`_`fg[5YH]]6?2<;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.ejg5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ifc1,dg5(dg|dS9;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;783;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mbo= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nch<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(o`i;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`aj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*ank9$lo= lotlw[a7X<i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~?2>>^kmr4YNF_U;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9691?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7=?0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn48958l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9736=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>21;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg317<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<914`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;329o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:617>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg321<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0??14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa58929o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:5;7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?6183i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<37=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<9>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69;3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;:14?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j87?9<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=0=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1=?>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`68=3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;;;4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j86590=;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg33?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc78=0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4=;58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9256=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>77;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg345<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub09;14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5>=29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:3?7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?0=83i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<5;=0<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1:14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5?;29o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:297>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?1783i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<41=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1;;>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>93:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;=?4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j801994?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j837953;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg369<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0;71489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5<58l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9176=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>45;2>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg37?6:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc743:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;17>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\41><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ>489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;;845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ76<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^310<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?<489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;?845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ72<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^350<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR?8489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV;3845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ7><11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^07=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[74312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_317=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[70312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_357=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS?6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW;3?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[62>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP316:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?<:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\762>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP356:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\722>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP396:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT?4:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX<=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]741?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]701?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;55;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U?:974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY3?=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]7<1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ;95:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U>845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ37<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^720<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;=489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?8845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ33<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^760<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;9489V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV?<845Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\mZ3?<01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^7:0==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR8;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?:?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[37312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_707=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;=;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[33312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_747=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS;9;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?2?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[3?302_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_66:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT;=:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX?8>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\<1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ6519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL365>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI46292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/LpbiZTFE8;>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<<:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM373?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN706<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Br`o\VDK3=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.OqehYUID?><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA;;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB7428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%F~lcPR@O;15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"C}al]QEH?282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;87?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:<3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>1?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>26;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6;7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:83;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>5?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>22;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:6?7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6:43;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92>9?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>2:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209476<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=00:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209436<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=04:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122094?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<58228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::81<1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<22=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378679=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<26=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01378639=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0>91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<2:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013786?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45734:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>78=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:340<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?078292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<:4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7890:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:344<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?038292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;<>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788>7850:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<:348<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?006?0;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:287?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>67;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:2<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?15>63;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2351:207?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6>53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=92:>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=43:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209066<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::818<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=47:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12209026<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9::8188>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>4=4;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122090>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566<5<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;?0:>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?;<63=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0137828282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3442;07?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679=6228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<02=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8479=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<06=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8439=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn48<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0<91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<0:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j84?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn484>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg320<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?678292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;::4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>90:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg324<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?638292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;:>4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7>50:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg328<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?6;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:487?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`68=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>07;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:4<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6893;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>03;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:407?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6853;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=63:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9266<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=67:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9226<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1:8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=6;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m92>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5>59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<43=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8049=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub08:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<47=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8009=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4<=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0861509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<4;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j808292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:<0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg363<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?268292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>=4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:80:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg367<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?228292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;>14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7:40:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg36?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>44;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:097?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6<28>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te161519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<8<7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\402<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ6Xlye8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>0478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^33[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:88;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?;_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ72=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8?Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0461>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\53Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=:;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>7^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY60S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\606<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ47=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;:Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\64Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>?;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=2^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U9:Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1303<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ40Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<7549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3:\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;3>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>4Qkpnv60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6Zbwg}>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U89=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>>:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP31]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8=Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0603<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ55Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=<549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_21\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:>>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?9Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\70323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY4=Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ<6478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^15[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV9<985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS>9Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[6>2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;1Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3876?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0=Zbwg}??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8Si~`t5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\05323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY38Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^62[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV>9985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS9 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U?98;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR::_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ21=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW=S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\02Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT85;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ;8^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY312Si~`t468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^6\`uis xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U><8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;?_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ36=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<;Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4061>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\17Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT9>;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ:3^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY2<?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U>;Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]6<03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ3?Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;6549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_4;\`uis==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=>Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]5703<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ04Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR8;549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_76\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW??>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT:8Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\23323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1>Vn{cy;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ97478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^44[avh|<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV<3985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS;6Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3?2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX>0Uo|bz:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP6^fskq2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY0=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW>:>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT;=Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\34323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY09Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ8_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ>2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX0Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ6559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_8]gtjr3j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&xjaR|k_dl\m61<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtbofSh`<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPws]fj03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'Dg~tR|k_sqw[duumnULBIQ>2^m\IP^X:Ve:=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/Lov|ZquW{ySl}}ef]DJAY6:VeTAXVP1^m256b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'[Y_SK[CL^EFJ1><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'nxxxi?!gsqw[cskd'xxxRj>499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,cwusl8$l~~zPftno*wusWm8?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!hrrvg5+au{}Umyab!rrv\`62d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>3:1e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg=3=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh<3<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|VxnkR?;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlmT>9m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtbo5:58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`484?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"z|Prrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ74l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`a3m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`aXOGNT=?Q`_LW[[7Yh<91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]bwwc`Wm;?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh?=l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWjefn>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXkfgi=>j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnk9k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/vp\vvrXizxnkRIAD^31[jYJ]QU:Sb:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.uq[wusWhyyijQk1528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f17f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQlol`0`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)pzVxxxRm`mc3`?PUBZV\B_DLCE89UM@QX\PZN86YLLJ08S@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn5o4_^][HKKXWV:TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*btck;$yhn!Bmtz\`l`aW~xT~iU:]^EM@Z56WfUfyuQ:_NWW04YT_92<7RQPXMLN[ZY68VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'nebbjQjmqvz[cqa|Vfnm{gag^gntqXn~lSB\P5^m23d=XWVRGB@QP_03\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}oo< xdbg{p*Kt}kUoekhPws]q`ZrjxVf~x1<11978[ZY_DGGTSR?=_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q?QRIAD^00[jYflmU;SB[[_ymq87869>n0SRQWLOO\[Z74WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv re]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\57Yh9>i0SRQWLOO\[Z73WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`kq$h`fv ws]sjqtXojUjkh_mcpp^2ZW{ooynkPxnp\2Zi6?h1TSRVCNL]\[43XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rmv<1<252d034e>YXWQFEARQP16]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?<7d9\[Z^KFDUTS<6P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ilx/aoo})JpfxT^IQ_NUPX7XYULVZEX_U;]^ZLVZ72WfUsc1>116f8[ZY_DGGTSR?6_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hcy,`hn~(EqeySZ\PPOVQ_6[X_[U[BY\T4\][KWY6WfUsc1>116g8[ZY_DGGTSR?P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqck8$|hnkwt.OpqgYcaolT{Q}d^vntZ~hz595=YXWQFEARQP20]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fa{*fjlp&GscQXR^RMPW]7UV]YS]@[RZ3^[]IUW=UdSua30?34e>YXWQFEARQP23]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~kx"z}{s.Onq}Ydgdz:SJ@K_27\kZKRPV39Sb?;659\[Z^KFDUTS?=P_^W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-Nip~X{UyyQnssgd[BHCW88TcRCZX^3\k471<2UTSUBAM^]\61YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&GfyuQ}d^pppZgtzlmTKCJP13]l[HS_W;Ud=<9=;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqev(u{}y$obc0^aliu7XG[U8SbYXWQFEARQP3^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jgl0/e`4+eh}g~P8PQHNE]07ZiXimnT50]{k9699>k0SRQWLOO\[Z3XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW9SPGOF\66YhWhnoS=Q@UU>0:4>73VUTTA@B_^]5[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dg}(ddbr${Qnup\cfYf{{olSao|tZ6^[wcc}joTtb|P90]{k9699>o0SRQWLOO\[Z1XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jykc0,t`fc|&GxyoQkigd\swYulV~f|Rv`r=1=54YT_;=i7RQPXMLN[ZY?WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/eq`f4)zmi$A~{m_ekebZquW{nTx`~Pxnp?5;76?>1TSRVCNL]\[69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb169gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<4?aoi4:;5;6jfn=11:2=cag68?394dhl?71803mce0>;17:fjj9516>1oec2<7?58`lh;;14<7iga<2;=2>bnf595;6jfn=63:2=cag6?=394dhl?07803mce09=17:fjj9236>1oec2;5?58`lh;bnf5>32:5kio>7=;01oec2:3?58`lh;==4<7iga<47=3>bnf5?=2:5kio>63;12nbb1;17:fjj9076>1oec291?58`lh;>;4<7iga<71=3>bnf551;169gmk:117<0hd`36?58`lh;?9427iga<6394;118:flqq:69720hb{{<00=<>bh}}6:?364dnww842902ndyy2>5?:8`jss48<546j`uu>23;>99gkpr;::437iazt=07:==cg|~7>807;emvp941611ocxz326<;?air|583255kotv?6<803me~x1<18:flqq:48720hb{{<23=<>bh}}68>364dnww865902ndyy2<4?:8`jss4:?546j`uu>02;>918:flqq:40720hb{{<2;=3>bh}}68255kotv?058?3me~x1:>>99gkpr;<;437iazt=60:==cg|~78907;emvp922611ocxz347<;?air|5><255kotv?0=8?3me~x1:6>69gkpr;<720hb{{<42=<>bh}}6>=364dnww804902ndyy2:3?:8`jss4<>546j`uu>61;>bh}}6>5394dnww808?3me~x18?>99gkpr;>8437iazt=41:==cg|~7:>07;emvp903611ocxz364<;?air|5<=255kotv?228?3me~x187>99gkpr;>04<7iazt=4=<>bh}}6<<3o4dnww827=8720hb{{<63=3>bh}}6<2:5kotv?<;12:djbjY`mgoymya}_ums56=aaoeTkh`jr`vlvZp>W:8m7kgio^efj`tf|fxTz4Q<,!Zjhlh(JEYI-Ijndpbpjt'9=$<=:5iigm\c`hbzh~d~Rx6_2]{wq`4)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4:'oRm`uov\p|vb59&hSio{a^alqkrX`nd0;#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:?;&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe382-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y64)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7: nQgar]bhhit|Vof|yw20-a\lduXiegdyQiumn>4)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7: nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>4)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs58&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k22-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`4;'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>5)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0?#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa84+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb21-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7; nQrdnleaYumny6=!mPpskn[coagV~r|h3:13z46)eXx{elShctx]wlwct`Vkxh|{_wco91*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZgaz7:5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUu}k20-a\twi`Wlg{xtQ{yqg\e}ot59&hS}|`g^gntqX|pznSolh<2/gZvugnUna}zv_u{saZtcka7; nQrne\ahvsqV~r|hQxabj>4)eXx{elShctx]w}ucXmic1="l_qplcZcjx}sTxt~j_vp`l86+kVzycjQiumn\pmtb{aUj~k}t^tbh856$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;48%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?3(fYwzfmTjxbc_u{saZtfka7; nQrne\bpjkW}s{iR|kci?3(fYwzfmTjxbc_u{saZqfka7; nQrne\bpjkW}s{iRykci?3(fYwzfmTjxbc_u{saZquka7; nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=7.`[wbXllzd0==,b]q`Z`umx7: nQ}d^rmpwYpam~c18"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQ|yoakvjhnrlxTyohe<0/gZsillxm`byPlnu>4)eX}zoTobcboo]lr`tadf}6#c^wpaZbh}}Uyy3>,b]vw`Ybkj7; nQzsd]fgfYfp`y6#c^wpaZcdkV}joe3?,b]vw`YbkjU|hnf20-a\qvcXmjiT{mg=1.`[pubWlgiiijjd^pfc86+kVxiRhffn35[cjfoz7; nQzsd]escrXlh~jSnaznu?2(fYqiecoSaax=1.`[rgd`V~r|h3?,b]t`fnX|pzn1="l_vp\efmXdf}62)eX{Uoi}zg=20/gZquWmo{xeQnxhq>5543$jU|~Rjjpuj\vdeo58<'oRy}_egspmYuljb6==<;,b]tvZbbx}bT{lmg=04/gZquWmo{xeQxdbj>5543$jU|~Rjjpuj\sweo58<'oRy}_gpfu87+kV}yS}`{r^uj`qn:=%iT{Qnup\slbs`Vkse~3>0-a\swYwf}xT{dj{h^pbgm;3$jU|~R~ats]tmaroW{nhd0??,b]tvZvi|{U|eizg_vc`l82+kV}yS}`{r^uj`qnXmic1<>#c^uq[uhszV}bhyfPwsak91*dW~xT~h}ooqf[igsmgir1<"l_vp`lZr~xl7;q?84fhdl[vnhz}U9oae#fhdl[bcim{kcQy9^1/x24re]geqgXkfex1?1119q`Zbf|hUhcx`{<3<24>tcWmkmRm`uov?7;773{nThlzn_bmvjq:36880~iQkauc\gjsi|5?1<3??;sf\`drfWje~by2:>038vaYckVl|jyQjxu]bw0=ulVoe;6|k_guep==ulVfdkdm>2:pg[vrf||yTjaohs^cp54=umzoSobd_scnbl`h;2xxxk5|yoakvjhnrlxTjkl4ws]amkYdf}x=7z|Pcmi24>quWmkmRm`uov?4;773~xThlzn_bmvjq:668:0{Qkauc\gjsi|585==5xr^fbpdYdg|d0>0>0:uq[agsiVidycz34?31?rtXlh~jSnaznu>6>58682}ySio{a^alqkr;=7;:7z|Pdb]escrXmq~Tm~;4ws]fj==pzVfdkdm>2:uq[vrf||yTjaohs^cpyEFw99;:7MNw48f9B?2=9rY<:7=j5;a7>454?19=6?h=19ym7`5=92d8i949;%1f5?5cl2wX;9428:728:7:08163}T??08i84l4;3072>4>38m>de<^:o96?uzb582?pd2291v(n;5279a0:309y!5b83>2n6*91;6:b>"0=3>2i6*lf;38 fc=;l<0e9:6:18'g2<3<11eo;4?;:k702<72-i<69:7;oa5>4=>6=4+c6870==ik?0976g;4583>!e02=>37cm9:298m124290/o:4;499mg3<332c?8?4?:%a4>12?3gi=6854i562>5<#k>0?855ac785?>o3<90;6)m8:56;?ke12>10e9=i:18'g2<3<11eo;47;:k77`<72-i<69:7;oa5><=!e02=>37cm9:c98m15f290/o:4;499mg312?3gi=6i54i51;>5<#k>0?855ac78f?>o3;>0;6)m8:56;?ke12o10e9=9:18'g2<3<11eo;4>0:9j063=83.h;7:;8:l`2?7632c??94?:%a4>12?3gi=6<<4;h607?6=,j=18964nb4956=?46`l6;36?>o3:o0;6)m8:56;?ke128<07d:=e;29 f1=<=20bn851698m14c290/o:4;499mg3<6021b8?m50;&`3?2302dh:7?6;:k76g<72-i<69:7;oa5>4g<3`>9m7>5$b5901>5<#k>0?855ac782g>=n<;21<7*l7;67<>hd>3;o76g;2683>!e02=>37cm9:0g8?l25>3:1(n9545:8jf0=9o10e9<;:18'g2<3<11eo;4=0:9j075=83.h;7:;8:l`2?4632c?>?4?:%a4>12?3gi=6?<4;h615?6=,j=18964nb4966=?46`l6;06?>o39l0;6)m8:56;?ke12;<07d:>d;29 f1=<=20bn852698m17d290/o:4;499mg3<5021b87g<3`>:47>5$b5901>o54i534>5<#k>0?855ac781g>=n<8<1<7*l7;67<>hd>38o76g;1483>!e02=>37cm9:3g8?l26<3:1(n9545:8jf0=:o10e9?<:18'g2<3<11eo;4<0:9j044=83.h;7:;8:l`2?5632c?=<4?:%a4>12?3gi=6><4;h624?6=,j=18964nb4976=?46`l6;16?>o38j0;6)m8:56;?ke12:<07d:?b;29 f1=<=20bn853698m16f290/o:4;499mg3<4021b8=750;&`3?2302dh:7=6;:k74=<72-i<69:7;oa5>6g<3`>;;7>5$b5901>5<#k>0?855ac780g>=n<9?1<7*l7;67<>hd>39o76g;4b83>!e02=>37cm9:2g8?l23j3:1(n9545:8jf0=;o10e9:n:18'g2<3<11eo;4;0:9j010=83.h;7:;8:l`2?2632c??o4?:%a4>12?3gi=69<4;h604?6=,j=18964nb4906=6=4+c6870==ik?0?865f40c94?"d?3>?46`l6;66?>o38o0;6)m8:56;?ke12=<07d:?4;29 f1=<=20bn854698m1d32900e9l8:188m1g12900e9m?:188m1ga2900c97<:18'g2<31;1eo;4?;:m7=4<72-i<697=;oa5>4=!e02=397cm9:298k1>c290/o:4;939mg3<332e?4n4?:%a4>1?53gi=6854o5:a>5<#k>0?5?5ac785?>i30h0;6)m8:5;1?ke12>10c966:18'g2<31;1eo;47;:m7<=<72-i<697=;oa5><=!e02=397cm9:c98k1>3290/o:4;939mg34?:%a4>1?53gi=6i54o5:1>5<#k>0?5?5ac78f?>i3080;6)m8:5;1?ke12o10c96?:18'g2<31;1eo;4>0:9l02`=83.h;7:62:l`2?7632e?;h4?:%a4>1?53gi=6<<4;n64`?6=,j=184<4nb4956=2>6`l6;36?>i3?00;6)m8:5;1?ke128<07b:88;29 f1=<080bn851698k110290/o:4;939mg3<6021d8:850;&`3?2>:2dh:7?6;:m730<72-i<697=;oa5>4g<3f><87>5$b590<45<#k>0?5?5ac782g>=h<>81<7*l7;6:6>hd>3;o76a;7083>!e02=397cm9:0g8?j2083:1(n954808jf0=9o10c98j:18'g2<31;1eo;4=0:9l03b=83.h;7:62:l`2?4632e?:n4?:%a4>1?53gi=6?<4;n65f?6=,j=184<4nb4966=2>6`l6;06?>i3>10;6)m8:5;1?ke12;<07b:97;29 f1=<080bn852698k101290/o:4;939mg3<5021d8;;50;&`3?2>:2dh:7<6;:m726<72-i<697=;oa5>7g<3f>=>7>5$b590<4o54o542>5<#k>0?5?5ac781g>=hhd>38o76a;5g83>!e02=397cm9:3g8?j22m3:1(n954808jf0=:o10c9;k:18'g2<31;1eo;4<0:9l00e=83.h;7:62:l`2?5632e?9o4?:%a4>1?53gi=6><4;n66e?6=,j=184<4nb4976=2>6`l6;16?>i3=?0;6)m8:5;1?ke12:<07b::5;29 f1=<080bn853698k133290/o:4;939mg3<4021d88=50;&`3?2>:2dh:7=6;:m717<72-i<697=;oa5>6g<3f>>=7>5$b590<45<#k>0?5?5ac780g>=h<=l1<7*l7;6:6>hd>39o76a;9783>!e02=397cm9:2g8?j2>=3:1(n954808jf0=;o10c97;:18'g2<31;1eo;4;0:9l0<6=83.h;7:62:l`2?2632e?484?:%a4>1?53gi=69<4;n64e?6=,j=184<4nb4906=2>6`l6;66?>i3=00;6)m8:5;1?ke12=<07b:;e;29 f1=<080bn854698k1gc290/o:4;ab9mg3<732e?mo4?:%a4>1gd3gi=6<54o5cb>5<#k>0?mn5ac781?>i3i00;6)m8:5c`?ke12:10c9o7:18'g2<3ij1eo;4;;:m7e2<72-i<69ol;oa5>0=!e02=ho7cm9:098k1de290/o:4;be9mg3<532e?nl4?:%a4>1dc3gi=6>54o5`:>5<#k>0?ni5ac787?>i3j10;6)m8:5`g?ke12<10c9o?:188k1?02900n>ji:182>5<7s-n864m4H2ff?M5c12e2n7>5;|`2<<<72=0;6=u+d28:<>N4ll1C?i74$`693>o2m3:17d98:188mf?=831doo4?::a5g5=83>1<7>t$e19===O;mo0D>j6;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f4d5290?6=4?{%f0><><@:nn7E=k9:&b0?1>od13:17bmm:188yg5en3:187>50z&g7??13A9oi6F0;66alb;29?xd4km0;694?:1y'`6<>02B8hh5G3e;8L0g<,k81h?5f5d83>>o0?3:17dm6:188kfd=831vn>j<:187>5<7s-n86464H2ff?M5c12B>m6*m2;f1?l3b2900e:950;9jg<<722ehn7>5;|`0g7<72=0;6=u+d28:<>N4ll1C?i74H4c8 g4=l;1/m948;h7f>5<=1<75fc883>>idj3:17pl6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7a0=8391<7>t$e19=0=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=<6=44ob`94?=zj:i:6=4;:183!b42020D>jj;I1g=>N2i2.i>7j=;%c7>2=n=l0;66g87;29?le>2900cnl50;9~f6e?290?6=4?{%f0><><@:nn7E=k9:&b0?1>od13:17bmm:188yg5d?3:187>50z&g7???3A9oi6F02B8hh5G3e;8 d2=?2c>i7>5;h54>5<>{e;jl1<7=50;2x a5=1<1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d98:188kfd=831vn>ml:187>5<7s-n86464H2ff?M5c12.j8794i4g94?=n?>0;66gl9;29?jee2900qo=lb;290?6=8r.o?777;I1ga>N4l01/m948;h7f>5<=1<75fc883>>idj3:17pl6<729q/h>465:J0``=O;m30D8o4$c09`7=#i=097d;j:188m21=831doo4?::a7f6=83>1<7>t$e19===O;mo0D>j6;I7b?!d52m80(l:57:k6a?6=3`=<6=44ib;94?=hkk0;66sm29`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:191<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:><1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26294?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm27394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24a94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24:94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=k1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:1i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm29;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:>o1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm26194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:?21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm24f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:<81<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm25594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e:=<1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm28294?41290;w)j<:ba8L6bb3A9o56T:d;ax5=<613;j6"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=?7cm9:098m14=83.h;7:;;oa5>7=50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=1=6=13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>4?50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b5<h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=?7cm9:398m17=83.h;7:;;oa5>6=j7cm9:098m1>=83.h;7:n;oa5>7=4=54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=1=13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=52783>5}#l:0ho6Fo4lk0;66g>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=;:k7o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;i?l3:1(n958b9mg3<732e3n7>5$b59{e:0=1<7<9:183!b42ji0D>jj;I1g=>\2l3ip=54>9;3b>4d=j3i1h7k5f;33>47=9;0v(l;53:&b2?5<,h=1?6*n8;18m6be2900e>jl:188m2d=83.h;79n;oa5>5=31<7*l7;5b?ke12810e:k50;&`3?1c3gi=6=54i6a94?"d?3=o7cm9:098m=7=83.h;76?;oa5>5=l1<7*l7;:3?ke12810e5:50;&`3?>43gi=6=54i9094?"d?3287cm9:098m13=83.h;7:;;oa5>5=?7cm9:298m16=83.h;7:;;oa5>1=j7cm9:398m11=83.h;7:n;oa5>6=7=4=1<7*l7;75?ke12;10e8=50;&`3?313gi=6>54i4094?"d?3?=7cm9:598k=1=83.h;769;oa5>5=>3gi=6=54o9:94?"d?3227cm9:098k=b=83.h;76l;oa5>5=50;&`3?>a3gi=6=54o9g94?"d?32m7cm9:098yg4>13:1>;4?:1y'`6"f?390(l653:k0`g<722c8hn4?::k4f?6=,j=1;l5ac783?>o013:1(n957`9mg3<632c5$b593a=ik?0;76g8c;29 f1=?m1eo;4>;:k;5?6=,j=14=5ac783?>o0n3:1(n95819mg3<632c387>5$b59<6=ik?0;76g72;29 f1=0:1eo;4>;:k71?6=,j=1895ac783?>o3;3:1(n95459mg3<632c?>7>5$b5901=ik?0976g;1;29 f1=<=1eo;4<;:k74?6=,j=1895ac787?>o3j3:1(n954`9mg3<732c?57>5$b590d=ik?0:76g;8;29 f1=o3>3:1(n954`9mg3<332c>=7>5$b5915=ik?0;76g;f;29 f1==91eo;4>;:k7a?6=,j=19=5ac781?>o3l3:1(n95519mg3<432c?o7>5$b5915=ik?0?76g:7;29 f1==?1eo;4?;:k61?6=,j=19;5ac782?>o2<3:1(n95579mg3<532c>?7>5$b5913=ik?0876g:2;29 f1==?1eo;4;;:m;3?6=,j=14;5ac783?>i?=3:1(n95879mg3<632e3m7>5$b59<<=ik?0;76a78;29 f1=001eo;4>;:m;`?6=,j=14n5ac783?>i?j3:1(n958b9mg3<632e2<7>5$b59;:a6<3=838=6=4?{%f0>fe<@:nn7E=k9:X6`?e|910:57?n:0`9f?e=l3o1j7??:039575<4=o1<7*l7;5g?ke12910e:m50;&`3?1c3gi=6<54i9394?"d?32;7cm9:198m2`=83.h;76?;oa5>4=1<7*l7;:0?ke12910e5<50;&`3?>43gi=6<54i5794?"d?3>?7cm9:198m15=83.h;7:;;oa5>4=54i5294?"d?3>?7cm9:598m1d=83.h;7:n;oa5>5=j7cm9:298m10=83.h;7:n;oa5>1=6=7=4=>3gi=6<54o9f94?"d?32h7cm9:198k=d=83.h;76l;oa5>4=a3gi=6<54}c0:0?6=:?0;6=u+d28`g>N4ll1C?i74Z4f9g~7?2831=l4>b;`9g?b=m3l1==4>1;31>x"f=390(l853:&b3?5<,h21?6g>o4lj0;66g8b;29 f1=?h1eo;4?;:k4=?6=,j=1;l5ac782?>o0m3:1(n957e9mg3<732c5$b593a=ik?0:76g71;29 f1=091eo;4?;:k4b?6=,j=14=5ac782?>o?<3:1(n95829mg3<732c3>7>5$b59<6=ik?0:76g;5;29 f1=<=1eo;4?;:k77?6=,j=1895ac782?>o3:3:1(n95459mg3<532c?=7>5$b5901=ik?0876g;0;29 f1=<=1eo;4;;:k7f?6=,j=18l5ac783?>o313:1(n954`9mg3<632c?47>5$b590d=ik?0976g;7;29 f1=o293:1(n95519mg3<732c?j7>5$b5915=ik?0:76g;e;29 f1==91eo;4=;:k7`?6=,j=19=5ac780?>o3k3:1(n95519mg3<332c>;7>5$b5913=ik?0;76g:5;29 f1==?1eo;4>;:k60?6=,j=19;5ac781?>o2;3:1(n95579mg3<432c>>7>5$b5913=ik?0?76a77;29 f1=0?1eo;4?;:m;1?6=,j=14;5ac782?>i?i3:1(n95889mg3<732e347>5$b59<<=ik?0:76a7d;29 f1=0j1eo;4?;:m;f?6=,j=14n5ac782?>i>83:1(n958g9mg3<732e3i7>5$b59"f0390e>jm:188m6bd2900e:l50;&`3?1f3gi=6=54i6;94?"d?3=j7cm9:098m2c=83.h;79k;oa5>5=i1<7*l7;5g?ke12810e5?50;&`3?>73gi=6=54i6d94?"d?32;7cm9:098m=2=83.h;76<;oa5>5=?7cm9:098m14=83.h;7:;;oa5>7=50;&`3?233gi=6954i5`94?"d?3>j7cm9:198m1?=83.h;7:n;oa5>4=54i5494?"d?3>j7cm9:598m07=83.h;7;?;oa5>5=1=6=13gi=6=54o9794?"d?32=7cm9:098k=g=83.h;766;oa5>5=d3gi=6=54o9`94?"d?32h7cm9:098k<6=83.h;76i;oa5>5=4lc:J0``=O;m30V8j5cz3;>4?=9h0:n7l5c;f9a?`=990:=7?=:|&b1?5<,h<1?6*n7;18 d>=;2c8ho4?::k0`f<722c5$b593d=ik?0;76g89;29 f1=?h1eo;4>;:k4a?6=,j=1;i5ac783?>o0k3:1(n957e9mg3<632c3=7>5$b59<5=ik?0;76g8f;29 f1=091eo;4>;:k;0?6=,j=14>5ac783?>o?:3:1(n95829mg3<632c?97>5$b5901=ik?0;76g;3;29 f1=<=1eo;4>;:k76?6=,j=1895ac781?>o393:1(n95459mg3<432c?<7>5$b5901=ik?0?76g;b;29 f1=o303:1(n954`9mg3<532c?;7>5$b590d=ik?0876g;6;29 f1=o3n3:1(n95519mg3<632c?i7>5$b5915=ik?0976g;d;29 f1==91eo;4<;:k7g?6=,j=19=5ac787?>o2?3:1(n95579mg3<732c>97>5$b5913=ik?0:76g:4;29 f1==?1eo;4=;:k67?6=,j=19;5ac780?>o2:3:1(n95579mg3<332e3;7>5$b59<3=ik?0;76a75;29 f1=0?1eo;4>;:m;e?6=,j=1445ac783?>i?03:1(n95889mg3<632e3h7>5$b59;:m:4?6=,j=14k5ac783?>i?m3:1(n958g9mg3<632wi>5k50;05>5<7s-n86nm4H2ff?M5c12P>h7mt1982=?7f28h1n7m5d;g9b?7728;1=?4r$`797>"f>390(l953:&b5<h1<7*l7;5b?ke12910e:750;&`3?1f3gi=6<54i6g94?"d?3=o7cm9:198m2e=83.h;79k;oa5>4=73gi=6<54i9694?"d?3287cm9:198m=4=83.h;76<;oa5>4=?7cm9:398m17=83.h;7:;;oa5>6=j7cm9:098m1>=83.h;7:n;oa5>7=4=54i5a94?"d?3?;7cm9:598m01=83.h;7;9;oa5>5=1=13gi=6<54o9c94?"d?3227cm9:198k=>=83.h;766;oa5>4=d3gi=6<54o8294?"d?32m7cm9:198k=c=83.h;76i;oa5>4=52783>5}#l:0ho6Fo4lk0;66g>o0j3:1(n957`9mg3<732c<57>5$b593d=ik?0:76g8e;29 f1=?m1eo;4?;:k4g?6=,j=1;i5ac782?>o?93:1(n95819mg3<732c5$b59<5=ik?0:76g74;29 f1=0:1eo;4?;:k;6?6=,j=14>5ac782?>o3=3:1(n95459mg3<732c??7>5$b5901=ik?0:76g;2;29 f1=<=1eo;4=;:k75?6=,j=1895ac780?>o383:1(n95459mg3<332c?n7>5$b590d=ik?0;76g;9;29 f1=;:k7o3?3:1(n954`9mg3<432c?:7>5$b590d=ik?0?76g:1;29 f1==91eo;4?;:k7b?6=,j=19=5ac782?>o3m3:1(n95519mg3<532c?h7>5$b5915=ik?0876g;c;29 f1==91eo;4;;:k63?6=,j=19;5ac783?>o2=3:1(n95579mg3<632c>87>5$b5913=ik?0976g:3;29 f1==?1eo;4<;:k66?6=,j=19;5ac787?>i??3:1(n95879mg3<732e397>5$b59<3=ik?0:76a7a;29 f1=001eo;4?;:m;i?l3:1(n958b9mg3<732e3n7>5$b59{e;k91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;hn1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3``94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3`194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;h;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;0n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;0=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;091<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm39794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm36194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;>;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;?91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm34194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;<;1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35d94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=n1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35`94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=31<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35594?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;=?1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35194?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:h1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32;94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:=1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32794?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;:91<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm32394?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;;l1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33f94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;k21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm3c494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;k:1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm38c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;1>1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm37g94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;<21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm35094?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e;;i1<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm33c94?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9>;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=;6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th::h4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=;j50;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn<8l:187>5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?n9;291?6=8r.o?778;I1ga>N4l01/m94=;h7f>5<>o0?3:17bmm:188yg7f?3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7f;3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7>l3:197>50z&g7??03A9oi6FN4l01/m94=;h7f>5<>o0?3:17bmm:188yg7>j3:197>50z&g7??03A9oi6FN4l01/m948;h7f>5<>od13:17bmm:188yg70i3:187>50z&g7??13A9oi6F0;66alb;29?xd6?>0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e91;1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8=o6=4;:183!b42020D>jj;I1g=>"f<3=0e8k50;9j32<722ch57>5;naa>5<54;294~"c;3337E=ke:J0`<=#i=0<7d;j:188m21=831bo44?::m`f?6=3th:h54?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=i950;694?6|,m915;5G3eg8L6b>3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?k5;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<91<75f7683>>idj3:17pl>d583>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm1e194?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l86=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8l:6=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8om6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o36=4::183!b420=0D>jj;I1g=>"f<380e8k50;9j25<722c5;h54>5<5<2290;w)j<:858L6bb3A9o56*n4;08m0c=831b:=4?::k47?6=3`=<6=44ob`94?=zj8o=6=4::183!b42030D>jj;I1g=>"f<3=0e8k50;9j25<722c<;7>5;ha:>5<a4<,h>1>6g:e;29?l142900e:950;9lgg<722wij94?:583>5}#l:02:6F0;66alb;29?xda=3:187>50z&g7??13A9oi6F6*n4;08m0c=831b;>4?::k43?6=3fii6=44}cd5>5<3290;w)j<:848L6bb3A9o56F:a:&a6?b53-k?6?5f5d83>>o0;3:17d98:188kfd=831vnk950;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e98;1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::a544=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10194?2=83:p(i=5979K7ac<@:n27E;n;%`1>a4<,h>1>6g:e;29?l142900e:950;9lgg<722wi=<:50;694?6|,m915;5G3eg8L6b>3A?j7)l=:e08 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e98?1<7:50;2x a5=1?1C?ik4H2f:?M3f3-h96i<4$`696>o2m3:17d9<:188m21=831doo4?::aa<<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17plja;290?6=8r.o?779;I1ga>N4l01C9l5+b38g6>"f<380e8k50;9j36<722c<;7>5;naa>5<jj;I1g=>N2i2.i>7j=;%c7>7=n=l0;66g83;29?l102900cnl50;9~f`e=83>1<7>t$e19=3=O;mo0D>j6;I7b?!d52m80(l:52:k6a?6=3`=86=44i6594?=hkk0;66smee83>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:5}#l:02:6F0;66alb;29?xd68:0;694?:1y'`6<>>2B8hh5G3e;8L0g<,k81h?5+a581?l3b2900e:=50;9j32<722ehn7>5;|`241<72=0;6=u+d28:2>N4ll1C?i74H4c8 g4=l;1/m94=;h7f>5<91<75f7683>>idj3:17pl>0483>1<729q/h>466:J0``=O;m30D8o4$c09`7=#i=097d;j:188m25=831b;:4?::m`f?6=3th:<;4?:583>5}#l:02:6F0;66alb;29?xd6;?0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9:?1<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj89?6=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:??4?:583>5}#l:02:6F1>6g:e;29?l142900e:950;9lgg<722wi=3-k?6?5f5d83>>o0;3:17d98:188kfd=831vn5<7s-n86484H2ff?M5c12.j87<4i4g94?=n?:0;66g87;29?jee2900qo?>d;290?6=8r.o?779;I1ga>N4l01/m94=;h7f>5<91<75f7683>>idj3:17pl>1b83>1<729q/h>466:J0``=O;m30(l:52:k6a?6=3`=86=44i6594?=hkk0;66sm10`94?2=83:p(i=5979K7ac<@:n27)o;:39j1`<722c5;h54>5<5<3290;w)j<:848L6bb3A9o56*n4;08m0c=831b;>4?::k43?6=3fii6=44}c317?6=<3:15;|`267<72=0;6=u+d28:2>N4ll1C?i74$`696>o2m3:17d9<:188m21=831doo4?::a577=83>1<7>t$e19=3=O;mo0D>j6;%c7>7=n=l0;66g83;29?l102900cnl50;9~f447290?6=4?{%f0><0<@:nn7E=k9:&b0?4>o0?3:17bmm:188yg74j3:187>50z&g7??13A9oi6F0;66alb;29?xd6;h0;694?:1y'`6<>>2B8hh5G3e;8 d2=:2c>i7>5;h50>5<=1<75`cc83>>{e9:31<7:50;2x a5=1?1C?ik4H2f:?!g32;1b9h4?::k47?6=3`=<6=44ob`94?=zj8936=4;:183!b420<0D>jj;I1g=>"f<380e8k50;9j36<722c<;7>5;naa>5<54;294~"c;33=7E=ke:J0`<=#i=097d;j:188m25=831b;:4?::m`f?6=3th:h44?:283>5}#l:0jh6F156g6e;29?l?a2900cn=50;9~f6dc29086=4?{%f0>db<@:nn7E=k9:&b0??<,j21?k94i8g94?=n1o0;66al3;29?xd4jl0;6>4?:1y'`6jj;I1g=>"f<330(n653g58m5}#l:0jh6F156*l8;1e2>o>m3:17d7i:188kf5=831vn<:j:184>5<7s-n86o?4H2ff?M5c12.j8784i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|`20c<72>0;6=u+d28a5>N4ll1C?i74$`696g=n1l0;66g6f;29?lg72900el?50;9je7<722cj?7>5;na0>5<57>53;294~"c;3ko7E=ke:J0`<=#i=08=6g6e;29?l?a2900cn=50;9~f43029086=4?{%f0>db<@:nn7E=k9:&b0?563`3n6=44i8d94?=hk:0;66sm14794?5=83:p(i=5ae9K7ac<@:n27)o;:238m5}#l:0jj6F1>95+c980bg=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=4650;494?6|,m91n=5G3eg8L6b>3-k?6?;4$b:97cd>of83:17do>:188md4=831do>4?::a5=b=83>1<7>t$e19e`=O;mo0D>j6;%c7>75<,j21?ho4i8g94?=n1o0;66gn0;29?je42900qo?7c;297?6=8r.o?7ok;I1ga>N4l01/m94>d:&`5;h;e>5<5<3290;w)j<:`g8L6bb3A9o56*n4;7a?l?b2900e4h50;9je5<722eh?7>5;|`23c<72<0;6=u+d28bb>N4ll1C?i74$`6975=#k108i55f9d83>>o>n3:17do?:188md7=831do>4?::a524=83?1<7>t$e19ec=O;mo0D>j6;%c7>d=n1l0;66g6f;29?lg72900el?50;9lg6<722wi=:750;794?6|,m91mk5G3eg8L6b>3-k?6<84$b:97c`>of83:17do>:188kf5=831vn<9m:187>5<7s-n86lk4H2ff?M5c12.j87;7;%a;>6`a3`3n6=44i8d94?=ni90;66al3;29?xd6k80;6>4?:1y'`65;na0>5<53;294~"c;3ko7E=ke:J0`<=#i=0:h6*l8;1ff>o>m3:17d7i:188kf5=831vn<<7:187>5<7s-n86lk4H2ff?M5c12.j87=9;%a;>6ce3`3n6=44i8d94?=ni90;66al3;29?xd6;m0;6>4?:1y'`65;na0>5<54;294~"c;3kn7E=ke:J0`<=#i=08:6*l8;1fg>o>m3:17d7i:188md6=831do>4?::a`g<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j<5f9d83>>o>n3:17bm<:188ygbf29086=4?{%f0>db<@:nn7E=k9:&b0?7c3`3n6=44i8d94?=hk:0;66smd683>6<729q/h>4nd:J0``=O;m30(l:51e9'g=<4n91b5h4?::k:b?6=3fi86=44}cf5>5<4290;w)j<:`f8L6bb3A9o56*n4;3g?!e?2:l87d7j:188m<`=831do>4?::a`0<72:0;6=u+d28b`>N4ll1C?i74$`695a=#k108j>5f9d83>>o>n3:17bm<:188yg75j3:1:7>50z&g7?d73A9oi6FN4l01/m94<8:&`5;h;e>5<>of:3:17bm<:188yg7513:197>50z&g7?ga3A9oi6F5;hc3>5<>{e9191<7950;2x a5=j81C?ik4H2f:?!g32:>0e4k50;9j=c<722cj<7>5;hc2>5<>id;3:17pl>8683>2<729q/h>4m1:J0``=O;m30(l:52e9j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg7fj3:197>50z&g7?ga3A9oi6F5;h;e>5<>id;3:17pl>ab83>2<729q/h>4m1:J0``=O;m30(l:5359j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg7fm3:1?7>50z&g7?gc3A9oi6Fjj;I1g=>"f<3997d7j:188m<`=831bm=4?::kb5?6=3`k96=44ob194?=zj8h;6=48:183!b42k;0D>jj;I1g=>"f<3;?7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm15c94?1=83:p(i=5b09K7ac<@:n27)o;:49j=`<722c2j7>5;hc3>5<>of;3:17bm<:188yg72;3:1;7>50z&g7?d63A9oi6F<2c2i7>5;h;e>5<>of:3:17do<:188kf5=831vn5<7s-n86o?4H2ff?M5c12.j87?8;h;f>5<>of93:17do=:188md5=831do>4?::a507=8391<7>t$e19ea=O;mo0D>j6;%c7><7<,j21?hk4i8g94?=n1o0;66al3;29?xd65;h;e>5<>of:3:17do<:188kf5=831vn<;i:185>5<7s-n86o>4H2ff?M5c12.j87?i;%a;>6`73`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f4e0290=6=4?{%f0>g6<@:nn7E=k9:&b0?7a3-i36>h>;h;f>5<>of93:17do=:188kf5=831vn5<7s-n86lh4H2ff?M5c12.j877=;%a;>6`c3`3n6=44i8d94?=ni90;66gn1;29?je42900qo?l3;292?6=8r.o?7l?;I1ga>N4l01/m945;h;e>5<>of:3:17bm<:188yg72j3:1;7>50z&g7?d63A9oi6F5;h;e>5<>of:3:17do<:188kf5=831vn<:l:185>5<7s-n86o>4H2ff?M5c12.j87=i;%a;>6c03`3n6=44i8d94?=ni90;66gn1;29?lg52900cn=50;9~f42c290>6=4?{%f0>d`<@:nn7E=k9:&b0??f3-i36>k8;h;f>5<>of93:17bm<:188yg72i3:197>50z&g7?ga3A9oi6FN4l01/m94=7:&`5;h;e>5<5<2290;w)j<:`d8L6bb3A9o56*n4;1b?!e?2:ln7d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3;1?6==3:1"d039m46g6e;29?l?a2900el>50;9je4<722eh?7>5;|`2<3<72?0;6=u+d28a4>N4ll1C?i74$`691f=#k108j55f9d83>>o>n3:17do?:188md7=831bm?4?::m`7?6=3th:454?:683>5}#l:0i=6F1?o5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3af?6=?3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zj8h>6=48:183!b42k;0D>jj;I1g=>"f<38m7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1b694?3=83:p(i=5ag9K7ac<@:n27)o;:0g8 f>=;o80e4k50;9j=c<722cj<7>5;hc2>5<5<2290;w)j<:`d8L6bb3A9o56*n4;1:?!e?2:l97d7j:188m<`=831bm=4?::kb5?6=3fi86=44}c3ae?6=?3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3`k86=44ob194?=zjm21<7=50;2x a5=im1C?ik4H2f:?!g328n0(n653g68m5}#l:0i=6F1>n5f9d83>>o>n3:17do?:188md7=831bm?4?::kb7?6=3fi86=44}c3a"d039mh6g6e;29?l?a2900el>50;9je4<722eh?7>5;|`20=<72<0;6=u+d28bb>N4ll1C?i74$`696`=#k108ih5f9d83>>o>n3:17do?:188md7=831do>4?::a5f4=8391<7>t$e19ea=O;mo0D>j6;%c7>67<,j21?hj4i8g94?=n1o0;66al3;29?xd6m:0;684?:1y'`65;hc3>5<>{e9l?1<7850;2x a5=j91C?ik4H2f:?!g32=;o?0e4k50;9j=c<722cj<7>5;na0>5<53;294~"c;3ko7E=ke:J0`<=#i=08=6*l8;1fb>o>m3:17d7i:188kf5=831vni750;194?6|,m91mi5G3eg8L6b>3-k?6>?4$b:97c2>id;3:17plk4;297?6=8r.o?7ok;I1ga>N4l01/m94<1:k:a?6=3`3m6=44ob194?=zj8h26=48:183!b42k;0D>jj;I1g=>"f<39<7d7j:188m<`=831bm=4?::kb5?6=3`k96=44i`194?=hk:0;66sm1`694?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9h21<7;50;2x a5=1>1C?ik4H2f:?!g32;1b9h4?::k54?6=3`=86=44i6594?=hkk0;66sm1`494?3=83:p(i=5969K7ac<@:n27)o;:39j1`<722c=<7>5;h50>5<=1<75`cc83>>{e9>?1<7:50;2x a5=il1C?ik4H2f:?!g32>20(n653d:8m3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c175?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c163?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15`?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;7?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:=?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bb?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a1?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a3?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a=?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c11a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c104?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c106?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c100?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c102?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c103:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c10a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c174?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c170?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c172?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c173:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c17a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c164?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c166?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c160?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c162?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c16a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c154?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c156?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c150?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c152?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c153:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c15g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c144?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c146?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c140?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c142?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c143:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c14a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;e?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1;a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:g?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1:a?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b4?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b2?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1b3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1be?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1bg?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1ba?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a6?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c1a0?6=>3:1o>m3:17d7i:188md6=831bm<4?::kb6?6=3fi86=44}c3:e?6=;3:1o>m3:17d7i:188kf5=831vn<6m:181>5<7s-n86l74H2ff?M5c12c2h7>5;na0>5<52;294~"c;3k27E=ke:J0`<=n1m0;66al3;29?xd6nm0;6?4?:1y'`64?::a522=8381<7>t$e19e<=O;mo0D>j6;h;g>5<5<3290;w)j<:c18L6bb3A9o56g6e;29?l?a2900enj50;9lg6<722wi=8j50;494?6|,m91n=5G3eg8L6b>3-k?63-k?6<;4i8g94?=n1o0;66gn0;29?lg62900el<50;9je6<722eh?7>5;|q7f1<72>qU8o:4=2a3>f?<5:i:6n74=2a1>f?<5:n86n74=2ag>f?<5:hm6:=4}r`;>5<6nr78hk46b:?0g5<0?278oo487:?0gf<0?278o;487:?0g2<0?278o5487:?1=6474:?1<`1b8`f>;6ik02j63>b98:b>;6j00j>63>bg8b4>;6k?02j63>ae8b4>;6jk02i63>b48:a>;6jh02i6s|10:94??|58;o6nl4=0c`><`<58kn64h4=0a0>d7<58i?6l?4=0a6>d7<58h264h4=0a2>4n0:?2g1p1508:b>;64e8:a>;60=02j63>488b6>;6<102j63>5d8b7>;6=:0j=63>5c8:b>;6=h02i63>848b4>;60?02j6s|13g94?b|58986nl4=06b>d5<58>o6l>4=0:7>d6<582=6l>4=06:>d6<58?o6l>4=076><`<58?86l<4=07a>d6<58>h64h4=07b><`<582>64h4}r31b?6=1r7:?94lb:?212<>m27:8n46e:?21a20o01<;i:`28943c20l01<;j:8g894>42h;01<68:8d8yv7493:18v3>378`f>;6=o02i63>828:a>;60>02i6s|3ca94?7>s482?7=kc:?1<`<4lj16>4<53ea897?12:nh70<64;1gg>;51<08hn5228;97ae<5;3<6>jl;<0:6=`=;mi01?7?:2f`?87b>3<;70?j7;43?87b03<;70?j9;43?87bn3<;70?i0;43?87a93<;70?i2;43?87a;3<;70?i7;43?87a03<;70?i9;43?87c13i87p}>b283>7}:9k91oo5Q4`28yv7dl3:1>vP;b99>5a5=kk1v;6l10hn6s|1g694?72s482?7;;;<0;a?333482>7;;;<0:2?33348287;;;<0:1?33348257;;;<0:3?33348247;;;<0:5?333483j7;;;<0:4?3334;m;7mm;6l>4=e;9=c=:9k315h521b39=c=:9kl1m>5rs0d6>5<6;r795>4:5:?1<`<2=2795?4:5:?1=3<2=279594:5:?1=0<2=279544:5:?1=2<2=279554:5:?1=4<2=2794k4:5:?1=5<2=27:j54lb:?gf??b34nj64h4=0a4>d6<58i864k4=0a7><`<58i>64k4}r3e2?6=99q6>4=5569>6=c==>16>4<5569>6<0==>16>4:5569>6<3==>16>475569>6<1==>16>465569>6<7==>16>5h5569>6<6==>16=k75cc9>56d=?>16ho46f:?2g2<>m2wx?ol50;6:843>3<;70<;7;43?842:3<;70<:d;43?84103<;70<83;43?840m3<;70<79;43?84?k3<;70<7d;43?84303<;70<;9;43?843i3<;70<;b;43?843k3<;70<;d;43?843m3<;70<;f;43?84283<;70<:1;43?842;3<;70<:4;43?842=3<;70<:6;43?842?3<;70<:8;43?84213<;70<:a;43?842j3<;70<:c;43?842m3<;70<:f;43?84183<;70<91;43?841:3<;70<93;43?841<3<;70<95;43?841>3<;70<97;43?84113<;70<9a;43?841j3<;70<9c;43?841l3<;70<9e;43?841n3<;70<80;43?84093<;70<82;43?840<3<;70<85;43?840>3<;70<87;43?84003<;70<89;43?840i3<;70<8b;43?840k3<;70<8d;43?840n3<;70<70;43?84?93<;70<72;43?84?;3<;70<74;43?84?=3<;70<76;43?84??3<;70<78;43?84?i3<;70<7b;43?870>3i87p}>8883>1}:9131oo5216;9=`=:9>>15i521609=c=z{8=o6=4={_6:3>;6?m0hn6s|17494?4|V=k<70?9c;aa?xu6>>0;6?uQ4`:8940c2jh0q~?98;296~X3i016=;k5cc9~w40>2909wS:na:?22cfd52dy>6<5=<816>4=5469>6<5=5k5409>6=c=<>16>5k54e9>6<4=<816>4<5469>6<4=485409>6<0=<>16>4854e9>6<2=<816>4:5469>6<2=4;5409>6<3=<>16>4;54e9>6475469>6495409>6<1=<>16>4954e9>6<>=<816>465469>6<>=4?5409>6<7=<>16>4?54e9>6=`=<816>5h5469>6=`=4>5409>6<6=<>16>4>54e9>5d5=kk16h846e:?20ab2=801?6j:5:897>b2=o01?7=:50897?52=201?7=:5g897?12=801?79:5:897?12=o01?7;:50897?32=201?7;:5g897?22=801?7::5:897?22=o01?76:50897?>2=201?76:5g897?02=801?78:5:897?02=o01?77:50897??2=201?77:5g897?62=801?7>:5:897?62=o01?6i:50897>a2=201?6i:5g897?72=801?7?:5:897?72=o01;3>270<63;6e?84?m3>870<7e;6:?84?m3>m70<62;60?84>:3>270<62;6e?84>>3>870<66;6:?84>>3>m70<64;60?84><3>270<64;6e?84>=3>870<65;6:?84>=3>m70<69;60?84>13>270<69;6e?84>?3>870<67;6:?84>?3>m70<68;60?84>03>270<68;6e?84>93>870<61;6:?84>93>m70<7f;60?84?n3>270<7f;6e?84>83>870<60;6:?84>83>m70?n7;aa?8b020o01i859g9>5=1=i;16=8h5a09~w4g529095v3=92871>;51:0?n63=92865>;50l0?963=8d87f>;50l0>=63=93871>;51;0?n63=93865>;51?0?963=9787f>;51?0>=63=95871>;51=0?n63=95865>;51<0?963=9487f>;51<0>=63=98871>;5100?n63=98865>;51>0?963=9687f>;51>0>=63=99871>;5110?n63=99865>;5180?963=9087f>;5180>=63=8g871>;50o0?n63=8g865>;5190?963=9187f>;5190>=63>a88`f>;6:=0<;63k7;;e?872n33m70?77;c2?xu6nl0;6?uQ45g897212jh0q~995cc9~w7732909wS:94:?117h7mm;|q16d<72;qU8:o4=34;>fd52z\7<0=::>91oo5rs363>5<5sW>2<63=7d8`f>{t:=91<77}Y<0?01?6l:b`8yv43=3:1>vP;979>6=b=kk1vj6nl4}r036?6=:rT?9?5225`9gg=z{;:86=4={_667>;59h5cc9~w7602909wS::7:?115=650;0xZ13?348>=7mm;|q14d<72;qU88o4=370>fd52z\71g=::<>1oo5rs32`>5<5sW>>o63=548`f>{t:9n1<73ii7p}=0d83>7}Y<vP;5g9>60>=kk1v???:181[218279944lb:p647=838pR98>;<06e?ee3ty9=?4?:3y]034<5;?i6nl4}r027?6=:rT?:>5224a9gg=z{;;>6=4={_651>;5=l0hn6s|20494?4|V=<=70<:f;aa?xu59>0;6?uQ475897072jh0q~<>8;296~X3>116>;?5cc9~w77>2909wS:99:?127fd52z\72f=::??1oo5rs33g>5<5sW>=h63=678`f>{t:8o1<77}Y<>:01?86:b`8yv4593:1>vP;709>63g=kk1v?<=:181[20:279:o4lb:p675=838pR99<;<05g?ee3ty9>94?:3y]022<5;;5>o0hn6s|23594?4|V==<70<80;aa?xu5:10;6?uQ46:897162jh0q~<=9;296~X3?016>:<5cc9~w74e2909wS:8b:?131?m50;0xZ11d348<97mm;|q16a<72;qU8:j4=355>fd52z\73`=::>=1oo5rs30e>5<5sW>{t:::1<77}Y<1;01?9n:b`8yv44:3:1>vP;839>62d=kk1v?=<:181[2?;279;n4lb:p662=838pR96;;<04`?ee3ty9?;4?:3y]0=0<5;=m6nl4}r003?6=:rT?4:522929gg=z{;936=4={_6;<>;5080hn6s|22;94?4|V=2270<72;aa?xu5;h0;6?uQ49c897>42jh0q~<5:5cc9~w75d2909wS:7c:?1<0>j50;0xZ1>c3483:7mm;|q17`<72;qU85k4=3:4>fd52z\75<5sW>2=63=8`8`f>{t:=81<71}:9k81o4521c19g<=:;j81;:523b39gg=z{:hm6=4={<1ab?ee34;i<77j;|q0g0<7283p1<66:b;896e?2jh01<8l:618940c2>901<8j:618940a2>901<9?:61894162>901<76:b;894?e2>901<7l:61894?c2>901<7j:61894g42>90190162>901<98:618941f2>90190152133936=:9;81;>52131936=:9;>1;>52120936=:9:91;>52126936=:9:?1;>52124936=:9981;>52111936=:99>1;>52117936=:99<1;>52e8847>;bi3=870km:6189`e=?:16ii483:p7f5=833:w0=l6;aa?843>3=870<;7;50?842:3=870<:d;50?84103=870<83;50?840m3=870<79;50?84?k3=870<7d;50?84303=870<;9;50?843i3=870<;b;50?843k3=870<;d;50?843m3=870<;f;50?84283=870<:1;50?842;3=870<:4;50?842=3=870<:6;50?842?3=870<:8;50?84213=870<:a;50?842j3=870<:c;50?842m3=870<:f;50?84183=870<91;50?841:3=870<93;50?841<3=870<95;50?841>3=870<97;50?84113=870<9a;50?841j3=870<9c;50?841l3=870<9e;50?841n3=870<80;50?84093=870<82;50?840<3=870<85;50?840>3=870<87;50?84003=870<89;50?840i3=870<8b;50?840k3=870<8d;50?840n3=870<70;50?84?93=870<72;50?84?;3=870<74;50?84?=3=870<76;50?84??3=870<78;50?84?i3=870<7b;50?855i3=870==c;50?853:3=870=:8;50?851m3=870=74;50?85>i3=870=m0;50?85e>3=870=m8;50?855l3=870==f;50?85493=870=<3;50?854=3=870=<7;50?85413=870=93=870=63;50?85>=3=870=67;50?85>j3=870=6d;50?85>n3=870=n1;50?85f;3=870=n5;50?85f?3=870=n9;50?85fj3=870=nd;50?85e93=870=m3;50?xu4kh0;6<=t=2a`>fd<58n86:=4=0f7>25<58n>6:=4=0f5>25<58n<6:=4=0f;>25<58o=6n74=0g4>25<58o36:=4=0g:>25<58om6n74=0d3>25<58l:6:=4=0d1>25<58l86:=4=0d4>25<58l36:=4=0d:>25514y>7fd=kk16=>95729>56>=?:16=>75729>56g=?:16=>l5729>54d=?:16=54b=?:16=54`=?:16=544=?:16=<=5729>542=?:16=<;5729>b6<0;27m879<;25<5o<1;>52f6847>{t0;6>kt^5`4?87e:3?n70?m3;7f?85d83?n70=k5;7f?85dj3?n70=lc;7f?85d93?n70=k6;7f?85d:3?n70=k3;7f?85en3?n70<63;1gf>;50l08ho5228097ad<5;3=6>jm;<0:0?5cj2795846039on63=9080`g=::1l1?il4=3;3>6be34;o?7;j;<3g0?3b34;o97;j;<3g2?3b34;o;7;j;<3g0c<5o>19h52f486a>;a>3?n70h8:4g8yv2fn3:18kuQ4`d8941a2h;01<96:`38941e2h:01<9::8d8964e2h:01>:>:`2896302h:01>8k:`2896>42h:01>76:`2896ga2h:01>l::`2896d02h:01>l6:`28964b2h:01>=?:`2896552h:01>=;:`2896512h:01>=7:`28965f2h:01>=l:`28965b2h:01>:?:`2896232h:01>:9:`28962?2h:01>:n:`28962d2h:01>:j:`2896372h:01>;=:`2896332h:01>;9:`28963f2h:01>;l:`28963b2h:01>8?:`2896052h:01>8;:`2896012h:01>87:`28960f2h:01>8l:`2896172h:01>9=:`2896132h:01>99:`28961?2h:01>9n:`28961d2h:01>9j:`2896>72h:01>6=:`2896>12h:01>67:`2896>f2h:01>6l:`2896>b2h:01>7?:`2896?52h:01>7;:`2896?12h:01>77:`2896?d2h:01>7j:`2896g72h:01>o=:`2896g32h:01>o9:`2896g?2h:01>on:`2896gd2h:01>oj:`2896d52h:01>l;:`28941120o01<9=:8g8yv2f>3:1o>uQ4`4894>>2mi:4g896e12m8:4g896e?2j?:4g896ec2>2c22:4g897152272:4g897>523212?2e2:=:4g8963?28j:4g896>327n:4g896d72l9:4g896d?2=>:4g896542=::4g896502=6:4g8965e2=k:4g8965a2:<:4g896222:8:4g8962>2:m:4g8962c2:i:4g896362;<:4g896322;6:4g8963e2;k:4g8963a28>:4g8960428::4g89600286:4g8960e28i:4g8961629<:4g89612298:4g8961>29m:4g8961c29i:4g896>626::4g896>0266:4g896>e26k:4g896>a27>:4g896?427::4g896?027m:4g896?c27i:4g896g62o<:4g896g22o8:4g896g>2om:4g896gc2l>:4g896d4262=:4g894642;:4g8946229:4g89`?==l16il4:e:?ff?3b34oh68k4=df91`=:9h>19h521`:91`=:9h<19h5rs527>5<5sW>;863=8d84=>{t<9l1<77}Y<8k01?6j:6a8yv25=3:1>vP;249>6=c=?l1v9=?:181[2482794h48f:p06d=838pR9=m;<0;a?>63ty?8;4?:3y]010<5;396:74}r67e?6=:rT?8l5228093g=z{=>i6=4={_67f>;51;0h70<62;5f?xu38<0;6?uQ417897?52>l0q~:?6;296~X38?16>4<5809~w1602909wS:?7:?1=6<012wx8=650;0xZ16?3482?79m;|q74<<72;qU8=74=3;0>2e;m7>52z\74d=::091;h5rs52a>5<5sW>;n63=9284b>{t<9i1<7;32:7p};0e83>7}Y<9n01?7;:6;8yv27m3:1>vP;0d9>6<2=?k1v9??:181[2682795948c:p047=838pR9?>;<0:0?1b3ty?=?4?:3y]044<5;3?6:h4}r627?6=:rT?=>522869<4=z{=;?6=4={_620>;51<0<56s|40794?4|V=;>70<65;5a?xu39?0;6?uQ404897?22>i0q~:>7;296~X39>16>4;57d9~w17?2909wS:>8:?1=0<0n2wx8<750;0xZ17>3482976>;|q75g<72;qU82?:o7>52z\75f=::0<1;o5rs53g>5<5sW>:h63=9784g>{t<8o1<7>3=n7p};1g83>7}Y<8l01?79:6d8yv2583:1>vP;219>6<0=081v9<>:181[2592795:489:p074=838pR9<=;<0:3?1e3ty?>>4?:3y]075<5;3<6:m4}r610?6=:rT?>95228593`=z{=8=6=4={_612>;51>030q~:=9;296~X3:016>4657c9~w14f2909wS:=a:?1==<0k2wx8?l50;0xZ14e3482479j;|q76f<72;qU8?m4=3;;>2`9h7>52z\76a=::0214<5rs50f>5<5sW>9i63=9884=>{t<;l1<713=i7p};3083>7}Y<:;01?76:6a8yv24:3:1>vP;339>663ty??84?:3y]063<5;2m6:74}r602?6=:rT??;5229d93g=z{=9<6=4={_603>;50o0a2>l0q~:5h5809~w15d2909wS:j50;0xZ15c3482<79m;|q77`<72;qU8>k4=3;3>2e8j7>52z\77c=::0:1;h5rs563>5<5sW>?<63=9184b>{t<=;1<7832:7p};4383>7}Y<=801?7>:6;8yv23;3:1>vP;429>6<7=?k1v9:;:181[23<2795<48c:p013=838pR9::;<0:5?1b3ty?8:4?:3y]011<5;3:6:h4}r67=?6=:rT?84522839<4=z{82:6=48{<3;5?ee34;?j77j;<37e??a34;?n77i;<37=??b34;?477j;<37a??b3ty:;:4?:47x94102jh01<:i:8d8941a2h:01<96:8d8941e20o01<:n:8g8942e20o01<:6:8d8942?2h;01<9::`28964e2h;01>:>:`3896302h;01>8k:`3896>42h;01>76:`3896ga2h;01>l::`3896d02h;01>l6:`38964b2h;01>=?:`3896552h;01>=;:`3896512h;01>=7:`38965f2h;01>=l:`38965b2h;01>:?:`3896232h;01>:9:`38962?2h;01>:n:`38962d2h;01>:j:`3896372h;01>;=:`3896332h;01>;9:`38963f2h;01>;l:`38963b2h;01>8?:`3896052h;01>8;:`3896012h;01>87:`38960f2h;01>8l:`3896172h;01>9=:`3896132h;01>99:`38961?2h;01>9n:`38961d2h;01>9j:`3896>72h;01>6=:`3896>12h;01>67:`3896>f2h;01>6l:`3896>b2h;01>7?:`3896?52h;01>7;:`3896?12h;01>77:`3896?d2h;01>7j:`3896g72h;01>o=:`3896g32h;01>o9:`3896g?2h;01>on:`3896gd2h;01>oj:`3896d52h;01>l;:`38942b20l01<99:`2894152h;0q~?7e;2951}:9031oo521849=c=:9021m=5219f9=c=:91i15k521439=`=:9=n15k521969=`=:9=31m>5215:9e5=:l=02i63>9`8:b>;60k02h63>5d8b4>;6=:0j?63>5c8b5>;65`8b4>;60<0j=63>878b5>{t91l1<77t=0;a>fd<58k86:94=0;5>d6<58336l?4=0:g>d6<582h64k4=0c7>21<582>64k4=0:5>57z?2=fm27:m;487:?2<6=01<6<:`28yv7>:3:18v3>9d8`f>;6i00<;63>998:b>;60:02j6s|19094?4|58226:94=0:;>f5510y>5`0=kk16=k>5769>5f0=i816=ol59g9>`=<>m27:n54n1:?2a6n27:hl46e:?g=??b34;i57o>;<3e`??c34;ij7o=;<3b`?g534;i977i;<3ae??a3ty:hi4?:7y>5`1=kk16=k?5769>5dc=1l16=h=59g9>5`3=i;16=il59d9~w4bb290>w0?j8;aa?87a:3=<70?nf;c2?87b;33n70?j5;;f?xu6lo0;69u21d;9gg=:9o91;:521`d9=`=:9l?15k5rs0gb>5<`<58h36l>4=0a1>d6<58ni6l>4=0fb><`<58h26l>4=0da>d6<58ko6l?4}r3ff?6=:r7:j=4lb:?2eg<>m2wx=hm50;1x94`62jh01f9843>;6ij0j>6s|1dg94?5|58l86nl4=0d:>21<58kh6l>4}r3a6?6=;r7:n?4lb:?2`<<>n27:mi46e:p5g7=839p1=01v3;4jm0h?6s|3e394?2|5:i=6n74=2a4>f?<5:i36n74=2f1>f552z?0`6<0?278nh4l3:p7a1=839p1>mm:b;896ed2j301>j7:b18yv5c83:1>v3;4l;02j6s|3bd94?5|5:n;6:94=2ae>fd<5:ho64h4}r1``?6=m2wx?i850;0x96b12jh01>j7:8d8yv5c=3:1?v3;4l<0hn63{t;m91<7:t=2f6>21<5:n86nl4=2`f>50;0x96e62>=01>m?:b`8yv5ei3:1hv3>9c854>;61j0=<63>9e854>;61l0=<63>a2854>;6i<0=<63>a6854>;6i00=<63>738`7>;6i=0=<63>a9854>;6i?0=<63>898b4>{t:l81<7=t=365>21<5:8j6nl4=20a>d453z?102<0?278>n4lb:?004k650;1x97352>=01>:=:b`896302h80q~=?3;297~;5=m0<;63<598`f>;4>m0j>6s|31g94?5|5;<36:94=24f>fd<5:286l<4}r12=?6=;r79;>487:?0<1oi:`08yv55?3:1?v3=88843>;4j90hn63{t;;21<7=t=3:`>21<5:h=6nl4=2`4>d453z?1h=50;1x972?2>=01>;4;90j>6s|2d794?5|5;>j6:94=212>fd<5:996l<4}r0f2?6=;r798o487:?076=9:`08yv4b03:1?v3=4e843>;4;>0hn63<398b6>{t:l31<7=t=36f>21<5:926nl4=21b>d453z?10c<0?278?o4lb:?07fhl50;1x97372>=01>=k:b`8965b2h80q~;4<90j>6s|2dg94?5|5;?86:94=260>fd<5:>?6l<4}r0fb?6=;r7999487:?000:7:`08yv4a93:1?v3=57843>;4<00hn63<4`8b6>{t:o81<7=t=374>21<5:>i6nl4=26`>d453z?11=<0?2788i4lb:?00`k:50;1x973>2>=01>:i:b`896372h80q~;4=;0j>6s|2g494?5|5;?i6:94=270>fd<5:??6l<4}r0e3?6=;r799n487:?0102jh01>;n:`08yv4ai3:1?v3=5g843>;4=k0hn63<5b8b6>{t:oh1<7=t=343>21<5:?o6nl4=27f>d453z?124<0?2789k4lb:?025kj50;1x97052>=01>8>:b`896052h80q~:0<;63<628`f>;4>=0j>6s|2gd94?5|5;fd<5:<=6l<4}r134?6=;r79:8487:?0222jh01>8n:`08yv57:3:1?v3=66843>;4>k0hn63<6b8b6>{t;9>1<7=t=34:>21<5:d453z?12d<0?278;<4lb:?037=01>9<:b`896132h80q~=?7;297~;5>j0<;63<748`f>;4??0j>6s|31:94?5|5;fd<5:=36l<4}r13=?6=;r79:h487:?03<9l:`08yv57j3:1?v3=71843>;4?m0hn63<7d8b6>{t;9i1<7=t=352>21<5:=m6nl4=2:3>d453z?137<0?2784<4lb:?0<7=01>6::b`896>12h80q~=>0;297~;5?<0<;63<868`f>;4010j>6s|30394?5|5;==6:94=2::>fd<5:2j6l<4}r126?6=;r79;:487:?0c2jh01>6j:`08yv56<3:1?v3=78843>;40o0hn63<918b6>{t;8?1<7=t=35b>21<5:3:6nl4=2;1>d453z?13g<0?2785>4lb:?0=1=01>7::b`896?12h80q~=>8;297~;5?m0<;63<968`f>;4110j>6s|30c94?5|5;=m6:94=2;a>fd<5:3h6l<4}r12f?6=;r794=487:?0=a:65896?a2jh01>o?:`08yv56l3:1?v3=83843>;4i80hn63{t;8o1<7=t=3:0>21<5:k86nl4=2c7>d453z?1<1<0?278m84lb:?0e350;1x97>22>=01>o8:b`896g?2h80q~==1;297~;50?0<;63;4ih0j>6s|33094?5|5;2<6:94=2ca>fd<5:kh6l<4}r117?6=;r7945487:?0eal=:`08yv55>3:1?v3=8c843>;4j:0hn63{t:0k1<7=3<5:8i64k4}r0b1?6=:r794h477:?004<>m2wx>o>50;0x97>b21201>;8:8g8yv4ej3:1>v3=8d8;e>;4>m02i6s|2b494?4|5;2n65l4=2:0>52z?1<`{t:l:1<7=><5:h<64k4}r0f5?6=:r795?47a:?0f<<>m2wx>4l50;0x97?521h01>k3:1>v3=938;`>;4;902i6s|28f94?4|5;3865;4=211>52z?1=6{t:h;1<7=d<5:9j64k4}r0b6?6=:r795>47d:?07f<>m2wx>l=50;0x97?321?01>=j:8g8yv4f<3:1>v3=958;3>;4<902i6s|2`494?4|5;3?6564=267>52z?1=1=838p1?7;:9`8962?20o0q~{t:hk1<7=3<5:>h64k4}r0bf?6=:r7958477:?00`<>m2wx>lm50;0x97?221201>;?:8g8yv4fl3:1>v3=948;e>;4=;02i6s|2`g94?4|5;3>65l4=277>52z?1=0{t:k91<7=><5:?n64k4}r0a0?6=:r795;47a:?025<>m2wx>o;50;0x97?121h01>8=:8g8yv4e>3:1>v3=978;`>;4>=02i6s|2c594?4|5;3<65;4=245>52z?1=203m63<6b8:a>{t:ki1<7=d<5:=;64k4}r0a`?6=:r795:47d:?037<>m2wx>ok50;0x97??21?01>9;:8g8yv4en3:1>v3=998;3>;4??02i6s|2b294?4|5;336564=25;>52z?1=={t:j>1<7=3<5:2;64k4}r0`1?6=:r7954477:?0<7<>m2wx>n950;0x97?>21201>69:8g8yv4d03:1>v3=988;e>;40102i6s|2b;94?4|5;3265l4=2:b>52z?1=<b20o0q~{t:jn1<7=><5:3964k4}r0`a?6=:r794k47a:?0=1<>m2wx>nh50;0x97>a21h01>79:8g8yv4c83:1>v3=8g8;`>;41102i6s|2e094?4|5;3;65;4=2;`>52z?1=5{t:m<1<7=d<5:k?64k4}r0g3?6=:r795=47d:?0e3<>m2wx>i650;0x97?621?01>o7:8g8yv4c13:1>v3=908;3>;4ih02i6s|2ec94?4|5;3:6564=2c`>52z?1=4:9`896d520o0q~{t9==1<7=t=07e>f5<58>n6l=4=06e>d553z?20c4d8b4>;6d7:7>53z?21263>508`7>{t9?:1<721<58>36n=4}r355?6=:r7::i487:?20<=01<:n:b18yv71;3:1>v3>6g843>;6f552z?234<0?27:8k4l3:p5<5=838p1<7m:65894>d2j90q~?64;296~;61j0<;63>8e8`7>{t90?1<721<583=6n=4}r3:3?6=:r7:5h487:?2===01<96:b18yv70m3:1?v3>7b843>;6?m0<;63>7g8`7>{t91:1<7=t=0:2>21<58=j6:94=05a>f554gy>52g=kk16=:859g9>52`=1o16=:75a19>52d=1o16=:;59d9>77d=1o16?9?59g9>701=1o16?;j59g9>7=5=1o16?4759g9>7d`=1o16?o;59g9>7g1=1o16?o759g9>77c=1o16?>>59g9>764=1o16?>:59g9>760=1o16?>659g9>76g=1o16?>m59g9>76c=1o16?9>59g9>712=1o16?9859g9>71>=1o16?9o59g9>71e=1o16?9k59g9>706=1o16?8<59g9>702=1o16?8859g9>70g=1o16?8m59g9>70c=1o16?;>59g9>734=1o16?;:59g9>730=1o16?;659g9>73g=1o16?;m59g9>726=1o16?:<59g9>722=1o16?:859g9>72>=1o16?:o59g9>72e=1o16?:k59g9>7=6=1o16?5<59g9>7=0=1o16?5659g9>7=g=1o16?5m59g9>7=c=1o16?4>59g9>7<4=1o16?4:59g9>7<0=1o16?4659g9>77d6=1o16?l<59g9>7d2=1o16?l859g9>7d>=1o16?lo59g9>7de=1o16?lk59g9>7g4=1o16?o:59g9>524=i91v<9l:181870k3ii70?8f;;f?xu6j=0;6?u21e:932=:9k?1o>5rs0`4>5<4s4;h;7m<;<3af?g434;i97o<;|q2f3<72:q6=n=5c29>5gd=i;16=o;5a39~w4e72908w0?l1;a0?87ej3k:70?m5;c2?xu6jj0;69u21cf9g6=:9kh1m=521c79e5=:9kk1m=5rs0a;>5<5s4;o8798;<3a5a3=?>16=o75c29~w4ef2909w0?k6;54?87ei3i87p}>cc83>7}:9m=1;:521c`9g6=z{8ih6=4={<3g7?1034;h>7m<;|q2a5<72;q6=h85769>5ag=k:1v:18187b?3=<70?kb;a0?xu6m;0;6?u21d:932=:9l91o>5rs0g7>5<5s4;n5798;<3f1?e43ty:8<4?:3y>565=?>16=9>5c29~w45b2909w0?<4;54?874n3i87p}>3b83>7}:9:?1;:5212f9g6=z{88j6=4={<32g?1034;957m<;|q262<72;q6=57>=k:1v<<::181876m3=<70?=6;a0?xuck3:1>v3>21843>;c<3i87p}kd;296~;6:80<;63k5;a0?xucm3:1>v3>23843>;c>3i87p}kf;296~;6::0<;63k7;a0?xub83:1>v3>36843>;c03i87p}j1;296~;6;10<;63k9;a0?xub:3:1>v3>38843>;ci3i87p}j3;296~;6;h0<;63kb;a0?xu6<:0;6?u2120932=:9=81o>5rs00`>5<5s4;:n798;<31f?e43tyni7>52z?e7?1034;9<7mm;|qfb?6=:r7m8798;<315?ee3tym<7>52z?e1?1034;9>7mm;|qe5?6=:r7m:798;<317?ee3tym>7>52z?e3?1034;987mm;|qe`?6=:r7:m2wxjh4?:2y>555=kk16=9<59g9>516=1l1vkh50;6x94632jh01<=i:`2894252h:01<:?:8d8yv7783:19v3>048`f>;6;m02i63>3g8:a>;6<;0j=63>418b4>{t99;1<78t=015>21<58:=6nl4=01g><`<589m64h4=061>d4<58>;6l?4}rd;>5<5s4;;>798;fd5<5s4;;8798;fd5<5s4;;:798;fd21<589<6nl4}rg6>5<5s4oj6:94=01;>fd21<58926nl4}rg4>5<5s4oh6:94=01b>fd21<589i6nl4}r33g?6=:r7:=<4lb:?26g<>m2wx==j50;1x94752jh01<20o0q~??e;290~;69:0hn63>298b4>;6:k0j<63>288:b>{t99l1<7;t=037>fd<588=64k4=00;>d656z?25c<0?27:=84lb:?263<>n27:>546f:?26g44n1:p551=838p1:6589c5=kk1v<>7:181876:3=<70h;:b`8yv7713:1>v3>12843>;a=3ii7p}>0`83>7}:98>1;:52f78`f>{t99h1<721<5o=1oo5rs0db>57;=;<0:2?35348287;=;<0:1?35348257;=;<0:3?35348247;=;<0:5?353483j7;=;<0:4?3534;nj798;<3ef?e43ty:jn4?:ey>6<5==:16>5k5529>6<4==:16>485529>6<2==:16>4;5529>6495529>6<>==:16>4?5529>6=`==:16>4>5529>5cb=k:1v<6n:1811~;51:0?<63=92872>;51:0?o63=8d874>;50l0?:63=8d87g>;51;0?<63=93872>;51;0?o63=97874>;51?0?:63=9787g>;51=0?<63=95872>;51=0?o63=94874>;51<0?:63=9487g>;5100?<63=98872>;5100?o63=96874>;51>0?:63=9687g>;5110?<63=99872>;5110?o63=90874>;5180?:63=9087g>;50o0?<63=8g872>;50o0?o63=91874>;5190?:63=9187g>;60k0h?6s|19194?4|58286n=4=0:;>52z?2<2b18b6>{t9hi1<7f5<58h;6l=4}r3b`?6=:r7:mh4l3:?2f5<>n2wx=lk50;0x94ga2j901v3>528`7>;6=o0j>6s|1cg94?4|58hm6n=4=0a4>d45f0=k:16=n=5a39>5gg=i81vn>50;0x94>32j901<67:`08yve62909w0?75;a0?87?033m7p}m9;296~;60?0h?63>898b5>{tjk0;6?u21b69g6=:9kk1m>5rsca94?4|58i>6n=4=0`b>d453z?2e1m2wx=l650;6x94g?2jh01<;6:8d8943c20o01<;j:`38yv7f>3:19v3>a78`f>;6=>02j63>5e8b5>;6=l02j63>528:b>{t9>?1<7f5<583j64k4}r347?6=77e=>916?9<5619>70>=>916?;k5619>7=2=>916?4o5619>7g6=>916?o85619>7g>=>916??j5619>77`=>916?>?5619>765=>916?>;5619>761=>916?>75619>76d=>916?>j5619>76`=>916?9=5619>713=>916?995619>71?=>916?9l5619>71b=>916?9h5619>707=>916?8=5619>703=>916?875619>70d=>916?8j5619>70`=>916?;?5619>735=>916?;;5619>731=>916?;75619>73d=>916?;h5619>727=>916?:=5619>723=>916?:95619>72?=>916?:l5619>72b=>916?:h5619>7=7=>916?5;5619>7=1=>916?575619>7=d=>916?5j5619>7=`=>916?4?5619>7<5=>916?4;5619>7<1=>916?4l5619>7916?4h5619>7d7=>916?l=5619>7d3=>916?l95619>7d?=>916?ll5619>7db=>916?o?5619>7g5=>916=475619>522=k:1v>5rs274>5<5s49?>798;<163?e43ty8:i4?:3y>70>=?>16?;j5c29~w6>42909w0=9e;54?85?;3i87p}<9883>7}:;1>1;:5238;9g6=z{:km6=4={<1:e?10349jj7m<;|q0f0<72;q6?o>5769>7g3=k:1v>l8:18185e>3=<70=m7;a0?xu4j00;6?u23c:932=:;k31o>5rs20f>5<5s499h798;<11a?e43ty8?=4?:3y>77`=?>16?>>5c29~w6552909w0=<1;54?854:3i87p}<3583>7}:;:91;:523269g6=z{:9=6=4={<101?103498:7m<;|q07=<72;q6?>95769>76>=k:1v>=n:18185413=<70=5rs21f>5<5s498h798;<10a?e43ty88=4?:3y>76`=?>16?9>5c29~w6232909w0=;3;54?853<3i87p}<4783>7}:;=?1;:523549g6=z{:>36=4={<173?10349?47m<;|q00d<72;q6?975769>71g=k:1v>:l:181853j3=<70=;c;a0?xu45rs273>5<5s49?j798;<164?e43ty89?4?:3y>707=?>16?8<5c29~w6332909w0=:3;54?852<3i87p}<5783>7}:;m7m<;|q01f<72;q6?8l5769>70e=k:1v>;j:181852l3=<70=:e;a0?xu4>90;6?u234d932=:;?:1o>5rs241>5<5s49==798;<156?e43ty8:94?:3y>735=?>16?;:5c29~w6012909w0=95;54?851>3i87p}<6983>7}:;?=1;:5237:9g6=z{:73e=k:1v>9?:181851n3=<70=80;a0?xu4?;0;6?u2363932=:;>81o>5rs257>5<5s49723=?>16?:85c29~w61?2909w0=87;54?85003i87p}<7`83>7}:;>31;:5236c9g6=z{:=h6=4={<14f?1034972c=k:1v>6?:181850n3=<70=70;a0?xu40;0;6?u2393932=:;181o>5rs2:5>5<5s4939798;<1;2?e43ty8454?:3y>7=1=?>16?565c29~w6>f2909w0=79;54?85?i3i87p}<8b83>7}:;1h1;:5239a9g6=z{:2n6=4={<1;`?103493i7m<;|q0=5<72;q6?5h5769>7<6=k:1v>7=:18185>93=<70=62;a0?xu41=0;6?u2381932=:;0>1o>5rs2;5>5<5s4929798;<1:2?e43ty8554?:3y>7<1=?>16?465c29~w6?d2909w0=6b;54?85>k3i87p}<9d83>7}:;0n1;:5238g9g6=z{:k;6=4={<1:b?10349j<7m<;|q0e7<72;q6?l?5769>7d4=k:1v>o;:18185f;3=<70=n4;a0?xu4i?0;6?u23`7932=:;h<1o>5rs2c;>5<5s49j;798;<1b7d?=?>16?lo5c29~w6gd2909w0=nb;54?85fk3i87p}7}:;hn1;:523`g9g6=z{:h96=4={<1a5?10349i>7m<;|q0f1<72;q6?o=5769>7g2=k:1v<7n:18187>13=<70?6a;a0?xuel3:1>v3>5e8`7>;6=j02i6s|bd83>7}:95214a9=c=zughh97>51zJ0`<=zfki=6=4>{I1g=>{ijj=1<7?tH2f:?xhek10;6j6;|lagf<728qC?i74}o```?6=9rB8h45rncaf>5<6sA9o56sabbd94?7|@:n27p`md183>4}O;m30qclk1;295~N4l01vboj=:182M5c12weni=50;3xL6b>3tdih94?:0yK7a?51zJ0`<=zfkn=6=4>{I1g=>{ijm=1<7?tH2f:?xhel10;6j6;|la`f<728qC?i74}o`g`?6=9rB8h45rncff>5<6sA9o56sabed94?7|@:n27p`me183>4}O;m30qclj1;295~N4l01vbok=:182M5c12wenh=50;3xL6b>3tdii94?:0yK7a?51zJ0`<=zfko=6=4>{I1g=>{ijl=1<7?tH2f:?xhem10;6j6;|laaf<728qC?i74}o`f`?6=9rB8h45rncgf>5<6sA9o56sabdd94?7|@:n27p`mf183>4}O;m30qcli1;295~N4l01vboh=:182M5c12wenk=50;3xL6b>3tdij94?:0yK7a?51zJ0`<=zfkl=6=4>{I1g=>{ijo=1<7?tH2f:?xhen10;6j6;|labf<728qC?i74}o`e`?6=9rB8h45rncdf>5<6sA9o56sabgd94?7|@:n27p`l0183>4}O;m30qcm?1;295~N4l01vbn>=:182M5c12weo==50;3xL6b>3tdh<94?:0yK7a?51zJ0`<=zfj:=6=4>{I1g=>{ik9=1<7?tH2f:?xhd810;6j6;|l`4f<728qC?i74}oa3`?6=9rB8h45rnb2f>5<6sA9o56sac1d94?7|@:n27p`l1183>4}O;m30qcm>1;295~N4l01vbn?=:182M5c12weo<=50;3xL6b>3tdh=94?:0yK7a?51zJ0`<=zfj;=6=4>{I1g=>{ik8=1<7?tH2f:?xhd910;6j6;|l`5f<728qC?i74}oa2`?6=9rB8h45rnb3f>5<6sA9o56sac0d94?7|@:n27p`l2183>4}O;m30qcm=1;295~N4l01vbn<=:182M5c12weo?=50;3xL6b>3tdh>94?:0yK7a?51zJ0`<=zfj8=6=4>{I1g=>{ik;=1<7?tH2f:?xhd:10;6j6;|l`6f<728qC?i74}oa1`?6=9rB8h45rnb0f>5<6sA9o56sac3d94?7|@:n27p`l3183>4}O;m30qcm<1;295~N4l01vbn==:182M5c12weo>=50;3xL6b>3tdh?94?:0yK7a?51zJ0`<=zfj9=6=4>{I1g=>{ik:=1<7?tH2f:?xhd;10;6j6;|l`7f<728qC?i74}oa0`?6=9rB8h45rnb1f>5<6sA9o56sac2d94?7|@:n27p`l4183>4}O;m30qcm;1;295~N4l01vbn:=:182M5c12weo9=50;3xL6b>3tdh894?:0yK7a?51zJ0`<=zfj>=6=4>{I1g=>{ik==1<7?tH2f:?xhd<10;6j6;|l`0f<728qC?i74}oa7`?6=9rB8h45rnb6f>5<6sA9o56sac5d94?7|@:n27p`l5183>4}O;m30qcm:1;295~N4l01vbn;=:182M5c12weo8=50;3xL6b>3tdh994?:0yK7a?97>51zJ0`<=zfj?=6=4>{I1g=>{ik<=1<7?tH2f:?xhd=10;6j6;|l`1f<728qC?i74}oa6`?6=9rB8h45rnb7f>5<6sA9o56sac4d94?7|@:n27p`l6183>4}O;m30qcm91;295~N4l01vbn8=:182M5c12weo;=50;3xL6b>3tdh:94?:0yK7a?51zJ0`<=zfj<=6=4>{I1g=>{ik?=1<7?tH2f:?xhd>10;6j6;|l`2f<728qC?i74}oa5`?6=9rB8h45rnb4f>5<6sA9o56sac7d94?7|@:n27p`l7183>4}O;m30qcm81;295~N4l01vbn9=:182M5c12weo:=50;3xL6b>3tdh;94?:0yK7a?51zJ0`<=zfj==6=4>{I1g=>{ik>=1<7?tH2f:?xhd?10;6j6;|l`3f<728qC?i74}oa4`?6=9rB8h45rnb5f>5<6sA9o56sac6d94?7|@:n27p`l8183>4}O;m30qcm71;295~N4l01vbn6=:182M5c12weo5=50;3xL6b>3tdh494?:0yK7a?51zJ0`<=zfj2=6=4>{I1g=>{ik1=1<7?tH2f:?xhd010;6f290:wE=k9:mg=d=83;pD>j6;|l`5<6sA9o56sac9d94?7|@:n27p`l9183>4}O;m30qcm61;295~N4l01vbn7=:182M5c12weo4=50;3xL6b>3tdh594?:0yK7a?51zJ0`<=zfj3=6=4>{I1g=>{ik0=1<7?tH2f:?xhd110;613:1=vFj6;|l`=f<728qC?i74}oa:`?6=9rB8h45rnb;f>5<6sA9o56sac8d94?7|@:n27p`la183>4}O;m30qcmn1;295~N4l01vbno=:182M5c12weol=50;3xL6b>3tdhm94?:0yK7a?51zJ0`<=zfjk=6=4>{I1g=>{ikh=1<7?tH2f:?xhdi10;6j6;|l`ef<728qC?i74}oab`?6=9rB8h45rnbcf>5<6sA9o56sac`d94?7|@:n27p`lb183>4}O;m30qcmm1;295~N4l01vbnl=:182M5c12weoo=50;3xL6b>3tdhn94?:0yK7a?51zJ0`<=zfjh=6=4>{I1g=>{ikk=1<7?tH2f:?xhdj10;6j6;|l`ff<728qC?i74}oaa`?6=9rB8h45rnb`f>5<6sA9o56saccd94?7|@:n27p`lc183>4}O;m30qcml1;295~N4l01vbnm=:182M5c12weon=50;3xL6b>3tdho94?:0yK7a?51zJ0`<=zfji=6=4>{I1g=>{ikj=1<7?tH2f:?xhdk10;6j6;|l`gf<728qC?i74}oa``?6=9rB8h45rnbaf>5<6sA9o56sacbd94?7|@:n27p`ld183>4}O;m30qcmk1;295~N4l01vbnj=:182M5c12weoi=50;3xL6b>3tdhh94?:0yK7a?51zJ0`<=zfjn=6=4>{I1g=>{ikm=1<7?tH2f:?xhdl10;6j6;|l``f<728qC?i74}oag`?6=9rB8h45rnbff>5<6sA9o56saced94?7|@:n27p`le183>4}O;m30qcmj1;295~N4l01vbnk=:182M5c12weoh=50;3xL6b>3tdhi94?:0yK7a?51zJ0`<=zfjo=6=4>{I1g=>{ikl=1<7?tH2f:?xhdm10;6j6;|l`af<728qC?i74}oaf`?6=9rB8h45rnbgf>5<6sA9o56sacdd94?7|@:n27p`lf183>4}O;m30qcmi1;295~N4l01vbnh=:182M5c12weok=50;3xL6b>3tdhj94?:0yK7a?51zJ0`<=zfjl=6=4>{I1g=>{iko=1<7?tH2f:?xhdn10;6j6;|l`bf<728qC?i74}oae`?6=9rB8h45rnbdf>5<6sA9o56sacgd94?7|@:n27p`k0183>4}O;m30qcj?1;295~N4l01vbi>=:182M5c12weh==50;3xL6b>3tdo<94?:0yK7a?51zJ0`<=zfm:=6=4>{I1g=>{il9=1<7?tH2f:?xhc810;6j6;|lg4f<728qC?i74}of3`?6=9rB8h45rne2f>5<6sA9o56sad1d94?7|@:n27p`k1183>4}O;m30qcj>1;295~N4l01vbi?=:182M5c12weh<=50;3xL6b>3tdo=94?:0yK7a?51zJ0`<=zfm;=6=4>{I1g=>{il8=1<7?tH2f:?xhc910;6j6;|lg5f<728qC?i74}of2`?6=9rB8h45rne3f>5<6sA9o56sad0d94?7|@:n27p`k2183>4}O;m30qcj=1;295~N4l01vbi<=:182M5c12weh?=50;3xL6b>3tdo>94?:0yK7a?51zJ0`<=zfm8=6=4>{I1g=>{il;=1<7?tH2f:?xhc:10;6j6;|lg6f<728qC?i74}of1`?6=9rB8h45rne0f>5<6sA9o56sad3d94?7|@:n27p`k3183>4}O;m30qcj<1;295~N4l01vbi==:182M5c12weh>=50;3xL6b>3tdo?94?:0yK7a?51zJ0`<=zfm9=6=4>{I1g=>{il:=1<7?tH2f:?xhc;10;6j6;|lg7f<728qC?i74}of0`?6=9rB8h45rne1f>5<6sA9o56sad2d94?7|@:n27p`k4183>4}O;m30qcj;1;295~N4l01vbi:=:182M5c12weh9=50;3xL6b>3tdo894?:0yK7a?51zJ0`<=zfm>=6=4>{I1g=>{il==1<7?tH2f:?xhc<10;6j6;|lg0f<728qC?i74}of7`?6=9rB8h45rne6f>5<6sA9o56sad5d94?7|@:n27p`k5183>4}O;m30qcj:1;295~N4l01vbi;=:182M5c12weh8=50;3xL6b>3tdo994?:0yK7a?97>51zJ0`<=zfm?=6=4>{I1g=>{il<=1<7?tH2f:?xhc=10;6j6;|lg1f<728qC?i74}of6`?6=9rB8h45rne7f>5<6sA9o56sad4d94?7|@:n27p`k6183>4}O;m30qcj91;295~N4l01vbi8=:182M5c12weh;=50;3xL6b>3tdo:94?:0yK7a?51zJ0`<=zfm<=6=4>{I1g=>{il?=1<7?tH2f:?xhc>10;6j6;|lg2f<728qC?i74}of5`?6=9rB8h45rne4f>5<6sA9o56sad7d94?7|@:n27p`k7183>4}O;m30qcj81;295~N4l01vbi9=:182M5c12weh:=50;3xL6b>3tdo;94?:0yK7a?51zJ0`<=zfm==6=4>{I1g=>{il>=1<7?tH2f:?xhc?10;6j6;|lg3f<728qC?i74}of4`?6=9rB8h45rne5f>5<6sA9o56sad6d94?7|@:n27p`k8183>4}O;m30qcj71;295~N4l01vbi6=:182M5c12weh5=50;3xL6b>3tdo494?:0yK7a?51zJ0`<=zfm2=6=4>{I1g=>{il1=1<7?tH2f:?xhc010;6f290:wE=k9:m`=d=83;pD>j6;|lg5<6sA9o56sad9d94?7|@:n27p`k9183>4}O;m30qcj61;295~N4l01vbi7=:182M5c12weh4=50;3xL6b>3tdo594?:0yK7a?51zJ0`<=zfm3=6=4>{I1g=>{il0=1<7?tH2f:?xhc110;613:1=vFj6;|lg=f<728qC?i74}of:`?6=9rB8h45rne;f>5<6sA9o56sad8d94?7|@:n27p`ka183>4}O;m30qcjn1;295~N4l01vbio=:182M5c12wehl=50;3xL6b>3tdom94?:0yK7a?51zJ0`<=zfmk=6=4>{I1g=>{ilh=1<7?tH2f:?xhci10;6j6;|lgef<728qC?i74}ofb`?6=9rB8h45rnecf>5<6sA9o56sad`d94?7|@:n27p`kb183>4}O;m30qcjm1;295~N4l01vbil=:182M5c12weho=50;3xL6b>3tdon94?:0yK7a?51zJ0`<=zfmh=6=4>{I1g=>{ilk=1<7?tH2f:?xhcj10;6j6;|lgff<728qC?i74}ofa`?6=9rB8h45rne`f>5<6sA9o56sadcd94?7|@:n27p`kc183>4}O;m30qcjl1;295~N4l01vbim=:182M5c12wehn=50;3xL6b>3tdoo94?:0yK7a?51zJ0`<=zfmi=6=4>{I1g=>{ilj=1<7?tH2f:?xhck10;6j6;|lggf<728qC?i74}of``?6=9rB8h45rneaf>5<6sA9o56sadbd94?7|@:n27p`kd183>4}O;m30qcjk1;295~N4l01vbij=:182M5c12wehi=50;3xL6b>3tdoh94?:0yK7a?51zJ0`<=zfmn=6=4>{I1g=>{ilm=1<7?tH2f:?xhcl10;6j6;|lg`f<728qC?i74}ofg`?6=9rB8h45rneff>5<6sA9o56saded94?7|@:n27p`ke183>4}O;m30qcjj1;295~N4l01vbik=:182M5c12wehh=50;3xL6b>3tdoi94?:0yK7a?51zJ0`<=zfmo=6=4>{I1g=>{ill=1<7?tH2f:?xhcm10;6 + +fifo_generator_v9_3_vinfo + + + +

+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf new file mode 100644 index 000000000..5ec45fbcb Binary files /dev/null and b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/doc/pg057-fifo-generator.pdf differ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf new file mode 100755 index 000000000..62e5058ab --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. + NET "RD_CLK" TNM_NET = "RD_CLK"; + NET "WR_CLK" TNM_NET = "WR_CLK"; + TIMESPEC "TS_RD_CLK" = PERIOD "RD_CLK" 50 MHZ; + TIMESPEC "TS_WR_CLK" = PERIOD "WR_CLK" 50 MHZ; +################################################################################ diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd new file mode 100755 index 000000000..9e38bcb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd @@ -0,0 +1,145 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core - core top file for implementation +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_exdes.vhd +-- +-- Description: +-- This is the FIFO core wrapper with BUFG instances for clock connections. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity fifo_short_2clk_exdes is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + +end fifo_short_2clk_exdes; + + + +architecture xilinx of fifo_short_2clk_exdes is + + signal wr_clk_i : std_logic; + signal rd_clk_i : std_logic; + + + + component fifo_short_2clk is + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + end component; + + +begin + + wr_clk_buf: bufg + PORT map( + i => WR_CLK, + o => wr_clk_i + ); + + rd_clk_buf: bufg + PORT map( + i => RD_CLK, + o => rd_clk_i + ); + + + exdes_inst : fifo_short_2clk + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +end xilinx; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt new file mode 100644 index 000000000..7853ebde8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/fifo_generator_v9_3_readme.txt @@ -0,0 +1,236 @@ +CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/FIFO_Generator.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + - IP level constraint for Built-in FIFO reset synchronizer + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Importing an XCO file alters the XCO configurations + + Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration) + into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, + page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should. + + CR 467240 + AR 31379 + + 2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed + + Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA, + correct behavior of the FIFO status flags cannot be guaranteed after the first write. + + Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK. + For more information and additional workaround see Answer Record 41099. + + 5.2 Vivado + + The following are known issues for v9.3 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes User Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 9.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer +10/16/2012 Xilinx, Inc. 9.3 ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO +07/25/2012 Xilinx, Inc. 9.2 ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO +04/24/2012 Xilinx, Inc. 9.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support + AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO +01/18/2012 Xilinx, Inc. 8.4 ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support +10/19/2011 Xilinx, Inc. 8.3 ISE 13.3 support and QVirtex-6L device support +06/22/2011 Xilinx, Inc. 8.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 8.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support +10/29/2010 Xilinx, Inc. 7.3 ISE 13.0.2 support +09/21/2010 Xilinx, Inc. 7.2 ISE 12.3 support; AXI4 Support +07/30/2010 Xilinx, Inc. 7.1 ISE 13.0.1 support +06/18/2010 Xilinx, Inc. 6.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 6.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 5.3 rev 1 ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support +09/16/2009 Xilinx, Inc. 5.3 Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support +06/24/2009 Xilinx, Inc. 5.2 Update to add 11.2 and Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 5.1 Update to add 11.1 and Virtex-6 and Spartan-6 device support +09/19/2008 Xilinx, Inc. 4.4 Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes +03/24/2008 Xilinx, Inc. 4.3 Update to add 10.1 support and miscellaneous bug fixes +10/03/2007 Xilinx, Inc. 4.2 Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs +08/08/2007 Xilinx, Inc. 4.1 Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO +04/02/2007 Xilinx, Inc. 3.3 Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support +09/21/2006 Xilinx, Inc. 3.2 Revised to v3.2; Spartan-3 and Virtex-4 automotive device support +07/13/2006 Xilinx, Inc. 3.1 Update to add 8.2i support; Revised to v3.1; Virtex-5 support +01/11/2006 Xilinx, Inc. 2.3 Update to add 8.1i support; Revised to v2.3 +08/31/2005 Xilinx, Inc. 2.2 Update to add 7.1i SP4 support; Revised to v2.2 +04/28/2005 Xilinx, Inc. 2.1 Update to add 7.1i SP1 support; Revised to v2.1 +11/04/2004 Xilinx, Inc. 2.0 Update to add 6.3i support; Revised to v2.0 +05/21/2004 Xilinx, Inc. 1.1 Revised to v1.1; Virtex-4 support +04/23/2004 Xilinx, Inc. 1.0 Update to add 6.2i support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat new file mode 100755 index 000000000..8745ae5d9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.bat @@ -0,0 +1,88 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy fifo_short_2clk_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh new file mode 100755 index 000000000..3a143e17c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement.sh @@ -0,0 +1,87 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp fifo_short_2clk_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat new file mode 100755 index 000000000..ac8f61fea --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.bat @@ -0,0 +1,87 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\fifo_short_2clk.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\fifo_short_2clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh new file mode 100755 index 000000000..0ae5d7af5 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/implement_synplify.sh @@ -0,0 +1,86 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../fifo_short_2clk.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/fifo_short_2clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' + +ngdbuild -p xc6slx75-csg484-3 -sd ../../../ fifo_short_2clk_exdes + +echo 'Running map' +map fifo_short_2clk_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm fifo_short_2clk_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat new file mode 100755 index 000000000..49053fd63 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\fifo_short_2clk.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh new file mode 100755 index 000000000..42916c2bc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../fifo_short_2clk.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl new file mode 100755 index 000000000..d2c954858 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx75csg484-3 +set projName fifo_short_2clk +set design fifo_short_2clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module fifo_short_2clk_exdes +add_files -norecurse {../../example_design/fifo_short_2clk_exdes.vhd} +add_files -norecurse {./fifo_short_2clk.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/fifo_short_2clk_exdes.xdc} +set_property top fifo_short_2clk_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module fifo_short_2clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module fifo_short_2clk_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj new file mode 100755 index 000000000..f16af4308 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/fifo_short_2clk_exdes.vhd diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr new file mode 100755 index 000000000..7dcd7b83c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent fifo_short_2clk_exdes +-p xc6slx75-csg484-3 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn fifo_short_2clk_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd new file mode 100755 index 000000000..bc7a1b525 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dgen.vhd +-- +-- Description: +-- Used for write interface stimulus generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_dg_arch OF fifo_short_2clk_dgen IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + + SIGNAL pr_w_en : STD_LOGIC := '0'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + BEGIN + + WR_EN <= PRC_WR_EN ; + WR_DATA <= wr_data_i AFTER 100 ns; + + ---------------------------------------------- + -- Generation of DATA + ---------------------------------------------- + gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst1:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_w_en + ); + END GENERATE; + + pr_w_en <= PRC_WR_EN AND NOT FULL; + wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); + + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd new file mode 100755 index 000000000..b0465d84f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd @@ -0,0 +1,150 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_dverif.vhd +-- +-- Description: +-- Used for FIFO read interface stimulus generation and data checking +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); +END ENTITY; + + +ARCHITECTURE fg_dv_arch OF fifo_short_2clk_dverif IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); + + SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL data_chk : STD_LOGIC := '1'; + SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL pr_r_en : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '1'; +BEGIN + + + DOUT_CHK <= data_chk; + RD_EN <= rd_en_i; + rd_en_i <= PRC_RD_EN; + rd_en_d1 <= '1'; + + + data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE + ------------------------------------------------------- + -- Expected data generation and checking for data_fifo + ------------------------------------------------------- + + pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; + expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); + + gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + rd_gen_inst2:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+N + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET, + RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), + ENABLE => pr_r_en + ); + END GENERATE; + + PROCESS (RD_CLK,RESET) + BEGIN + IF(RESET = '1') THEN + data_chk <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + IF(EMPTY = '0') THEN + IF(DATA_OUT = expected_dout) THEN + data_chk <= '0'; + ELSE + data_chk <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + END GENERATE data_fifo_chk; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd new file mode 100755 index 000000000..5d6506398 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd @@ -0,0 +1,541 @@ + +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pctrl.vhd +-- +-- Description: +-- Used for protocol control on write and read interface stimulus and status generation +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING :="NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + + +ARCHITECTURE fg_pc_arch OF fifo_short_2clk_pctrl IS + + CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); + CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); + CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); + + SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); + SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); + SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL state : STD_LOGIC := '0'; + SIGNAL wr_control : STD_LOGIC := '0'; + SIGNAL rd_control : STD_LOGIC := '0'; + SIGNAL stop_on_err : STD_LOGIC := '0'; + SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); + SIGNAL sim_done_i : STD_LOGIC := '0'; + SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); + SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL reset_en_i : STD_LOGIC := '0'; + SIGNAL sim_done_d1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr1 : STD_LOGIC := '0'; + SIGNAL sim_done_wr2 : STD_LOGIC := '0'; + SIGNAL empty_d1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; + SIGNAL state_d1 : STD_LOGIC := '0'; + SIGNAL state_rd_dom1 : STD_LOGIC := '0'; + SIGNAL rd_en_d1 : STD_LOGIC := '0'; + SIGNAL rd_en_wr1 : STD_LOGIC := '0'; + SIGNAL wr_en_d1 : STD_LOGIC := '0'; + SIGNAL wr_en_rd1 : STD_LOGIC := '0'; + SIGNAL full_chk_d1 : STD_LOGIC := '0'; + SIGNAL full_chk_rd1 : STD_LOGIC := '0'; + SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; + + SIGNAL state_rd_dom2 : STD_LOGIC := '0'; + SIGNAL state_rd_dom3 : STD_LOGIC := '0'; + SIGNAL rd_en_wr2 : STD_LOGIC := '0'; + SIGNAL wr_en_rd2 : STD_LOGIC := '0'; + SIGNAL full_chk_rd2 : STD_LOGIC := '0'; + SIGNAL reset_en_d1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd1 : STD_LOGIC := '0'; + SIGNAL reset_en_rd2 : STD_LOGIC := '0'; + + SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd1 : STD_LOGIC := '0'; + SIGNAL data_chk_rd2 : STD_LOGIC := '0'; + SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); + SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); +BEGIN + status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; + STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); + + prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; + prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; + + SIM_DONE <= sim_done_i; + rdw_gt_wrw <= (OTHERS => '1'); + wrw_gt_rdw <= (OTHERS => '1'); + + PROCESS(RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(prc_re_i = '1') THEN + rd_activ_cont <= rd_activ_cont + "1"; + END IF; + END IF; + END PROCESS; + + + PROCESS(sim_done_i) + BEGIN + assert sim_done_i = '0' + report "Simulation Complete for:" & AXI_CHANNEL + severity note; + END PROCESS; + +----------------------------------------------------- +-- SIM_DONE SIGNAL GENERATION +----------------------------------------------------- +PROCESS (RD_CLK,RESET_RD) +BEGIN + IF(RESET_RD = '1') THEN + --sim_done_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN + sim_done_i <= '1'; + END IF; + END IF; +END PROCESS; + + -- TB Timeout/Stop + fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN + sim_stop_cntr <= sim_stop_cntr - "1"; + END IF; + END IF; + END PROCESS; + END GENERATE fifo_tb_stop_run; + + + -- Stop when error found + PROCESS (RD_CLK) + BEGIN + IF (RD_CLK'event AND RD_CLK='1') THEN + IF(sim_done_i = '0') THEN + status_d1_i <= status_i OR status_d1_i; + END IF; + IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN + stop_on_err <= '1'; + END IF; + END IF; + END PROCESS; + ----------------------------------------------------- + + ----------------------------------------------------- + -- CHECKS FOR FIFO + ----------------------------------------------------- + + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + post_rst_dly_rd <= (OTHERS => '1'); + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); + END IF; + END PROCESS; + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + post_rst_dly_wr <= (OTHERS => '1'); + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); + END IF; + END PROCESS; + + + -- FULL de-assert Counter + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_ds_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN + full_ds_timeout <= full_ds_timeout + '1'; + END IF; + ELSE + full_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + + -- EMPTY deassert counter + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_ds_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state = '0') THEN + IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN + empty_ds_timeout <= empty_ds_timeout + '1'; + END IF; + ELSE + empty_ds_timeout <= (OTHERS => '0'); + END IF; + END IF; + END PROCESS; + + -- Full check signal generation + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + full_chk_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + full_chk_i <= '0'; + ELSE + full_chk_i <= AND_REDUCE(full_as_timeout) OR + AND_REDUCE(full_ds_timeout); + END IF; + END IF; + END PROCESS; + + -- Empty checks + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_chk_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN + empty_chk_i <= '0'; + ELSE + empty_chk_i <= AND_REDUCE(empty_as_timeout) OR + AND_REDUCE(empty_ds_timeout); + END IF; + END IF; + END PROCESS; + + fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE + PRC_WR_EN <= prc_we_i AFTER 100 ns; + PRC_RD_EN <= prc_re_i AFTER 50 ns; + data_chk_i <= dout_chk; + END GENERATE fifo_d_chk; + ----------------------------------------------------- + + + ----------------------------------------------------- + -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + empty_wr_dom1 <= '1'; + empty_wr_dom2 <= '1'; + state_d1 <= '0'; + wr_en_d1 <= '0'; + rd_en_wr1 <= '0'; + rd_en_wr2 <= '0'; + full_chk_d1 <= '0'; + reset_en_d1 <= '0'; + sim_done_wr1 <= '0'; + sim_done_wr2 <= '0'; + ELSIF (WR_CLK'event AND WR_CLK='1') THEN + sim_done_wr1 <= sim_done_d1; + sim_done_wr2 <= sim_done_wr1; + reset_en_d1 <= reset_en_i; + state_d1 <= state; + empty_wr_dom1 <= empty_d1; + empty_wr_dom2 <= empty_wr_dom1; + wr_en_d1 <= wr_en_i; + rd_en_wr1 <= rd_en_d1; + rd_en_wr2 <= rd_en_wr1; + full_chk_d1 <= full_chk_i; + END IF; + END PROCESS; + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + empty_d1 <= '1'; + state_rd_dom1 <= '0'; + state_rd_dom2 <= '0'; + state_rd_dom3 <= '0'; + wr_en_rd1 <= '0'; + wr_en_rd2 <= '0'; + rd_en_d1 <= '0'; + full_chk_rd1 <= '0'; + full_chk_rd2 <= '0'; + reset_en_rd1 <= '0'; + reset_en_rd2 <= '0'; + sim_done_d1 <= '0'; + ELSIF (RD_CLK'event AND RD_CLK='1') THEN + sim_done_d1 <= sim_done_i; + reset_en_rd1 <= reset_en_d1; + reset_en_rd2 <= reset_en_rd1; + empty_d1 <= EMPTY; + rd_en_d1 <= rd_en_i; + state_rd_dom1 <= state_d1; + state_rd_dom2 <= state_rd_dom1; + state_rd_dom3 <= state_rd_dom2; + wr_en_rd1 <= wr_en_d1; + wr_en_rd2 <= wr_en_rd1; + full_chk_rd1 <= full_chk_d1; + full_chk_rd2 <= full_chk_rd1; + END IF; + END PROCESS; + + RESET_EN <= reset_en_rd2; + + + data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE + ----------------------------------------------------- + -- WR_EN GENERATION + ----------------------------------------------------- + gen_rand_wr_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED+1 + ) + PORT MAP( + CLK => WR_CLK, + RESET => RESET_WR, + RANDOM_NUM => wr_en_gen, + ENABLE => '1' + ); + + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; + ELSE + wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- WR_EN CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + wr_cntr <= (OTHERS => '0'); + wr_control <= '1'; + full_as_timeout <= (OTHERS => '0'); + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + IF(state = '1') THEN + IF(wr_en_i = '1') THEN + wr_cntr <= wr_cntr + "1"; + END IF; + full_as_timeout <= (OTHERS => '0'); + ELSE + wr_cntr <= (OTHERS => '0'); + IF(rd_en_wr2 = '0') THEN + IF(wr_en_i = '1') THEN + full_as_timeout <= full_as_timeout + "1"; + END IF; + ELSE + full_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + wr_control <= NOT wr_cntr(wr_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN GENERATION + ----------------------------------------------------- + gen_rand_rd_en:fifo_short_2clk_rng + GENERIC MAP( + WIDTH => 8, + SEED => TB_SEED + ) + PORT MAP( + CLK => RD_CLK, + RESET => RESET_RD, + RANDOM_NUM => rd_en_gen, + ENABLE => '1' + ); + + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_en_i <= '0'; + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); + ELSE + rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); + END IF; + END IF; + END PROCESS; + + ----------------------------------------------------- + -- RD_EN CONTROL + ----------------------------------------------------- + PROCESS(RD_CLK,RESET_RD) + BEGIN + IF(RESET_RD = '1') THEN + rd_cntr <= (OTHERS => '0'); + rd_control <= '1'; + empty_as_timeout <= (OTHERS => '0'); + ELSIF(RD_CLK'event AND RD_CLK='1') THEN + IF(state_rd_dom2 = '0') THEN + IF(rd_en_i = '1') THEN + rd_cntr <= rd_cntr + "1"; + END IF; + empty_as_timeout <= (OTHERS => '0'); + ELSE + rd_cntr <= (OTHERS => '0'); + IF(wr_en_rd2 = '0') THEN + IF(rd_en_i = '1') THEN + empty_as_timeout <= empty_as_timeout + "1"; + END IF; + ELSE + empty_as_timeout <= (OTHERS => '0'); + END IF; + END IF; + + rd_control <= NOT rd_cntr(rd_cntr'high); + + END IF; + END PROCESS; + + ----------------------------------------------------- + -- STIMULUS CONTROL + ----------------------------------------------------- + PROCESS(WR_CLK,RESET_WR) + BEGIN + IF(RESET_WR = '1') THEN + state <= '0'; + reset_en_i <= '0'; + ELSIF(WR_CLK'event AND WR_CLK='1') THEN + CASE state IS + WHEN '0' => + IF(FULL = '1' AND empty_wr_dom2 = '0') THEN + state <= '1'; + reset_en_i <= '0'; + END IF; + WHEN '1' => + IF(empty_wr_dom2 = '1' AND FULL = '0') THEN + state <= '0'; + reset_en_i <= '1'; + END IF; + WHEN OTHERS => state <= state; + END CASE; + END IF; + END PROCESS; + END GENERATE data_fifo_en; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd new file mode 100755 index 000000000..4c0e4035b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd @@ -0,0 +1,350 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_pkg.vhd +-- +-- Description: +-- This is the demo testbench package file for FIFO Generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE ieee.std_logic_arith.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME; + ------------------------ + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector; + ------------------------ + COMPONENT fifo_short_2clk_rng IS + GENERIC (WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dgen IS + GENERIC ( + C_DIN_WIDTH : INTEGER := 32; + C_DOUT_WIDTH : INTEGER := 32; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT ( + RESET : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + PRC_WR_EN : IN STD_LOGIC; + FULL : IN STD_LOGIC; + WR_EN : OUT STD_LOGIC; + WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_dverif IS + GENERIC( + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_USE_EMBEDDED_REG : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + PRC_RD_EN : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + RD_EN : OUT STD_LOGIC; + DOUT_CHK : OUT STD_LOGIC + ); + END COMPONENT; + ------------------------ + + COMPONENT fifo_short_2clk_pctrl IS + GENERIC( + AXI_CHANNEL : STRING := "NONE"; + C_APPLICATION_TYPE : INTEGER := 0; + C_DIN_WIDTH : INTEGER := 0; + C_DOUT_WIDTH : INTEGER := 0; + C_WR_PNTR_WIDTH : INTEGER := 0; + C_RD_PNTR_WIDTH : INTEGER := 0; + C_CH_TYPE : INTEGER := 0; + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 2; + TB_SEED : INTEGER := 2 + ); + PORT( + RESET_WR : IN STD_LOGIC; + RESET_RD : IN STD_LOGIC; + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + FULL : IN STD_LOGIC; + EMPTY : IN STD_LOGIC; + ALMOST_FULL : IN STD_LOGIC; + ALMOST_EMPTY : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); + DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); + DOUT_CHK : IN STD_LOGIC; + PRC_WR_EN : OUT STD_LOGIC; + PRC_RD_EN : OUT STD_LOGIC; + RESET_EN : OUT STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + ------------------------ + COMPONENT fifo_short_2clk_exdes IS + PORT ( + WR_CLK : IN std_logic; + RD_CLK : IN std_logic; + WR_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RD_DATA_COUNT : OUT std_logic_vector(6-1 DOWNTO 0); + RST : IN std_logic; + WR_EN : IN std_logic; + RD_EN : IN std_logic; + DIN : IN std_logic_vector(72-1 DOWNTO 0); + DOUT : OUT std_logic_vector(72-1 DOWNTO 0); + FULL : OUT std_logic; + EMPTY : OUT std_logic); + + END COMPONENT; + ------------------------ + + +END fifo_short_2clk_pkg; + + + +PACKAGE BODY fifo_short_2clk_pkg IS + + FUNCTION divroundup ( + data_value : INTEGER; + divisor : INTEGER) + RETURN INTEGER IS + VARIABLE div : INTEGER; + BEGIN + div := data_value/divisor; + IF ( (data_value MOD divisor) /= 0) THEN + div := div+1; + END IF; + RETURN div; + END divroundup; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : INTEGER; + false_case : INTEGER) + RETURN INTEGER IS + VARIABLE retval : INTEGER := 0; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : STD_LOGIC; + false_case : STD_LOGIC) + RETURN STD_LOGIC IS + VARIABLE retval : STD_LOGIC := '0'; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + --------------------------------- + FUNCTION if_then_else ( + condition : BOOLEAN; + true_case : TIME; + false_case : TIME) + RETURN TIME IS + VARIABLE retval : TIME := 0 ps; + BEGIN + IF condition=false THEN + retval:=false_case; + ELSE + retval:=true_case; + END IF; + RETURN retval; + END if_then_else; + ------------------------------- + FUNCTION log2roundup ( + data_value : INTEGER) + RETURN INTEGER IS + + VARIABLE width : INTEGER := 0; + VARIABLE cnt : INTEGER := 1; + BEGIN + IF (data_value <= 1) THEN + width := 1; + ELSE + WHILE (cnt < data_value) LOOP + width := width + 1; + cnt := cnt *2; + END LOOP; + END IF; + + RETURN width; + END log2roundup; + ------------------------------------------------------------------------------ + -- hexstr_to_std_logic_vec + -- This function converts a hex string to a std_logic_vector + ------------------------------------------------------------------------------ + FUNCTION hexstr_to_std_logic_vec( + arg1 : string; + size : integer ) + RETURN std_logic_vector IS + VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE bin : std_logic_vector(3 DOWNTO 0); + VARIABLE index : integer := 0; + BEGIN + FOR i IN arg1'reverse_range LOOP + CASE arg1(i) IS + WHEN '0' => bin := (OTHERS => '0'); + WHEN '1' => bin := (0 => '1', OTHERS => '0'); + WHEN '2' => bin := (1 => '1', OTHERS => '0'); + WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); + WHEN '4' => bin := (2 => '1', OTHERS => '0'); + WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); + WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); + WHEN '7' => bin := (3 => '0', OTHERS => '1'); + WHEN '8' => bin := (3 => '1', OTHERS => '0'); + WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); + WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); + WHEN 'B' => bin := (2 => '0', OTHERS => '1'); + WHEN 'b' => bin := (2 => '0', OTHERS => '1'); + WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); + WHEN 'D' => bin := (1 => '0', OTHERS => '1'); + WHEN 'd' => bin := (1 => '0', OTHERS => '1'); + WHEN 'E' => bin := (0 => '0', OTHERS => '1'); + WHEN 'e' => bin := (0 => '0', OTHERS => '1'); + WHEN 'F' => bin := (OTHERS => '1'); + WHEN 'f' => bin := (OTHERS => '1'); + WHEN OTHERS => + FOR j IN 0 TO 3 LOOP + bin(j) := 'X'; + END LOOP; + END CASE; + FOR j IN 0 TO 3 LOOP + IF (index*4)+j < size THEN + result((index*4)+j) := bin(j); + END IF; + END LOOP; + index := index + 1; + END LOOP; + RETURN result; + END hexstr_to_std_logic_vec; + +END fifo_short_2clk_pkg; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd new file mode 100755 index 000000000..80c201c3b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd @@ -0,0 +1,100 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_rng.vhd +-- +-- Description: +-- Used for generation of pseudo random numbers +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.all; +USE IEEE.std_logic_arith.all; +USE IEEE.std_logic_misc.all; + +ENTITY fifo_short_2clk_rng IS + GENERIC ( + WIDTH : integer := 8; + SEED : integer := 3); + PORT ( + CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + ENABLE : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); +END ENTITY; + +ARCHITECTURE rg_arch OF fifo_short_2clk_rng IS +BEGIN +PROCESS (CLK,RESET) + VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); + VARIABLE temp : STD_LOGIC := '0'; +BEGIN + IF(RESET = '1') THEN + rand_temp := conv_std_logic_vector(SEED,width); + temp := '0'; + ELSIF (CLK'event AND CLK = '1') THEN + IF (ENABLE = '1') THEN + temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); + rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); + rand_temp(0) := temp; + END IF; + END IF; + + RANDOM_NUM <= rand_temp; + +END PROCESS; + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd new file mode 100755 index 000000000..e9139fc96 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd @@ -0,0 +1,300 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_synth.vhd +-- +-- Description: +-- This is the demo testbench for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY ieee; +USE ieee.STD_LOGIC_1164.ALL; +USE ieee.STD_LOGIC_unsigned.ALL; +USE IEEE.STD_LOGIC_arith.ALL; +USE ieee.numeric_std.ALL; +USE ieee.STD_LOGIC_misc.ALL; + +LIBRARY std; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY fifo_short_2clk_synth IS + GENERIC( + FREEZEON_ERROR : INTEGER := 0; + TB_STOP_CNT : INTEGER := 0; + TB_SEED : INTEGER := 1 + ); + PORT( + WR_CLK : IN STD_LOGIC; + RD_CLK : IN STD_LOGIC; + RESET : IN STD_LOGIC; + SIM_DONE : OUT STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ENTITY; + +ARCHITECTURE simulation_arch OF fifo_short_2clk_synth IS + + -- FIFO interface signal declarations + SIGNAL wr_clk_i : STD_LOGIC; + SIGNAL rd_clk_i : STD_LOGIC; + SIGNAL wr_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rd_data_count : STD_LOGIC_VECTOR(6-1 DOWNTO 0); + SIGNAL rst : STD_LOGIC; + SIGNAL wr_en : STD_LOGIC; + SIGNAL rd_en : STD_LOGIC; + SIGNAL din : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL full : STD_LOGIC; + SIGNAL empty : STD_LOGIC; + -- TB Signals + SIGNAL wr_data : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL dout_i : STD_LOGIC_VECTOR(72-1 DOWNTO 0); + SIGNAL wr_en_i : STD_LOGIC := '0'; + SIGNAL rd_en_i : STD_LOGIC := '0'; + SIGNAL full_i : STD_LOGIC := '0'; + SIGNAL empty_i : STD_LOGIC := '0'; + SIGNAL almost_full_i : STD_LOGIC := '0'; + SIGNAL almost_empty_i : STD_LOGIC := '0'; + SIGNAL prc_we_i : STD_LOGIC := '0'; + SIGNAL prc_re_i : STD_LOGIC := '0'; + SIGNAL dout_chk_i : STD_LOGIC := '0'; + SIGNAL rst_int_rd : STD_LOGIC := '0'; + SIGNAL rst_int_wr : STD_LOGIC := '0'; + SIGNAL rst_s_wr1 : STD_LOGIC := '0'; + SIGNAL rst_s_wr2 : STD_LOGIC := '0'; + SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL rst_s_wr3 : STD_LOGIC := '0'; + SIGNAL rst_s_rd : STD_LOGIC := '0'; + SIGNAL reset_en : STD_LOGIC := '0'; + SIGNAL rst_async_wr1 : STD_LOGIC := '0'; + SIGNAL rst_async_wr2 : STD_LOGIC := '0'; + SIGNAL rst_async_wr3 : STD_LOGIC := '0'; + SIGNAL rst_async_rd1 : STD_LOGIC := '0'; + SIGNAL rst_async_rd2 : STD_LOGIC := '0'; + SIGNAL rst_async_rd3 : STD_LOGIC := '0'; + + + BEGIN + + ---- Reset generation logic ----- + rst_int_wr <= rst_async_wr3 OR rst_s_wr3; + rst_int_rd <= rst_async_rd3 OR rst_s_rd; + + --Testbench reset synchronization + PROCESS(rd_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_rd1 <= '1'; + rst_async_rd2 <= '1'; + rst_async_rd3 <= '1'; + ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_async_rd1 <= RESET; + rst_async_rd2 <= rst_async_rd1; + rst_async_rd3 <= rst_async_rd2; + END IF; + END PROCESS; + + PROCESS(wr_clk_i,RESET) + BEGIN + IF(RESET = '1') THEN + rst_async_wr1 <= '1'; + rst_async_wr2 <= '1'; + rst_async_wr3 <= '1'; + ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_async_wr1 <= RESET; + rst_async_wr2 <= rst_async_wr1; + rst_async_wr3 <= rst_async_wr2; + END IF; + END PROCESS; + + --Soft reset for core and testbench + PROCESS(rd_clk_i) + BEGIN + IF(rd_clk_i'event AND rd_clk_i='1') THEN + rst_gen_rd <= rst_gen_rd + "1"; + IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN + rst_s_rd <= '1'; + assert false + report "Reset applied..Memory Collision checks are not valid" + severity note; + ELSE + IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN + rst_s_rd <= '0'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(wr_clk_i) + BEGIN + IF(wr_clk_i'event AND wr_clk_i='1') THEN + rst_s_wr1 <= rst_s_rd; + rst_s_wr2 <= rst_s_wr1; + rst_s_wr3 <= rst_s_wr2; + IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN + assert false + report "Reset removed..Memory Collision checks are valid" + severity note; + END IF; + END IF; + END PROCESS; + ------------------ + + ---- Clock buffers for testbench ---- + wr_clk_i <= WR_CLK; + rd_clk_i <= RD_CLK; + ------------------ + + rst <= RESET OR rst_s_rd AFTER 12 ns; + din <= wr_data; + dout_i <= dout; + wr_en <= wr_en_i; + rd_en <= rd_en_i; + full_i <= full; + empty_i <= empty; + + fg_dg_nv: fifo_short_2clk_dgen + GENERIC MAP ( + C_DIN_WIDTH => 72, + C_DOUT_WIDTH => 72, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP ( -- Write Port + RESET => rst_int_wr, + WR_CLK => wr_clk_i, + PRC_WR_EN => prc_we_i, + FULL => full_i, + WR_EN => wr_en_i, + WR_DATA => wr_data + ); + + fg_dv_nv: fifo_short_2clk_dverif + GENERIC MAP ( + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_USE_EMBEDDED_REG => 0, + TB_SEED => TB_SEED, + C_CH_TYPE => 0 + ) + PORT MAP( + RESET => rst_int_rd, + RD_CLK => rd_clk_i, + PRC_RD_EN => prc_re_i, + RD_EN => rd_en_i, + EMPTY => empty_i, + DATA_OUT => dout_i, + DOUT_CHK => dout_chk_i + ); + + fg_pc_nv: fifo_short_2clk_pctrl + GENERIC MAP ( + AXI_CHANNEL => "Native", + C_APPLICATION_TYPE => 0, + C_DOUT_WIDTH => 72, + C_DIN_WIDTH => 72, + C_WR_PNTR_WIDTH => 5, + C_RD_PNTR_WIDTH => 5, + C_CH_TYPE => 0, + FREEZEON_ERROR => FREEZEON_ERROR, + TB_SEED => TB_SEED, + TB_STOP_CNT => TB_STOP_CNT + ) + PORT MAP( + RESET_WR => rst_int_wr, + RESET_RD => rst_int_rd, + RESET_EN => reset_en, + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + PRC_WR_EN => prc_we_i, + PRC_RD_EN => prc_re_i, + FULL => full_i, + ALMOST_FULL => almost_full_i, + ALMOST_EMPTY => almost_empty_i, + DOUT_CHK => dout_chk_i, + EMPTY => empty_i, + DATA_IN => wr_data, + DATA_OUT => dout, + SIM_DONE => SIM_DONE, + STATUS => STATUS + ); + + + + + + fifo_short_2clk_inst : fifo_short_2clk_exdes + PORT MAP ( + WR_CLK => wr_clk_i, + RD_CLK => rd_clk_i, + WR_DATA_COUNT => wr_data_count, + RD_DATA_COUNT => rd_data_count, + RST => rst, + WR_EN => wr_en, + RD_EN => rd_en, + DIN => din, + DOUT => dout, + FULL => full, + EMPTY => empty); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd new file mode 100755 index 000000000..6d86157db --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd @@ -0,0 +1,208 @@ +-------------------------------------------------------------------------------- +-- +-- FIFO Generator Core Demo Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-------------------------------------------------------------------------------- +-- +-- Filename: fifo_short_2clk_tb.vhd +-- +-- Description: +-- This is the demo testbench top file for fifo_generator core. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY ieee; +LIBRARY std; +USE ieee.std_logic_1164.ALL; +USE ieee.std_logic_unsigned.ALL; +USE IEEE.std_logic_arith.ALL; +USE IEEE.std_logic_misc.ALL; +USE ieee.numeric_std.ALL; +USE ieee.std_logic_textio.ALL; +USE std.textio.ALL; + +LIBRARY work; +USE work.fifo_short_2clk_pkg.ALL; + +ENTITY fifo_short_2clk_tb IS +END ENTITY; + + +ARCHITECTURE fifo_short_2clk_arch OF fifo_short_2clk_tb IS + SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; + SIGNAL wr_clk : STD_LOGIC; + SIGNAL rd_clk : STD_LOGIC; + SIGNAL reset : STD_LOGIC; + SIGNAL sim_done : STD_LOGIC := '0'; + SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + -- Write and Read clock periods + CONSTANT wr_clk_period_by_2 : TIME := 200 ns; + CONSTANT rd_clk_period_by_2 : TIME := 100 ns; + -- Procedures to display strings + PROCEDURE disp_str(CONSTANT str:IN STRING) IS + variable dp_l : line := null; + BEGIN + write(dp_l,str); + writeline(output,dp_l); + END PROCEDURE; + + PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS + variable dp_lx : line := null; + BEGIN + hwrite(dp_lx,hex); + writeline(output,dp_lx); + END PROCEDURE; + +BEGIN + + -- Generation of clock + + PROCESS BEGIN + WAIT FOR 400 ns; -- Wait for global reset + WHILE 1 = 1 LOOP + wr_clk <= '0'; + WAIT FOR wr_clk_period_by_2; + wr_clk <= '1'; + WAIT FOR wr_clk_period_by_2; + END LOOP; + END PROCESS; + + PROCESS BEGIN + WAIT FOR 200 ns;-- Wait for global reset + WHILE 1 = 1 LOOP + rd_clk <= '0'; + WAIT FOR rd_clk_period_by_2; + rd_clk <= '1'; + WAIT FOR rd_clk_period_by_2; + END LOOP; + END PROCESS; + + -- Generation of Reset + + PROCESS BEGIN + reset <= '1'; + WAIT FOR 4200 ns; + reset <= '0'; + WAIT; + END PROCESS; + + + -- Error message printing based on STATUS signal from fifo_short_2clk_synth + + PROCESS(status) + BEGIN + IF(status /= "0" AND status /= "1") THEN + disp_str("STATUS:"); + disp_hex(status); + END IF; + + IF(status(7) = '1') THEN + assert false + report "Data mismatch found" + severity error; + END IF; + + IF(status(1) = '1') THEN + END IF; + + IF(status(5) = '1') THEN + assert false + report "Empty flag Mismatch/timeout" + severity error; + END IF; + + IF(status(6) = '1') THEN + assert false + report "Full Flag Mismatch/timeout" + severity error; + END IF; + END PROCESS; + + + PROCESS + BEGIN + wait until sim_done = '1'; + IF(status /= "0" AND status /= "1") THEN + assert false + report "Simulation failed" + severity failure; + ELSE + assert false + report "Test Completed Successfully" + severity failure; + END IF; + END PROCESS; + + PROCESS + BEGIN + wait for 400 ms; + assert false + report "Test bench timed out" + severity failure; + END PROCESS; + + -- Instance of fifo_short_2clk_synth + + fifo_short_2clk_synth_inst:fifo_short_2clk_synth + GENERIC MAP( + FREEZEON_ERROR => 0, + TB_STOP_CNT => 2, + TB_SEED => 108 + ) + PORT MAP( + WR_CLK => wr_clk, + RD_CLK => rd_clk, + RESET => reset, + SIM_DONE => sim_done, + STATUS => status + ); + +END ARCHITECTURE; diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat new file mode 100755 index 000000000..d40cb85cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,63 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\..\\fifo_short_2clk.v +vhpcomp -work work ..\\..\\example_design\\fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX\\verilog\\src\\glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh new file mode 100755 index 000000000..78f9ce588 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,65 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../../fifo_short_2clk.v +vhpcomp -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +vlogcomp -work work $XILINX/verilog/src/glbl.v +fuse work.fifo_short_2clk_tb work.glbl -L xilinxcorelib_ver -L unisims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do new file mode 100755 index 000000000..8deb52187 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.do @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../fifo_short_2clk.v +vcom -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -t ps -voptargs="+acc" -L XilinxCoreLib_ver -L unisims_ver glbl work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 000000000..749f9db06 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../fifo_short_2clk.v +ncvhdl -v93 -work work ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 000000000..71a568e24 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,69 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../fifo_short_2clk.v +vhdlan ../../example_design/fifo_short_2clk_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs -time_res 1ps +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl new file mode 100755 index 000000000..7f3170d74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,77 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/functional/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat new file mode 100755 index 000000000..536a7cfee --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.bat @@ -0,0 +1,61 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\\..\\implement\\results\\routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ..\\fifo_short_2clk_pkg.vhd +vhpcomp -work work ..\\fifo_short_2clk_rng.vhd +vhpcomp -work work ..\\fifo_short_2clk_dgen.vhd +vhpcomp -work work ..\\fifo_short_2clk_dverif.vhd +vhpcomp -work work ..\\fifo_short_2clk_pctrl.vhd +vhpcomp -work work ..\\fifo_short_2clk_synth.vhd +vhpcomp -work work ..\\fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +.\\fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=..\\..\\implement\\results\\routed.sdf -gui -tclbatch .\\wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh new file mode 100755 index 000000000..2d9404294 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,63 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhpcomp -work work ../fifo_short_2clk_pkg.vhd +vhpcomp -work work ../fifo_short_2clk_rng.vhd +vhpcomp -work work ../fifo_short_2clk_dgen.vhd +vhpcomp -work work ../fifo_short_2clk_dverif.vhd +vhpcomp -work work ../fifo_short_2clk_pctrl.vhd +vhpcomp -work work ../fifo_short_2clk_synth.vhd +vhpcomp -work work ../fifo_short_2clk_tb.vhd + +fuse work.fifo_short_2clk_tb work.glbl -L simprims_ver -o fifo_short_2clk_tb.exe + +./fifo_short_2clk_tb.exe -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf -gui -tclbatch ./wave_isim.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat new file mode 100755 index 000000000..35375ce20 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,47 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do new file mode 100755 index 000000000..7ec785533 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.do @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vcom -work work ../fifo_short_2clk_pkg.vhd +vcom -work work ../fifo_short_2clk_rng.vhd +vcom -work work ../fifo_short_2clk_dgen.vhd +vcom -work work ../fifo_short_2clk_dverif.vhd +vcom -work work ../fifo_short_2clk_pctrl.vhd +vcom -work work ../fifo_short_2clk_synth.vhd +vcom -work work ../fifo_short_2clk_tb.vhd + +vsim -t ps -voptargs="+acc" +transport_int_delays -L simprims_ver glbl -sdfmax /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst=../../implement/results/routed.sdf work.fifo_short_2clk_tb + +add log -r /* +do wave_mti.do +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh new file mode 100755 index 000000000..edb1b0dd9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 000000000..e9dc952cf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,73 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +ncvhdl -v93 -work work ../fifo_short_2clk_pkg.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_rng.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dgen.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_dverif.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_pctrl.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_synth.vhd +ncvhdl -v93 -work work ../fifo_short_2clk_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :fifo_short_2clk_synth_inst:fifo_short_2clk_inst,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd work.fifo_short_2clk_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.fifo_short_2clk_tb diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 000000000..bbd548a74 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../fifo_short_2clk_pkg.vhd +vhdlan ../fifo_short_2clk_rng.vhd +vhdlan ../fifo_short_2clk_dgen.vhd +vhdlan ../fifo_short_2clk_dverif.vhd +vhdlan ../fifo_short_2clk_pctrl.vhd +vhdlan ../fifo_short_2clk_synth.vhd +vhdlan ../fifo_short_2clk_tb.vhd + +echo "Elaborating Design" +vcs -time_res 1ps +neg_tchk -sdf max:/fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst:../../implement/results/routed.sdf +vcs+lic+wait -debug fifo_short_2clk_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key new file mode 100755 index 000000000..f90cccb67 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file fifo_short_2clk.vpd -type VPD +dump -add fifo_short_2clk_tb +run +quit diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl new file mode 100755 index 000000000..e27baa9d8 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/vcs_session.tcl @@ -0,0 +1,76 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {fifo_short_2clk.vpd}] } { + gui_open_db -design V1 -file fifo_short_2clk.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create fifo_short_2clk_Group +gui_list_add_group -id Wave.1 {fifo_short_2clk_Group} + +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +gui_sg_addsignal -group fifo_short_2clk_Group WRITE -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +gui_sg_addsignal -group fifo_short_2clk_Group READ -divider +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +gui_sg_addsignal -group fifo_short_2clk_Group /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +gui_zoom -window Wave.1 -full diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl new file mode 100755 index 000000000..156aad172 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_isim.tcl @@ -0,0 +1,68 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_isim.tcl +# +# Description: +# This is the ISIM wave form file. +# +#-------------------------------------------------------------------------------- +wcfg new +isim set radix hex +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +wave add /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +run all +quit + diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do new file mode 100755 index 000000000..eafc64cf2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_mti.do @@ -0,0 +1,88 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +# Filename: wave_mti.do +# +# Description: +# This is the modelsim wave form file. +# +#-------------------------------------------------------------------------------- + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +add wave -noupdate -divider WRITE +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DIN +add wave -noupdate -divider READ +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +add wave -noupdate /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +add wave -noupdate -radix hexadecimal /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/DOUT + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {2164886 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv new file mode 100755 index 000000000..655d5c65a --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk/simulation/timing/wave_ncsim.sv @@ -0,0 +1,70 @@ +#-------------------------------------------------------------------------------- +#-- +#-- FIFO Generator Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: wave_ncsim.sv +# +# Description: +# This is the IUS wave form file. +# +#-------------------------------------------------------------------------------- + +window new WaveWindow -name "Waves for FIFO Generator Example Design" +waveform using "Waves for FIFO Generator Example Design" + +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RST +waveform add -label WRITE +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/WR_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/FULL +waveform add -label READ +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_CLK +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/RD_EN +waveform add -signals /fifo_short_2clk_tb/fifo_short_2clk_synth_inst/fifo_short_2clk_inst/EMPTY +console submit -using simulator -wait no "run" diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt new file mode 100644 index 000000000..4ab119a52 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +fifo_short_2clk/doc/pg057-fifo-generator.pdf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +fifo_short_2clk/fifo_generator_v9_3_readme.txt +fifo_short_2clk/implement/implement.bat +fifo_short_2clk/implement/implement.sh +fifo_short_2clk/implement/implement_synplify.bat +fifo_short_2clk/implement/implement_synplify.sh +fifo_short_2clk/implement/planAhead_ise.bat +fifo_short_2clk/implement/planAhead_ise.sh +fifo_short_2clk/implement/planAhead_ise.tcl +fifo_short_2clk/implement/xst.prj +fifo_short_2clk/implement/xst.scr +fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +fifo_short_2clk/simulation/functional/simulate_isim.bat +fifo_short_2clk/simulation/functional/simulate_isim.sh +fifo_short_2clk/simulation/functional/simulate_mti.bat +fifo_short_2clk/simulation/functional/simulate_mti.do +fifo_short_2clk/simulation/functional/simulate_mti.sh +fifo_short_2clk/simulation/functional/simulate_ncsim.sh +fifo_short_2clk/simulation/functional/simulate_vcs.sh +fifo_short_2clk/simulation/functional/ucli_commands.key +fifo_short_2clk/simulation/functional/vcs_session.tcl +fifo_short_2clk/simulation/functional/wave_isim.tcl +fifo_short_2clk/simulation/functional/wave_mti.do +fifo_short_2clk/simulation/functional/wave_ncsim.sv +fifo_short_2clk/simulation/timing/simulate_isim.bat +fifo_short_2clk/simulation/timing/simulate_isim.sh +fifo_short_2clk/simulation/timing/simulate_mti.bat +fifo_short_2clk/simulation/timing/simulate_mti.do +fifo_short_2clk/simulation/timing/simulate_mti.sh +fifo_short_2clk/simulation/timing/simulate_ncsim.sh +fifo_short_2clk/simulation/timing/simulate_vcs.sh +fifo_short_2clk/simulation/timing/ucli_commands.key +fifo_short_2clk/simulation/timing/vcs_session.tcl +fifo_short_2clk/simulation/timing/wave_isim.tcl +fifo_short_2clk/simulation/timing/wave_mti.do +fifo_short_2clk/simulation/timing/wave_ncsim.sv +fifo_short_2clk.asy +fifo_short_2clk.gise +fifo_short_2clk.ngc +fifo_short_2clk.v +fifo_short_2clk.veo +fifo_short_2clk.xco +fifo_short_2clk.xise +fifo_short_2clk_flist.txt +fifo_short_2clk_xmdf.tcl diff --git a/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl new file mode 100644 index 000000000..0abe2bb7c --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen/fifo_short_2clk_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide fifo_short_2clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fifo_short_2clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fifo_short_2clk_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fifo_short_2clk +} +# ::fifo_short_2clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fifo_short_2clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/fifo_generator_v9_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/doc/pg057-fifo-generator.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/example_design/fifo_short_2clk_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/fifo_generator_v9_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_dverif.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pctrl.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/fifo_short_2clk_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_isim.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fifo_short_2clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fifo_short_2clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore b/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore new file mode 100644 index 000000000..f9823bca9 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/.gitignore @@ -0,0 +1,6 @@ +_xmsgs +*.log +*.ncf +*.xise +*.gise + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs new file mode 100644 index 000000000..9d679ab2d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/Makefile.srcs @@ -0,0 +1,15 @@ +# +# Copyright 2012, 2015 Ettus Research LLC +# + +################################################## +# Coregen Sources +################################################## + +COREGEN_DSP_SRCS = $(abspath $(addprefix $(BASE_DIR)/../top/b2xxmini/coregen_dsp/, \ +hbdec1.v \ +hbdec1.xco \ +hbdec2.v \ +hbdec2.xco \ +)) + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp b/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp new file mode 100644 index 000000000..e849e744f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx150 +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = csg484 +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe new file mode 100644 index 000000000..e18b5daa7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/filt2.coe @@ -0,0 +1,36 @@ + Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe new file mode 100644 index 000000000..37f5a180e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb31.coe @@ -0,0 +1,32 @@ +Radix=10; +CoefData = -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe new file mode 100644 index 000000000..18078085d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb35.coe @@ -0,0 +1,36 @@ +Radix=10; +CoefData = 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe new file mode 100644 index 000000000..1d99fa946 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb39.coe @@ -0,0 +1,40 @@ +Radix=10; +CoefData = -102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe new file mode 100644 index 000000000..c56c4c928 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb43.coe @@ -0,0 +1,44 @@ +Radix=10; +CoefData = 100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe new file mode 100644 index 000000000..4c9a6c406 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb47.coe @@ -0,0 +1,51 @@ +; Generated with round((2^18-2)*halfgen_test(.21,12,1)) +; 83% effective BW, 64dB to 85dB rolloff +Radix=10; +CoefData = +-62, + 0, + 194, + 0, +-440, + 0, + 855, + 0, +-1505, + 0, + 2478, + 0, +-3900, + 0, + 5990, + 0, +-9187, + 0, + 14632, + 0, +-26536, + 0, + 83009, + 131071, + 83009, + 0, +-26536, + 0, + 14632, + 0, +-9187, + 0, + 5990, + 0, +-3900, + 0, + 2478, + 0, +-1505, + 0, + 855, + 0, +-440, + 0 + 194, + 0, +-62; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe new file mode 100644 index 000000000..ebfddb7fb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb51.coe @@ -0,0 +1,52 @@ +Radix=10; +CoefData = 300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe new file mode 100644 index 000000000..07f861818 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb55.coe @@ -0,0 +1,56 @@ +Radix=10; +CoefData = -400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe new file mode 100644 index 000000000..79f1e362b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb59.coe @@ -0,0 +1,60 @@ +Radix=10; +CoefData = 500, +0, +-400, +0, +300, +0, +-200, +0, +100, +0, +-102, + 0, + 282, + -0, + -581, + 0, + 1053, + -0, + -1759, + 0, + 2814, + -0, + -4434, + 0, + 7193, + -0, + -13190, + 0, + 41478, + 65536, + 41478, + 0, + -13190, + -0, + 7193, + 0, + -4434, + -0, + 2814, + 0, + -1759, + -0, + 1053, + 0, + -581, + -0, + 282, + 0, + -102, +0, +100, +0, +-200, +0, +300, +0, +-400, +0 +500; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe new file mode 100644 index 000000000..3b312036d --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hb63.coe @@ -0,0 +1,67 @@ +; Generated with round((2^18-2)*halfgen_test(.22,16,1)) +; 88% effective BW, 64dB to 87dB rolloff +Radix=10; +CoefData = +-35, + 0, + 95, + 0, +-195, + 0, + 352, + 0, +-582, + 0, + 907, + 0, +-1354, + 0, + 1953, + 0, +-2751, + 0, + 3813, + 0, +-5249, + 0, + 7264, + 0, +-10296, + 0, + 15494, + 0, +-27083, + 0, + 83196, + 131071, + 83196, + 0, +-27083, + 0, + 15494, + 0, +-10296, + 0, + 7264, + 0, +-5249, + 0, + 3813, + 0, +-2751, + 0, + 1953, + 0, +-1354, + 0, + 907, + 0, +-582, + 0, + 352, + 0, + -195, + 0 + 95, + 0 +-35; diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy new file mode 100644 index 000000000..7644e7bbe --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec1 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc new file mode 100644 index 000000000..0830a9f34 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$26b<~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=63:2:2=6?<;0,36>77<2;;<=?9;049MKVR\3NJXLQFN=36>58602;=6B[[PTV9@DRFWF__0<;50?33?4>=AGZ^X7O31683:46<910BB][[:C>23?699:1:47GAPTV9FFOI48=1<3??;0:9MKVR\3N7=:4?>0685=23?699>1:47GAPTV9gjcaWmce0<950?36?4>=G\^[YY4MCNWW841=87;=7<65OTVSQQ7?2F__\XZ5DC]LQQ:6?3:5=<5>:HLSQQ11392>LHW]]0JHI\N<183:44<93CE\XZ5AEFQF96=87;h7<4FNQWW>fibnVlb`yk}_rgo85<768=0=7GAPTV9bljsm{Uxia2?:1<21>7=G\^[YY4lh`l\mk:7294::6?5OTVSQQ453;:37?>NA321444<:=0BB][[:ekm875=87;>7?:5IORVP?bnfV;;0?=50?36?72=AGZ^X7jfn^32875=87;>7?:5IORVP?bnfV;90?=50?36?72=AGZ^X7jfn^30875=87;>7?:5IORVP?bnfV;?0?=50?36?72=AGZ^X7jfn^36875=87;>7?:5IORVP?bnfV;=0?=50?37?72=AGZ^X7jfn^3?66<768>0>94FNQWW>aoiW;69?7>115910?OIX\^1hd`P3=00>586<28?6D@_UU8gmkY34;91<3?;;369MKVR\3nbbR;32283:42<:=0BB][[:ekm[3:5;3:5=95=4;KMTPR=l`dT;1<<:1<20>432@D[YY4kio];875=87;?7?:5IORVP?bnfV37>>4?>048613;>1CXZ_UU8gkprXaV;:0?=50?3:?72=G\^[YY4kotv\mZ754;91<3?6;369KPRW]]0ocxzPi^30875=87;27?:5OTVSQQ3;>1CXZ_UU8gkprXaV;>0?=50?3:?72=G\^[YY4kotv\mZ714;91<3?7;369KPRW]]0ocxzPi^3?66<76820>94@UURVP?bh}}UbS?2=3;2=5==5<3E^X][[:emvpZoX;5886=0>8:07>JSSX\^1hb{{_h]7875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW?69?7>119910?IR\Y__6iazt^k\3944294:46<;:NWWTPR=lfSdQ7<3194;7?3;>1CXZ_UU8gkprXaV37>>4?>0086?OIX\^1MIJ]A=394;753;0BB][[:@FGVG:6294:=6=9:NWWTPR=E59>6=0>2:19MKVR\3KOH_O32;2=57=42@D[YY4NDEPA87<768>08:4@UURVP?bh}}6?:7>116973?IR\Y__6iazt^33810=87;<7995OTVSQQ72?699>1?;7AZTQWW>air|V;?09850?34?11=G\^[YY4kotv\50:3>3:5=:5;7;MVPUSS2me~xR?9<5494;713==1CXZ_UU8gkprX95>=6=0>6:64>JSSX\^1hb{{_3>72?699?1?;7AZTQWW>air|V978;4?>04802202F__\XZ5dnww[3:3>3:5=;5;7;MVPUSS2me~xR934783:40<<>0DYY^ZT;flqqY?4=<1<3?9;559KPRW]]0ocxzP9=65>586>2><6B[[PTV9`jssWx6?:7>118973?IR\Y__6iazt^s\55:3>3:5=45;7;MVPUSS2me~xRP10>72?69901?;7AZTQWW>air|V{T=?2;6;2=5<=3?3E^X][[:emvpZwX9:6?:7>118973?IR\Y__6iazt^s\51:3>3:5=45;7;MVPUSS2me~xRP14>72?69901?;7AZTQWW>air|V{T=;2;6;2=5==3?3E^X][[:emvpZwX95>=6=0>8:64>JSSX\^1hb{{_p]1810=87;37995OTVSQQ<<>0DYY^ZT;flqqYvW=6?:7>119973?IR\Y__6iazt^s\1921294:46:8:NWWTPR=lfS|Q9<5494;7?3==1CXZ_UU8gkprXyV=78;4?>0:8023:5=55;7;MVPUSS2me~xRP9=65>58682>36D@_UU8@811=87;?7965IORVP?BFW@D78:4?>0180=586>2>36B[[PTV9@DYH]]6?;7>117970;2<;4498LQQVR\3ZHCXZ34683:==3N=>?89:;;43741=2==::7;:46@CB6>0Dm20;2<=48;KMTPR=GYGDHH28:1<22>>=G\^[YY4KAUC\KPR;?3:5>67N2:C31>G7ZLM97L?:;@3QAB4:4A=2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:2=F48=1<3;4A=34:1=F484?7L2=>59B86833H6?295N<4<7?D:16=1J0:0;;@>;:1=F40427LJKR=294;13:d=FLMXJ0<4?>99B@ATF484j7LJKR@>1>58?3HNO^L2=>`9B@ATE490;255NDEPA858f3HNO^O2>:1<;?DBCZK6:2l5NDEPA87<7611JHI\M<3<6?G6UMN?0N<\JG59A85823K6:<3;4B=32:0=E488596L312<6?G:6<7?0N1?:>49A8409?2H7=:4?>49A8419<2H7=3:4B=0=0>D;;7>0N1:14:@?1;29<2H75394BBKM858?3KIBB1??>99AGLH;98437OMFN=31:==EK@D7=>07;CAJJ973611IOD@314<;?GENF5;=2l5MCHL?52<7611IOD@316<4?GENF5;5;6LLIO>1:2=EK@D7?394BBKM81803KIBB1;17:@@MK:16>1IOD@37?58FFOI414<7OMFN=;=<>DDG\^7<374BBMVP977601IOB[[<03==>DDG\^7=?06;CALQQ:6;730NNAZT=37:<=EKF__0<;19:@@KPR;9?4i7OM@UU>23?6912HHCXZ316<;?GEH]]6:255MCNWW878?3KIDYY2<>99AGJSS4=437OM@UU>6:==EKF__0;07;CALQQ:0611IOB[[<9<;?GEH]]622?5MF99AQVYNFOE:7N:4C=2=1>E;994>7N2>1?78G9756<1H0<=15:A?51823J6:93;4C=35:0=D48=596M319<6?F:617>0O1?15:A?65823J69=3;4C=01:0=D4;9596M325<6?F:5=7?0O1<9>49@8719=2I7>50:;B>1=;249@8659=2I7?90:;B>01;3E;;14>7N2<9?68G959=2I78=0:;B>75;39285L<51=1>E;<=4>7N2;5?78G9216>1H09950?78G9206=1H090;;B>6:1=D4?4?7N28>59@8=833J622:5LASPZMKgEBI:1HIO=4CDA:?FCDI[XREC=4CDF;?FCBM1KJM>5LEL:8G@IWEFNN?6MJP008GMCDCVFBOH@\E^VZT@40O_KH1:F1?A>33M6;285K<02=1>B;984>7I2>2?78@9746<1O0<:15:F?50823M6::394D=34>5823M6:;3:4D=3=0>B;:7>0H1=14:F?0;2BF<2NJMLo4D@VB[LH;87h0HLZN_HL?558e3MK_MRGA<03=f>BF\HUBB1?=>c9GEQGXAG6:?3l4D@VB[LH;9=4o7IO[A^KM843=87h0HLZN_HL?508f3MK_MRGA<00;2l5KAUC\MK:06h1OMYOPIO>;:d=CI]KTEC26>c9GEQGXG\^7<3m4D@VB[JSS48:5o6JNT@]LQQ:697i0HLZN_NWW8449k2NJXLQ@UU>27;eBF\HUDYY2>5;2=g>BF\HUDYY2>5?`8@DRFWF__0<0m;ECWEZIR\585n6JNT@]LQQ:46k1OMYOPOTV?0;d19:FB[LH;99427IOPIO>25;?89GEZOI489556JN_HL?518>3MKTEC2>5?;8@DYNF5;=2o5KA^KM841=8730HLQFN=34:<=CIVCE0<619:FB[LH;90437IOPIO>2:<=CIVCE0?>19:FB[LH;:8427IOPIO>16;?89GEZOI4;>556JN_HL?608>3MKTEC2=6?;8@DYNF58<245KA^KM87>912NJSD@328<;?AGXAG69245KA^KM866912NJSD@330<:?AGXAG68>374D@]JJ954601OMRGA<26==>BFW@D7?806;EC\MK:4>730HLQFN=14:<=CIVCE0>619:FB[LH;;0437IOPIO>0:<=CIVCE09>19:FB[LH;<8427IOPIO>76;?89GEZOI4=>556JN_HL?008>3MKTEC2;6?`8@DYNF5><6=06;EC\MK:3?720HLQFN=6=<>BFW@D79364D@]JJ90902NJSD@37?:8@DYNF52546JN_HL?=;?`9GEZIR\5;;2l5KA^MVP9766h1OMRAZT=31:d=CIVE^X1?<>`9GEZIR\5;?2l5KA^MVP9726h1OMRAZT=35:f=CIVE^X1?8:13MKTCXZ31?c8@DYH]]69<3o4D@]LQQ:597k0HLQ@UU>16;g12;g1:d=CIVE^X1=?>`9GEZIR\59:2l5KA^MVP9556h1OMRAZT=10:d=CIVE^X1=;>`9GEZIR\59>2l5KA^MVP9516h1OMRAZT=14:d=CIVE^X1=7>`9GEZIR\592245KA^MVP959i2NJSB[[<52=e>BFWF__09?1a:FB[JSS4=85m6JN_NWW8159i2NJSB[[<56=e>BFWF__09;1a:FB[JSS4=<5o6JN_NWW811=87k0HLQ@UU>73;?89GEZIR\5?556JN_NWW838>3MKTCXZ37?;8@DYH]]63245KA^MVP9?912NISB[[<1`9GFZIR\5;32l5KB^MVP97>601ONRAZT=3=e>BEWF__0?>1a:FA[JSS4;;5m6JM_NWW8749i2NISB[[<31=e>BEWF__0?:1a:FA[JSS4;?5m6JM_NWW8709i2NISB[[<35=e>BEWF__0?61a:FA[JSS4;3556JM_NWW878f3MHTCXZ33174;g3o4DC]LQQ:3;7k0HOQ@UU>70;gBEWF__0506;E@\KPR;1780HH<4DH18@UI23MZYM=;4DQPB50=CX[K996J_R@17?ATBO>1O_]:7A038A7=BI=1NM?O;;DCBE7=A<;1M4?5ID59E@D>43ONN?6HKR59E@WC33ONXI95IF9C;?C@AN;KJM<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?56GAIUQWEQC43@D]>6BF2:NL2>JHIMOO;6B@GHABH1=K]];?7A[[259OQQ533E__895CUU77?H:76<1F0<>15:O?54823D6:>3;4M=30:0=J48>596C314<6?H:6>7?0A1?8>49N84>9=2G7=40;;L>2:0=J4;:596C320<6?H:5:7?0A1<<>49N8729=2G7>80:;L>12;3K;:04?7@2=>49N8669=2G7?<0:;L>06;3K;;<0;285B<27=0>K;;7>0A1:14:O?1;29<2G753:4MSGD1>KRPJS<7@[WCX]G3>KRPJST@n5BakmqR`ttafdh7@gaosTfvvohf:1E===4N030?K75;2D:?>5A1518J4343G;=?6@>759M52333G;<:95A1657?K700=1E=:7<;O3;0>H609>0B<6>4:L2<728568J4>2<2D:4;:4N0:40>H601>0B<663:L2=1=I90:?7C?6159M5<433G;2?95A1867?K7>==1E=48;;O3:31=I902?7C?6939M66=I:9>0B?>?4:L1442H58>>0B?>74:L14<5059M64733G8:>95A2017?K46<=1E><;;;O0221=I:8=?7C<>859M64?43G8986@=2168J746<2D9>?=4N310?K43;2D99>5A2718J7>43G82>6@<3:L047=I<;1E995A54;7?K318=1E9;?;;O7561=I=?9?7C;9459M13333G?=:95A5757?K310=1E9;7;;O7441=I=>;?7C;8259M12533G?<895A5677?K30>=1E9:9;;O74<1=I=>3?7C;7059M1=733G?3>95A5917?K3?<=1E95;;;O7;21=I=1=?7C;7859M1=?33G?2<95A5837?K3>:=1E94=;;O7:01=I=0??7C;6659M1<133G?2495A58;7?K078=1E:=?;;O4361=I>99?7C8?459M25333G<<;95A66:7?K001=1E:5>;;O4;51=I>18?7C87359M2=233G<3995A6947?K0??=1E:56;;O4;=1=I>0:?7C86159M2<433G<2?95A6867?K0>==1E:48;;O4:31=I>02?7C86939M31=I?9:?7C9?159M35433G=;?95A7167?K17==1E;=8;;O5331=I?92?7C9?959M34633G=:=95A7007?K16;=1E;<:;;O5211=I?8759M34>33G=:595A7327?K159=1E;?<;;O5177=I0;1E5k5AB^@VWUOIWYXD\^94NDVTKWM03GEFI_IJ1:M1?JM03FZFCIK:9:MSIJBB49427B^BOEG?5;?89LTHICM59556A_MNFF818>3FZFCIK35?;8KUKHLL6=2o5@PLMGA91=8730C]C@DD>4:<=HXDEOI_KH169LTQOJASOT\_GBIUKUAVYIOJk0Cohzsbcwkw724;3V;9:4>7]2>4?78T9726<1[0<815:R?52823Y6:43;4P=3::1=W484>7]2=0?78T9466<1[0?<15:R?66823Y6983;4P=06:0=W4;<596^326<6?U:507?0\1<6>59S87823Y68<3;4P=12:0=W4:8596^332<6?U:4<7?0\1=:>49S8609=2Z7?:0:;Q>0<;3>49S8149=2Z78>0:;Q>70;3>285_<54=3>V;<>0;285_<55=0>V;<7>0\1;14:R?2;299SGLH;98437]MFN=31:==WK@D7=>07;QAJJ973611[OD@314<;?UENF5;=255_CHL?528?3YIBB1?7>99SGLH;904<7]MFN=3=<>VDAG69<364PBKM877902ZHEC2=2?:8TFOI4;9546^LIO>10;>720\NGA<35=<>VDAG694364PBKM87?9?2ZHEC2=>99SGLH;;9437]MFN=12:==WK@D7??07;QAJJ954611[OD@335<;?UENF59>255_CHL?738?3YIBB1=8>99SGLH;;1437]MFN=1::2=WK@D7?364PBKM816902ZHEC2;1?:8TFOI4=8546^LIO>77;>VDAG6?;7>18:R@MK:3?7=0\NGA<5<4?UENF5?5;6^LIO>5:2=WK@D7;394PBKM8=803YIBB1718:R@KPR;8730\NAZT=33:<=WKF__027;?89SGJSS48?556^LOTV?538>3YIDYY2>7?;8TFIR\5;3245_CNWW84?902ZHCXZ31?;8TFIR\58;245_CNWW877912ZHCXZ323<:?UEH]]69?374PBMVP943601[OB[[<37==>VDG\^7>;06;QALQQ:5?730\NAZT=0;:<=WKF__0?718:R@KPR;:730\NAZT=13:<=WKF__0>?19:R@KPR;;;427]M@UU>07;?89SGJSS4:?556^LOTV?738>3YIDYY2<7?;8TFIR\593245_CNWW86?902ZHCXZ33?;8TFIR\5>;245_CNWW817912ZHCXZ343<:?UEH]]6??374PBMVP923601[OB[[<57==>VDG\^78;0m;QALQQ:3?3:556^LOTV?028?3YIDYY2;>99SGJSS4<437]M@UU>5:==WKF__0:07;QALQQ:?611[OB[[<8TT\JKY^TGA4:PPPA21:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G;?VMNXVZBB;5\OTP@A6=TXF=0__BL17G7?V_IK=1_U]Kn;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM=1\OAE=;VG0?RCF9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLDe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?\cti;1TSRVCNL]\[5YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^pfhjgc&{ogcljPcovqh*tbdfkoSh`nbmg\v`jhimUcnRkaacnf[JTX98Ud=h64_^][HKKXWV;;SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXn`fiQmlnah+bciW`doi}kad`lw[fikS;W%kh`PsqnjpZehdR:V"jka_ucsw^4Z&noeSio{a^qziZef{j$licQaoso-`drfWzsfSno|c^fo}*EBW^OTMCJP14:\k4Y5m?1TSRVCNL]\[47XWV_;#jQbab,nef)aa{Uxiaklt.efjZc|ldoiiQbumv-blt(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]emirbzVhgcne gdl\mkbbxldomczPcnnX6X(`mgUx|ag{_bmo_5[)oldTxl~|[7_-c`hXlh~jS~wb_bcpg+abfVdd~` kauc\w|kXkhyhSibv/BG\S@YFFMU:95Q`1d:8[ZY_DGGTSR?;_^]V4*aXehi%alm fhp\w`jbk}%licQjxugm``bXe|f"kg}/gkq[vckmj~$kh`Ph`nefdhcWmohe`o{inl-blt(oldTjdb{es]ahjel'noeSd`keqgm`dhsWjegW?S!gdl\wujn|Vid`V>R.fgm[qgw{R9V"jka_ecweZu~eVijn heo]mkwk)lh~jS~wb_bcpgZbkq&INSZKPAOF\50>Xg8U9i55P_^ZOJHYXW8?TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYaae~n~Rlcobi,c`hXagnn|h`kaov\gjj\:T$licQ|pmkw[fikS9W%kh`Pt`rp_6[)oldThlzn_r{n[fgtk'mnbR``rl,geqgX{pgTol}l_enz+FCX_LUJBIQ>59]l5Z7b02UTSUBAM^]\5=YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"ijn^djhqcuWkfdof!heo]jjacwmgnjbyQlomY1Y+abfVy{`dzPcnnX4X(`mgUm}}T5\,dakYci}kTtcPc`q`*bciWgeya#jnt`]p}hYdiziThaw CD]TAZGILV;>4Ra>_3g;?ZYXPEDFSRQ>9^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSkgctdp\fiidc&mnbRgaddrfjagi|Vid`VQ#ijn^fbpdYtqdUhm~m!gdl\jjtj&mkmR}vm^abwfYcdp%HIRYJ_@LG[43?Wf;T=5=4_^][HKKXWV;TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYt|fzTil|flx-Nip~XzlmTec~zt03f2>YXWQFEARQP21]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbRhflugq[gjhkb%licQfnegsakbff}UhcaU=]/efjZuwd`~TobbT0\,dakYsiyyP?P heo]geqgX{pgTol}l.fgm[kiue'njxlQ|yl]`eveXles$OHQXE^CM@Z720Ve:i;5P_^ZOJHYXW;;TSR[?/f]nef(jij%meQ|emg`p*abfVosxh`kee]nqir)n`x$jd|Psdnfgq)`mgUcmahmaof\``eneh~bcc iis-dakYaae~n~Rlcobi,c`hXagnn|h`kaov\gjj\:T$licQ|pmkw[fikS9W%kh`Pt`rp_0[)oldThlzn_r{n[fgtk'mnbR``rl,geqgX{pgTol}l_enz+FCX_LUJBIQ>59]l5<34_^][HKKXWV88SRQZ0.e\ide)ehi$jd|Psdnfgq)`mgUntykaddf\ipjs&ocy#kg}_rgoafr(oldTdlbib`lg[acdadkeb`!fhp,c`hXkld~hQ{aq]geqgXdh~hdhj CD]TAZGILV8:9Ra>809\[Z^KFDUTS?QP_T2,cZkfk'gjo"hfr^qfh`es'noeShv{eoff`Zkrd}$me!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*oiWhno~"ijn^qwvpes&ykliR||tqmw=g=XWVRGB@QP_2]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbR|jlncg*wckghnTocz}l.pfhjgcWjef|ak{e^ALACYKLVEYS<R.cnbjnYumnUs#kh_hlsqq70j2UTSUBAM^]\2ZYX]9%lS`ol.lc`+couWzoginz gdl\a}rbfmooS`{ct/djv*`nzVyn`hm{/fgm[mgknkkehRjjchobplii&ocy#b~loeg\gkrudVngu1?1_dsm5=7XWV_;#jQbab,nef)aa{Uxiaklt.efjZc|ldoiiQbumv-blt(n`xThbjcu-dakYoielimcjPddajidrngg$me!heo]emirbzVhgcne gdl\mkbbxldomczPcnnX6X(`mgUx|ag{_bmo_5[)oldTxl~|[3_-c`hXlh~jS~wb_bcpg+abfVdd~` kauc\w|kXkhyhSibv/BG\S@YFFMU:95Q`1^6f3>YXWQFEARQP9^]\Q5)`Wdkh"`ol/gkq[vckmj~$kh`PeyvfjaccWdgx#hfr.djvZubdli#jka_icobggilVnnodcnthmm*cou'noeSkgctdp\fiidc&mnbRgaddrfjagi|Vid`V?0120f>dkc9:;<=>?4c9ahn6789:;<8l4bmi3456789?0123?08`8fim789:;<=om;cnh456789:in6lck1234567kk1i`f>?01234ad?01ga?gjl89:;<=>ib:`oo56789::?0130f>dkc9:;<=>>4c9ahn6789:;=8l4bmi3456788?0122?18`8fim789:;<?01235ad?00ga?gjl89:;<=?ib:`oo56789:9?0100f>dkc9:;<=>=4c9ahn6789:;>8l4bmi345678;?0121?28`8fim789:;?01236ad?03ga?gjl89:;<=?0110f>dkc9:;<=><4c9ahn6789:;?8l4bmi345678:?0120?38`8fim789:;<>om;cnh4567899in6lck1234564kk1i`f>?01237ad?02ga?gjl89:;<==ib:`oo56789:??0160f>dkc9:;<=>;4c9ahn6789:;88l4bmi345678=?0127?48`8fim789:;<9om;cnh456789>in6lck1234563kk1i`f>?01230ad?05ga?gjl89:;<=:ib:`oo56789:>?0170f>dkc9:;<=>:4c9ahn6789:;98l4bmi345678<?0126?58`8fim789:;<8om;cnh456789?in6lck1234562kk1i`f>?01231ad?04ga?gjl89:;<=;ib:`oo56789:=8h0nae?0123434e3kf`<=>?0140f>dkc9:;<=>94c9ahn6789:;:8l4bmi345678??0125?68`8fim789:;<;om;cnh456789?01232ad?07ga?gjl89:;<=8ib:`oo56789:<?0150f>dkc9:;<=>84c9ahn6789:;;8l4bmi345678>?0124?78`8fim789:;<:om;cnh456789=in6lck1234560kk1i`f>?01233ad?06ga?gjl89:;<=9ib:`oo56789:3?01:0f>dkc9:;<=>74c9ahn6789:;48l4bmi34567810j2hgg=>?012;?88`8fim789:;<5om;cnh4567892in6lck123456?kk1i`f>?0123?09ga?gjl89:;<=6ib:`oo56789:2?01;0f>dkc9:;<=>64c9ahn6789:;58l4bmi3456780?012:?98`8fim789:;<4om;cnh4567893in6lck123456>kk1i`f>?0123=ad?08ga?gjl89:;<=7ib:`oo56789:j?01c0f>dkc9:;<=>n4c9ahn6789:;m8l4bmi345678h?012b?a8`8fim789:;?0123ead?0`ga?gjl89:;<=oib:`oo56789:i?01`0f>dkc9:;<=>m4c9ahn6789:;n8l4bmi345678k?012a?b8`8fim789:;?0123fad?0cga?gjl89:;<=lib:`oo56789:h?01a0f>dkc9:;<=>l4c9ahn6789:;o8l4bmi345678j?012`?c8`8fim789:;?0123gad?0bga?gjl89:;<=mib:`oo56789:o?01f0f>dkc9:;<=>k4c9ahn6789:;h8l4bmi345678m?012g?d8`8fim789:;?0123`ad?0ega?gjl89:;<=jib:`oo56789:n?01g0f>dkc9:;<=>j4c9ahn6789:;i8l4bmi345678l?012f?e8`8fim789:;?0123aad?0dga?gjl89:;<=kib:`oo56789:m?01d0f>dkc9:;<=>i4c9ahn6789:;j8l4bmi345678o?012e?f8`8fim789:;?0123bad?0gga?gjl89:;<=hib:`oo56789;;?0020f>dkc9:;<=??4c9ahn6789::<8l4bmi3456799?0133>08`8fim789:;==om;cnh456788:in6lck1234577kk1i`f>?01224ad?11ga?gjl89:;<<>ib:`oo56789;:?0030f>dkc9:;<=?>4c9ahn6789::=8l4bmi3456798?0132>18`8fim789:;=?01225ad?10ga?gjl89:;<?0000f>dkc9:;<=?=4c9ahn6789::>8l4bmi345679;?0131>28`8fim789:;=?om;cnh4567888in6lck1234575kk1i`f>?01226ad?13ga?gjl89:;<<?0010f>dkc9:;<=?<4c9ahn6789::?8l4bmi345679:?0130>38`8fim789:;=>om;cnh4567889in6lck1234574kk1i`f>?01227ad?12ga?gjl89:;<<=ib:`oo56789;??0060f>dkc9:;<=?;4c9ahn6789::88l4bmi345679=?0137>48`8fim789:;=9om;cnh456788>in6lck1234573kk1i`f>?01220ad?15ga?gjl89:;<<:ib:`oo56789;>?0070f>dkc9:;<=?:4c9ahn6789::98l4bmi345679<?0136>58`8fim789:;=8om;cnh456788?in6lck1234572kk1i`f>?01221ad?14ga?gjl89:;<<;ib:`oo56789;=8h0nae?0123534e3kf`<=>?0040f>dkc9:;<=?94c9ahn6789:::8l4bmi345679??0135>68`8fim789:;=;om;cnh456788?01222ad?17ga?gjl89:;<<8ib:`oo56789;<?0050f>dkc9:;<=?84c9ahn6789::;8l4bmi345679>?0134>78`8fim789:;=:om;cnh456788=in6lck1234570kk1i`f>?01223ad?16ga?gjl89:;<<9ib:`oo56789;3?00:0f>dkc9:;<=?74c9ahn6789::48l4bmi34567910j2hgg=>?013;>88`8fim789:;=5om;cnh4567882in6lck123457?kk1i`f>?0122?19ga?gjl89:;<<6ib:`oo56789;2?00;0f>dkc9:;<=?64c9ahn6789::58l4bmi3456790?013:>98`8fim789:;=4om;cnh4567883in6lck123457>kk1i`f>?0122=ad?18ga?gjl89:;<<7ib:`oo56789;j?00c0f>dkc9:;<=?n4c9ahn6789::m8l4bmi345679h?013b>a8`8fim789:;=lom;cnh456788kin6lck123457fkk1i`f>?0122ead?1`ga?gjl89:;<?00`0f>dkc9:;<=?m4c9ahn6789::n8l4bmi345679k?013a>b8`8fim789:;=oom;cnh456788hin6lck123457ekk1i`f>?0122fad?1cga?gjl89:;<?00a0f>dkc9:;<=?l4c9ahn6789::o8l4bmi345679j?013`>c8`8fim789:;=nom;cnh456788iin6lck123457dkk1i`f>?0122gad?1bga?gjl89:;<?00f0f>dkc9:;<=?k4c9ahn6789::h8l4bmi345679m?013g>d8`8fim789:;=iom;cnh456788nin6lck123457ckk1i`f>?0122`ad?1ega?gjl89:;<?00g0f>dkc9:;<=?j4c9ahn6789::i8l4bmi345679l?013f>e8`8fim789:;=hom;cnh456788oin6lck123457bkk1i`f>?0122aad?1dga?gjl89:;<?00d0f>dkc9:;<=?i4c9ahn6789::j8l4bmi345679o?013e>f8`8fim789:;=kom;cnh456788lin6lck123457akk1i`f>?0122bad?1gga?gjl89:;<?0320f>dkc9:;<=?0103=08`8fim789:;>=om;cnh45678;:in6lck1234547kk1i`f>?01214ad?21ga?gjl89:;ib:`oo567898:?0330f>dkc9:;<=<>4c9ahn6789:9=8l4bmi34567:8?0102=18`8fim789:;>?01215ad?20ga?gjl89:;?0300f>dkc9:;<=<=4c9ahn6789:9>8l4bmi34567:;?0101=28`8fim789:;>?om;cnh45678;8in6lck1234545kk1i`f>?01216ad?23ga?gjl89:;?0310f>dkc9:;<=<<4c9ahn6789:9?8l4bmi34567::?0100=38`8fim789:;>>om;cnh45678;9in6lck1234544kk1i`f>?01217ad?22ga?gjl89:;?0360f>dkc9:;<=<;4c9ahn6789:988l4bmi34567:=?0107=48`8fim789:;>9om;cnh45678;>in6lck1234543kk1i`f>?01210ad?25ga?gjl89:;?0370f>dkc9:;<=<:4c9ahn6789:998l4bmi34567:<?0106=58`8fim789:;>8om;cnh45678;?in6lck1234542kk1i`f>?01211ad?24ga?gjl89:;8h0nae?0123634e3kf`<=>?0340f>dkc9:;<=<94c9ahn6789:9:8l4bmi34567:??0105=68`8fim789:;>;om;cnh45678;?01212ad?27ga?gjl89:;?0350f>dkc9:;<=<84c9ahn6789:9;8l4bmi34567:>?0104=78`8fim789:;>:om;cnh45678;=in6lck1234540kk1i`f>?01213ad?26ga?gjl89:;?03:0f>dkc9:;<=<74c9ahn6789:948l4bmi34567:10j2hgg=>?010;=88`8fim789:;>5om;cnh45678;2in6lck123454?kk1i`f>?0121?29ga?gjl89:;?03;0f>dkc9:;<=<64c9ahn6789:958l4bmi34567:0?010:=98`8fim789:;>4om;cnh45678;3in6lck123454>kk1i`f>?0121=ad?28ga?gjl89:;?03c0f>dkc9:;<=?010b=a8`8fim789:;>lom;cnh45678;kin6lck123454fkk1i`f>?0121ead?2`ga?gjl89:;?03`0f>dkc9:;<=?010a=b8`8fim789:;>oom;cnh45678;hin6lck123454ekk1i`f>?0121fad?2cga?gjl89:;?03a0f>dkc9:;<=?010`=c8`8fim789:;>nom;cnh45678;iin6lck123454dkk1i`f>?0121gad?2bga?gjl89:;?03f0f>dkc9:;<=?010g=d8`8fim789:;>iom;cnh45678;nin6lck123454ckk1i`f>?0121`ad?2ega?gjl89:;?03g0f>dkc9:;<=?010f=e8`8fim789:;>hom;cnh45678;oin6lck123454bkk1i`f>?0121aad?2dga?gjl89:;?03d0f>dkc9:;<=?010e=f8`8fim789:;>kom;cnh45678;lin6lck123454akk1i`f>?0121bad?2gga?gjl89:;?0220f>dkc9:;<==?4c9ahn6789:8<8l4bmi34567;9?0113<08`8fim789:;?=om;cnh45678::in6lck1234557kk1i`f>?01204ad?31ga?gjl89:;<>>ib:`oo567899:?0230f>dkc9:;<==>4c9ahn6789:8=8l4bmi34567;8?0112<18`8fim789:;??01205ad?30ga?gjl89:;<>?ib:`oo5678999?0200f>dkc9:;<===4c9ahn6789:8>8l4bmi34567;;?0111<28`8fim789:;??om;cnh45678:8in6lck1234555kk1i`f>?01206ad?33ga?gjl89:;<>?0210f>dkc9:;<==<4c9ahn6789:8?8l4bmi34567;:?0110<38`8fim789:;?>om;cnh45678:9in6lck1234554kk1i`f>?01207ad?32ga?gjl89:;<>=ib:`oo567899??0260f>dkc9:;<==;4c9ahn6789:888l4bmi34567;=?0117<48`8fim789:;?9om;cnh45678:>in6lck1234553kk1i`f>?01200ad?35ga?gjl89:;<>:ib:`oo567899>?0270f>dkc9:;<==:4c9ahn6789:898l4bmi34567;<?0116<58`8fim789:;?8om;cnh45678:?in6lck1234552kk1i`f>?01201ad?34ga?gjl89:;<>;ib:`oo567899=8h0nae?0123734e3kf`<=>?0240f>dkc9:;<==94c9ahn6789:8:8l4bmi34567;??0115<68`8fim789:;?;om;cnh45678:?01202ad?37ga?gjl89:;<>8ib:`oo567899<?0250f>dkc9:;<==84c9ahn6789:8;8l4bmi34567;>?0114<78`8fim789:;?:om;cnh45678:=in6lck1234550kk1i`f>?01203ad?36ga?gjl89:;<>9ib:`oo5678993?02:0f>dkc9:;<==74c9ahn6789:848l4bmi34567;10j2hgg=>?011;<88`8fim789:;?5om;cnh45678:2in6lck123455?kk1i`f>?0120?39ga?gjl89:;<>6ib:`oo5678992?02;0f>dkc9:;<==64c9ahn6789:858l4bmi34567;0?011:<98`8fim789:;?4om;cnh45678:3in6lck123455>kk1i`f>?0120=ad?38ga?gjl89:;<>7ib:`oo567899j?02c0f>dkc9:;<==n4c9ahn6789:8m8l4bmi34567;h?011b?0120ead?3`ga?gjl89:;<>oib:`oo567899i?02`0f>dkc9:;<==m4c9ahn6789:8n8l4bmi34567;k?011a?0120fad?3cga?gjl89:;<>lib:`oo567899h?02a0f>dkc9:;<==l4c9ahn6789:8o8l4bmi34567;j?011`?0120gad?3bga?gjl89:;<>mib:`oo567899o?02f0f>dkc9:;<==k4c9ahn6789:8h8l4bmi34567;m?011g?0120`ad?3ega?gjl89:;<>jib:`oo567899n?02g0f>dkc9:;<==j4c9ahn6789:8i8l4bmi34567;l?011f?0120aad?3dga?gjl89:;<>kib:`oo567899m?02d0f>dkc9:;<==i4c9ahn6789:8j8l4bmi34567;o?011e?0120bad?3gga?gjl89:;<>hib:`oo56789>;?0520f>dkc9:;<=:?4c9ahn6789:?<8l4bmi34567<9?0163;08`8fim789:;8=om;cnh45678=:in6lck1234527kk1i`f>?01274ad?41ga?gjl89:;<9>ib:`oo56789>:?0530f>dkc9:;<=:>4c9ahn6789:?=8l4bmi34567<8?0162;18`8fim789:;8?01275ad?40ga?gjl89:;<9?ib:`oo56789>9?0500f>dkc9:;<=:=4c9ahn6789:?>8l4bmi34567<;?0161;28`8fim789:;8?om;cnh45678=8in6lck1234525kk1i`f>?01276ad?43ga?gjl89:;<98?0510f>dkc9:;<=:<4c9ahn6789:??8l4bmi34567<:?0160;38`8fim789:;8>om;cnh45678=9in6lck1234524kk1i`f>?01277ad?42ga?gjl89:;<9=ib:`oo56789>??0560f>dkc9:;<=:;4c9ahn6789:?88l4bmi34567<=?0167;48`8fim789:;89om;cnh45678=>in6lck1234523kk1i`f>?01270ad?45ga?gjl89:;<9:ib:`oo56789>>?0570f>dkc9:;<=::4c9ahn6789:?98l4bmi34567<<?0166;58`8fim789:;88om;cnh45678=?in6lck1234522kk1i`f>?01271ad?44ga?gjl89:;<9;ib:`oo56789>=8h0nae?0123034e3kf`<=>?0540f>dkc9:;<=:94c9ahn6789:?:8l4bmi34567?0165;68`8fim789:;8;om;cnh45678=?01272ad?47ga?gjl89:;<98ib:`oo56789><?0550f>dkc9:;<=:84c9ahn6789:?;8l4bmi34567<>?0164;78`8fim789:;8:om;cnh45678==in6lck1234520kk1i`f>?01273ad?46ga?gjl89:;<99ib:`oo56789>3?05:0f>dkc9:;<=:74c9ahn6789:?48l4bmi34567<10j2hgg=>?016;;88`8fim789:;85om;cnh45678=2in6lck123452?kk1i`f>?0127?49ga?gjl89:;<96ib:`oo56789>2?05;0f>dkc9:;<=:64c9ahn6789:?58l4bmi34567<0?016:;98`8fim789:;84om;cnh45678=3in6lck123452>kk1i`f>?0127=ad?48ga?gjl89:;<97ib:`oo56789>j?05c0f>dkc9:;<=:n4c9ahn6789:?m8l4bmi34567?016b;a8`8fim789:;8lom;cnh45678=kin6lck123452fkk1i`f>?0127ead?4`ga?gjl89:;<9oib:`oo56789>i?05`0f>dkc9:;<=:m4c9ahn6789:?n8l4bmi34567?016a;b8`8fim789:;8oom;cnh45678=hin6lck123452ekk1i`f>?0127fad?4cga?gjl89:;<9lib:`oo56789>h?05a0f>dkc9:;<=:l4c9ahn6789:?o8l4bmi34567?016`;c8`8fim789:;8nom;cnh45678=iin6lck123452dkk1i`f>?0127gad?4bga?gjl89:;<9mib:`oo56789>o?05f0f>dkc9:;<=:k4c9ahn6789:?h8l4bmi34567?016g;d8`8fim789:;8iom;cnh45678=nin6lck123452ckk1i`f>?0127`ad?4ega?gjl89:;<9jib:`oo56789>n?05g0f>dkc9:;<=:j4c9ahn6789:?i8l4bmi34567?016f;e8`8fim789:;8hom;cnh45678=oin6lck123452bkk1i`f>?0127aad?4dga?gjl89:;<9kib:`oo56789>m?05d0f>dkc9:;<=:i4c9ahn6789:?j8l4bmi34567?016e;f8`8fim789:;8kom;cnh45678=lin6lck123452akk1i`f>?0127bad?4gga?gjl89:;<9hib:`oo56789?;?0420f>dkc9:;<=;?4c9ahn6789:><8l4bmi34567=9?0173:08`8fim789:;9=om;cnh45678<:in6lck1234537kk1i`f>?01264ad?51ga?gjl89:;<8>ib:`oo56789?:?0430f>dkc9:;<=;>4c9ahn6789:>=8l4bmi34567=8?0172:18`8fim789:;9?01265ad?50ga?gjl89:;<8?ib:`oo56789?9?0400f>dkc9:;<=;=4c9ahn6789:>>8l4bmi34567=;?0171:28`8fim789:;9?om;cnh45678<8in6lck1234535kk1i`f>?01266ad?53ga?gjl89:;<8?0410f>dkc9:;<=;<4c9ahn6789:>?8l4bmi34567=:?0170:38`8fim789:;9>om;cnh45678<9in6lck1234534kk1i`f>?01267ad?52ga?gjl89:;<8=ib:`oo56789???0460f>dkc9:;<=;;4c9ahn6789:>88l4bmi34567==?0177:48`8fim789:;99om;cnh45678<>in6lck1234533kk1i`f>?01260ad?55ga?gjl89:;<8:ib:`oo56789?>?0470f>dkc9:;<=;:4c9ahn6789:>98l4bmi34567=<?0176:58`8fim789:;98om;cnh45678?01261ad?54ga?gjl89:;<8;ib:`oo56789?=8h0nae?0123134e3kf`<=>?0440f>dkc9:;<=;94c9ahn6789:>:8l4bmi34567=??0175:68`8fim789:;9;om;cnh45678<?01262ad?57ga?gjl89:;<88ib:`oo56789?<?0450f>dkc9:;<=;84c9ahn6789:>;8l4bmi34567=>?0174:78`8fim789:;9:om;cnh45678<=in6lck1234530kk1i`f>?01263ad?56ga?gjl89:;<89ib:`oo56789?3?04:0f>dkc9:;<=;74c9ahn6789:>48l4bmi34567=10j2hgg=>?017;:88`8fim789:;95om;cnh45678<2in6lck123453?kk1i`f>?0126?59ga?gjl89:;<86ib:`oo56789?2?04;0f>dkc9:;<=;64c9ahn6789:>58l4bmi34567=0?017::98`8fim789:;94om;cnh45678<3in6lck123453>kk1i`f>?0126=ad?58ga?gjl89:;<87ib:`oo56789?j?04c0f>dkc9:;<=;n4c9ahn6789:>m8l4bmi34567=h?017b:a8`8fim789:;9lom;cnh45678?0126ead?5`ga?gjl89:;<8oib:`oo56789?i?04`0f>dkc9:;<=;m4c9ahn6789:>n8l4bmi34567=k?017a:b8`8fim789:;9oom;cnh45678?0126fad?5cga?gjl89:;<8lib:`oo56789?h?04a0f>dkc9:;<=;l4c9ahn6789:>o8l4bmi34567=j?017`:c8`8fim789:;9nom;cnh45678?0126gad?5bga?gjl89:;<8mib:`oo56789?o?04f0f>dkc9:;<=;k4c9ahn6789:>h8l4bmi34567=m?017g:d8`8fim789:;9iom;cnh45678?0126`ad?5ega?gjl89:;<8jib:`oo56789?n?04g0f>dkc9:;<=;j4c9ahn6789:>i8l4bmi34567=l?017f:e8`8fim789:;9hom;cnh45678?0126aad?5dga?gjl89:;<8kib:`oo56789?m?04d0f>dkc9:;<=;i4c9ahn6789:>j8l4bmi34567=o?017e:f8`8fim789:;9kom;cnh45678?0126bad?5gga?gjl89:;<8hib:`oo56789<;?0720f>dkc9:;<=8?4c9ahn6789:=<8l4bmi34567>9?0143908`8fim789:;:=om;cnh45678?:in6lck1234507kk1i`f>?01254ad?61ga?gjl89:;<;>ib:`oo56789<:?0730f>dkc9:;<=8>4c9ahn6789:==8l4bmi34567>8?0142918`8fim789:;:?01255ad?60ga?gjl89:;<;?ib:`oo56789<9?0700f>dkc9:;<=8=4c9ahn6789:=>8l4bmi34567>;?0141928`8fim789:;:?om;cnh45678?8in6lck1234505kk1i`f>?01256ad?63ga?gjl89:;<;?0710f>dkc9:;<=8<4c9ahn6789:=?8l4bmi34567>:?0140938`8fim789:;:>om;cnh45678?9in6lck1234504kk1i`f>?01257ad?62ga?gjl89:;<;=ib:`oo56789?0760f>dkc9:;<=8;4c9ahn6789:=88l4bmi34567>=?0147948`8fim789:;:9om;cnh45678?>in6lck1234503kk1i`f>?01250ad?65ga?gjl89:;<;:ib:`oo56789<>?0770f>dkc9:;<=8:4c9ahn6789:=98l4bmi34567><?0146958`8fim789:;:8om;cnh45678??in6lck1234502kk1i`f>?01251ad?64ga?gjl89:;<;;ib:`oo56789<=8h0nae?0123234e3kf`<=>?0740f>dkc9:;<=894c9ahn6789:=:8l4bmi34567>??0145968`8fim789:;:;om;cnh45678??01252ad?67ga?gjl89:;<;8ib:`oo56789<<?0750f>dkc9:;<=884c9ahn6789:=;8l4bmi34567>>?0144978`8fim789:;::om;cnh45678?=in6lck1234500kk1i`f>?01253ad?66ga?gjl89:;<;9ib:`oo56789<3?07:0f>dkc9:;<=874c9ahn6789:=48l4bmi34567>10j2hgg=>?014;988`8fim789:;:5om;cnh45678?2in6lck123450?kk1i`f>?0125?69ga?gjl89:;<;6ib:`oo56789<2?07;0f>dkc9:;<=864c9ahn6789:=58l4bmi34567>0?014:998`8fim789:;:4om;cnh45678?3in6lck123450>kk1i`f>?0125=ad?68ga?gjl89:;<;7ib:`oo56789?07c0f>dkc9:;<=8n4c9ahn6789:=m8l4bmi34567>h?014b9a8`8fim789:;:lom;cnh45678?kin6lck123450fkk1i`f>?0125ead?6`ga?gjl89:;<;oib:`oo56789?07`0f>dkc9:;<=8m4c9ahn6789:=n8l4bmi34567>k?014a9b8`8fim789:;:oom;cnh45678?hin6lck123450ekk1i`f>?0125fad?6cga?gjl89:;<;lib:`oo56789?07a0f>dkc9:;<=8l4c9ahn6789:=o8l4bmi34567>j?014`9c8`8fim789:;:nom;cnh45678?iin6lck123450dkk1i`f>?0125gad?6bga?gjl89:;<;mib:`oo56789?07f0f>dkc9:;<=8k4c9ahn6789:=h8l4bmi34567>m?014g9d8`8fim789:;:iom;cnh45678?nin6lck123450ckk1i`f>?0125`ad?6ega?gjl89:;<;jib:`oo56789?07g0f>dkc9:;<=8j4c9ahn6789:=i8l4bmi34567>l?014f9e8`8fim789:;:hom;cnh45678?oin6lck123450bkk1i`f>?0125aad?6dga?gjl89:;<;kib:`oo56789?07d0f>dkc9:;<=8i4c9ahn6789:=j8l4bmi34567>o?014e9f8`8fim789:;:kom;cnh45678?lin6lck123450akk1i`f>?0125bad?6gga?gjl89:;<;hib:`oo56789=;?0620f>dkc9:;<=9?4c9ahn6789:<<8l4bmi34567?9?0153808`8fim789:;;=om;cnh45678>:in6lck1234517kk1i`f>?01244ad?71ga?gjl89:;<:>ib:`oo56789=:?0630f>dkc9:;<=9>4c9ahn6789:<=8l4bmi34567?8?0152818`8fim789:;;;in6lck1234516kk1i`f>?01245ad?70ga?gjl89:;<:?ib:`oo56789=9?0600f>dkc9:;<=9=4c9ahn6789:<>8l4bmi34567?;?0151828`8fim789:;;?om;cnh45678>8in6lck1234515kk1i`f>?01246ad?73ga?gjl89:;<:?0610f>dkc9:;<=9<4c9ahn6789:?0150838`8fim789:;;>om;cnh45678>9in6lck1234514kk1i`f>?01247ad?72ga?gjl89:;<:=ib:`oo56789=??0660f>dkc9:;<=9;4c9ahn6789:<88l4bmi34567?=?0157848`8fim789:;;9om;cnh45678>>in6lck1234513kk1i`f>?01240ad?75ga?gjl89:;<::ib:`oo56789=>?0670f>dkc9:;<=9:4c9ahn6789:<98l4bmi34567?<?0156858`8fim789:;;8om;cnh45678>?in6lck1234512kk1i`f>?01241ad?74ga?gjl89:;<:;ib:`oo56789==8h0nae?0123334e3kf`<=>?0640f>dkc9:;<=994c9ahn6789:<:8l4bmi34567???0155868`8fim789:;;;om;cnh45678>?01242ad?77ga?gjl89:;<:8ib:`oo56789=<?0650f>dkc9:;<=984c9ahn6789:<;8l4bmi34567?>?0154878`8fim789:;;:om;cnh45678>=in6lck1234510kk1i`f>?01243ad?76ga?gjl89:;<:9ib:`oo56789=3?06:0f>dkc9:;<=974c9ahn6789:<48l4bmi34567?10j2hgg=>?015;888`8fim789:;;5om;cnh45678>2in6lck123451?kk1i`f>?0124?79ga?gjl89:;<:6ib:`oo56789=2?06;0f>dkc9:;<=964c9ahn6789:<58l4bmi34567?0?015:898`8fim789:;;4om;cnh45678>3in6lck123451>kk1i`f>?0124=ad?78ga?gjl89:;<:7ib:`oo56789=j?06c0f>dkc9:;<=9n4c9ahn6789:?015b8a8`8fim789:;;lom;cnh45678>kin6lck123451fkk1i`f>?0124ead?7`ga?gjl89:;<:oib:`oo56789=i?06`0f>dkc9:;<=9m4c9ahn6789:?015a8b8`8fim789:;;oom;cnh45678>hin6lck123451ekk1i`f>?0124fad?7cga?gjl89:;<:lib:`oo56789=h?06a0f>dkc9:;<=9l4c9ahn6789:?015`8c8`8fim789:;;nom;cnh45678>iin6lck123451dkk1i`f>?0124gad?7bga?gjl89:;<:mib:`oo56789=o?06f0f>dkc9:;<=9k4c9ahn6789:?015g8d8`8fim789:;;iom;cnh45678>nin6lck123451ckk1i`f>?0124`ad?7ega?gjl89:;<:jib:`oo56789=n?06g0f>dkc9:;<=9j4c9ahn6789:?015f8e8`8fim789:;;hom;cnh45678>oin6lck123451bkk1i`f>?0124aad?7dga?gjl89:;<:kib:`oo56789=m?06d0f>dkc9:;<=9i4c9ahn6789:?015e8f8`8fim789:;;kom;cnh45678>lin6lck123451akk1i`f>?0124bad?7gga?gjl89:;<:hib:`oo567892;?0920f>dkc9:;<=6?4c9ahn6789:3<8l4bmi3456709?01:3708`8fim789:;4=om;cnh456781:in6lck12345>7kk1i`f>?012;4ad?81ga?gjl89:;<5>ib:`oo567892:?0930f>dkc9:;<=6>4c9ahn6789:3=8l4bmi3456708?01:2718`8fim789:;46kk1i`f>?012;5ad?80ga?gjl89:;<5?ib:`oo5678929?0900f>dkc9:;<=6=4c9ahn6789:3>8l4bmi345670;?01:1728`8fim789:;4?om;cnh4567818in6lck12345>5kk1i`f>?012;6ad?83ga?gjl89:;<5?0910f>dkc9:;<=6<4c9ahn6789:3?8l4bmi345670:?01:0738`8fim789:;4>om;cnh4567819in6lck12345>4kk1i`f>?012;7ad?82ga?gjl89:;<5=ib:`oo567892??0960f>dkc9:;<=6;4c9ahn6789:388l4bmi345670=?01:7748`8fim789:;49om;cnh456781>in6lck12345>3kk1i`f>?012;0ad?85ga?gjl89:;<5:ib:`oo567892>?0970f>dkc9:;<=6:4c9ahn6789:398l4bmi345670<?01:6758`8fim789:;48om;cnh456781?in6lck12345>2kk1i`f>?012;1ad?84ga?gjl89:;<5;ib:`oo567892=8h0nae?0123<34e3kf`<=>?0940f>dkc9:;<=694c9ahn6789:3:8l4bmi345670??01:5768`8fim789:;4;om;cnh4567811kk1i`f>?012;2ad?87ga?gjl89:;<58ib:`oo567892<?0950f>dkc9:;<=684c9ahn6789:3;8l4bmi345670>?01:4778`8fim789:;4:om;cnh456781=in6lck12345>0kk1i`f>?012;3ad?86ga?gjl89:;<59ib:`oo5678923?09:0f>dkc9:;<=674c9ahn6789:348l4bmi34567010j2hgg=>?01:;788`8fim789:;45om;cnh4567812in6lck12345>?kk1i`f>?012;?89ga?gjl89:;<56ib:`oo5678922?09;0f>dkc9:;<=664c9ahn6789:358l4bmi3456700?01::798`8fim789:;44om;cnh4567813in6lck12345>>kk1i`f>?012;=ad?88ga?gjl89:;<57ib:`oo567892j?09c0f>dkc9:;<=6n4c9ahn6789:3m8l4bmi345670h?01:b7a8`8fim789:;4lom;cnh456781kin6lck12345>fkk1i`f>?012;ead?8`ga?gjl89:;<5oib:`oo567892i?09`0f>dkc9:;<=6m4c9ahn6789:3n8l4bmi345670k?01:a7b8`8fim789:;4oom;cnh456781hin6lck12345>ekk1i`f>?012;fad?8cga?gjl89:;<5lib:`oo567892h?09a0f>dkc9:;<=6l4c9ahn6789:3o8l4bmi345670j?01:`7c8`8fim789:;4nom;cnh456781iin6lck12345>dkk1i`f>?012;gad?8bga?gjl89:;<5mib:`oo567892o?09f0f>dkc9:;<=6k4c9ahn6789:3h8l4bmi345670m?01:g7d8`8fim789:;4iom;cnh456781nin6lck12345>ckk1i`f>?012;`ad?8ega?gjl89:;<5jib:`oo567892n?09g0f>dkc9:;<=6j4c9ahn6789:3i8l4bmi345670l?01:f7e8`8fim789:;4hom;cnh456781oin6lck12345>bkk1i`f>?012;aad?8dga?gjl89:;<5kib:`oo567892m?09d0f>dkc9:;<=6i4c9ahn6789:3j8l4bmi345670o?01:e7f8`8fim789:;4kom;cnh456781lin6lck12345>akk1i`f>?012;bad?8gga?gjl89:;<5hib:`oo567893;88h0nae?0123=54e3kf`<=>?0820f>dkc9:;<=7?4c9ahn6789:2<8l4bmi3456719?01;3608`8fim789:;5=om;cnh456780:in6lck12345?7kk1i`f>?012:4ad?91ga?gjl89:;<4>ib:`oo567893:98h0nae?0123=44e3kf`<=>?0830f>dkc9:;<=7>4c9ahn6789:2=8l4bmi3456718?01;2618`8fim789:;5?012:5ad?90ga?gjl89:;<4?ib:`oo5678939:8h0nae?0123=74e3kf`<=>?0800f>dkc9:;<=7=4c9ahn6789:2>8l4bmi345671;?01;1628`8fim789:;5?om;cnh4567808in6lck12345?5kk1i`f>?012:6ad?93ga?gjl89:;<4;8h0nae?0123=64e3kf`<=>?0810f>dkc9:;<=7<4c9ahn6789:2?8l4bmi345671:?01;0638`8fim789:;5>om;cnh4567809in6lck12345?4kk1i`f>?012:7ad?92ga?gjl89:;<4=ib:`oo567893?<8h0nae?0123=14e3kf`<=>?0860f>dkc9:;<=7;4c9ahn6789:288l4bmi345671=?01;7648`8fim789:;59om;cnh456780>in6lck12345?3kk1i`f>?012:0ad?95ga?gjl89:;<4:ib:`oo567893>=8h0nae?0123=04e3kf`<=>?0870f>dkc9:;<=7:4c9ahn6789:298l4bmi345671<?01;6658`8fim789:;58om;cnh456780?in6lck12345?2kk1i`f>?012:1ad?94ga?gjl89:;<4;ib:`oo567893=>8h0nae?0123=34e3kf`<=>?0840f>dkc9:;<=794c9ahn6789:2:8l4bmi345671??01;5668`8fim789:;5;om;cnh456780?012:2ad?97ga?gjl89:;<48ib:`oo567893<?8h0nae?0123=24e3kf`<=>?0850f>dkc9:;<=784c9ahn6789:2;8l4bmi345671>?01;4678`8fim789:;5:om;cnh456780=in6lck12345?0kk1i`f>?012:3ad?96ga?gjl89:;<49ib:`oo567893308h0nae?0123==4e3kf`<=>?08:0f>dkc9:;<=774c9ahn6789:248l4bmi34567110j2hgg=>?01;;688`8fim789:;55om;cnh4567802in6lck12345??kk1i`f>?012:?99ga?gjl89:;<46ib:`oo567893218h0nae?0123=<4e3kf`<=>?08;0f>dkc9:;<=764c9ahn6789:258l4bmi3456710?01;:698`8fim789:;54om;cnh4567803in6lck12345?>kk1i`f>?012:=ad?98ga?gjl89:;<47ib:`oo567893ji8h0nae?0123=d4e3kf`<=>?08c0f>dkc9:;<=7n4c9ahn6789:2m8l4bmi345671h?01;b6a8`8fim789:;5lom;cnh456780kin6lck12345?fkk1i`f>?012:ead?9`ga?gjl89:;<4oib:`oo567893ij8h0nae?0123=g4e3kf`<=>?08`0f>dkc9:;<=7m4c9ahn6789:2n8l4bmi345671k?01;a6b8`8fim789:;5oom;cnh456780hin6lck12345?ekk1i`f>?012:fad?9cga?gjl89:;<4lib:`oo567893hk8h0nae?0123=f4e3kf`<=>?08a0f>dkc9:;<=7l4c9ahn6789:2o8l4bmi345671j?01;`6c8`8fim789:;5nom;cnh456780iin6lck12345?dkk1i`f>?012:gad?9bga?gjl89:;<4mib:`oo567893ol8h0nae?0123=a4e3kf`<=>?08f0f>dkc9:;<=7k4c9ahn6789:2h8l4bmi345671m?01;g6d8`8fim789:;5iom;cnh456780nin6lck12345?ckk1i`f>?012:`ad?9ega?gjl89:;<4jib:`oo567893nm8h0nae?0123=`4e3kf`<=>?08g0f>dkc9:;<=7j4c9ahn6789:2i8l4bmi345671l?01;f6e8`8fim789:;5hom;cnh456780oin6lck12345?bkk1i`f>?012:aad?9dga?gjl89:;<4kib:`oo567893mn8h0nae?0123=c4e3kf`<=>?08d0f>dkc9:;<=7i4c9ahn6789:2j8l4bmi345671o?01;e6f8`8fim789:;5kom;cnh456780lin6lck12345?akk1i`f>?012:bad?9gga?gjl89:;<4hib:`oo56789k;?0`20f>dkc9:;<=o?4c9ahn6789:j<8l4bmi34567i9?01c3n08`8fim789:;m=om;cnh45678h:in6lck12345g7kk1i`f>?012b4ad?a1ga?gjl89:;ib:`oo56789k:?0`30f>dkc9:;<=o>4c9ahn6789:j=8l4bmi34567i8?01c2n18`8fim789:;m?012b5ad?a0ga?gjl89:;?0`00f>dkc9:;<=o=4c9ahn6789:j>8l4bmi34567i;?01c1n28`8fim789:;m?om;cnh45678h8in6lck12345g5kk1i`f>?012b6ad?a3ga?gjl89:;?0`10f>dkc9:;<=o<4c9ahn6789:j?8l4bmi34567i:?01c0n38`8fim789:;m>om;cnh45678h9in6lck12345g4kk1i`f>?012b7ad?a2ga?gjl89:;?0`60f>dkc9:;<=o;4c9ahn6789:j88l4bmi34567i=?01c7n48`8fim789:;m9om;cnh45678h>in6lck12345g3kk1i`f>?012b0ad?a5ga?gjl89:;?0`70f>dkc9:;<=o:4c9ahn6789:j98l4bmi34567i<?01c6n58`8fim789:;m8om;cnh45678h?in6lck12345g2kk1i`f>?012b1ad?a4ga?gjl89:;8h0nae?0123e34e3kf`<=>?0`40f>dkc9:;<=o94c9ahn6789:j:8l4bmi34567i??01c5n68`8fim789:;m;om;cnh45678h?012b2ad?a7ga?gjl89:;?0`50f>dkc9:;<=o84c9ahn6789:j;8l4bmi34567i>?01c4n78`8fim789:;m:om;cnh45678h=in6lck12345g0kk1i`f>?012b3ad?a6ga?gjl89:;?0`:0f>dkc9:;<=o74c9ahn6789:j48l4bmi34567i10j2hgg=>?01c;n88`8fim789:;m5om;cnh45678h2in6lck12345g?kk1i`f>?012b?a9ga?gjl89:;?0`;0f>dkc9:;<=o64c9ahn6789:j58l4bmi34567i0?01c:n98`8fim789:;m4om;cnh45678h3in6lck12345g>kk1i`f>?012b=ad?a8ga?gjl89:;?0`c0f>dkc9:;<=on4c9ahn6789:jm8l4bmi34567ih?01cbna8`8fim789:;mlom;cnh45678hkin6lck12345gfkk1i`f>?012bead?a`ga?gjl89:;?0``0f>dkc9:;<=om4c9ahn6789:jn8l4bmi34567ik?01canb8`8fim789:;moom;cnh45678hhin6lck12345gekk1i`f>?012bfad?acga?gjl89:;?0`a0f>dkc9:;<=ol4c9ahn6789:jo8l4bmi34567ij?01c`nc8`8fim789:;mnom;cnh45678hiin6lck12345gdkk1i`f>?012bgad?abga?gjl89:;?0`f0f>dkc9:;<=ok4c9ahn6789:jh8l4bmi34567im?01cgnd8`8fim789:;miom;cnh45678hnin6lck12345gckk1i`f>?012b`ad?aega?gjl89:;?0`g0f>dkc9:;<=oj4c9ahn6789:ji8l4bmi34567il?01cfne8`8fim789:;mhom;cnh45678hoin6lck12345gbkk1i`f>?012baad?adga?gjl89:;?0`d0f>dkc9:;<=oi4c9ahn6789:jj8l4bmi34567io?01cenf8`8fim789:;mkom;cnh45678hlin6lck12345gakk1i`f>?012bbad?agga?gjl89:;?0c20f>dkc9:;<=l?4c9ahn6789:i<8l4bmi34567j9?01`3m08`8fim789:;n=om;cnh45678k:in6lck12345d7kk1i`f>?012a4ad?b1ga?gjl89:;ib:`oo56789h:?0c30f>dkc9:;<=l>4c9ahn6789:i=8l4bmi34567j8?01`2m18`8fim789:;n?012a5ad?b0ga?gjl89:;?0c00f>dkc9:;<=l=4c9ahn6789:i>8l4bmi34567j;?01`1m28`8fim789:;n?om;cnh45678k8in6lck12345d5kk1i`f>?012a6ad?b3ga?gjl89:;?0c10f>dkc9:;<=l<4c9ahn6789:i?8l4bmi34567j:?01`0m38`8fim789:;n>om;cnh45678k9in6lck12345d4kk1i`f>?012a7ad?b2ga?gjl89:;?0c60f>dkc9:;<=l;4c9ahn6789:i88l4bmi34567j=?01`7m48`8fim789:;n9om;cnh45678k>in6lck12345d3kk1i`f>?012a0ad?b5ga?gjl89:;?0c70f>dkc9:;<=l:4c9ahn6789:i98l4bmi34567j<?01`6m58`8fim789:;n8om;cnh45678k?in6lck12345d2kk1i`f>?012a1ad?b4ga?gjl89:;8h0nae?0123f34e3kf`<=>?0c40f>dkc9:;<=l94c9ahn6789:i:8l4bmi34567j??01`5m68`8fim789:;n;om;cnh45678k?012a2ad?b7ga?gjl89:;?0c50f>dkc9:;<=l84c9ahn6789:i;8l4bmi34567j>?01`4m78`8fim789:;n:om;cnh45678k=in6lck12345d0kk1i`f>?012a3ad?b6ga?gjl89:;?0c:0f>dkc9:;<=l74c9ahn6789:i48l4bmi34567j10j2hgg=>?01`;m88`8fim789:;n5om;cnh45678k2in6lck12345d?kk1i`f>?012a?b9ga?gjl89:;?0c;0f>dkc9:;<=l64c9ahn6789:i58l4bmi34567j0?01`:m98`8fim789:;n4om;cnh45678k3in6lck12345d>kk1i`f>?012a=ad?b8ga?gjl89:;?0cc0f>dkc9:;<=ln4c9ahn6789:im8l4bmi34567jh?01`bma8`8fim789:;nlom;cnh45678kkin6lck12345dfkk1i`f>?012aead?b`ga?gjl89:;?0c`0f>dkc9:;<=lm4c9ahn6789:in8l4bmi34567jk?01`amb8`8fim789:;noom;cnh45678khin6lck12345dekk1i`f>?012afad?bcga?gjl89:;?0ca0f>dkc9:;<=ll4c9ahn6789:io8l4bmi34567jj?01``mc8`8fim789:;nnom;cnh45678kiin6lck12345ddkk1i`f>?012agad?bbga?gjl89:;?0cf0f>dkc9:;<=lk4c9ahn6789:ih8l4bmi34567jm?01`gmd8`8fim789:;niom;cnh45678knin6lck12345dckk1i`f>?012a`ad?bega?gjl89:;?0cg0f>dkc9:;<=lj4c9ahn6789:ii8l4bmi34567jl?01`fme8`8fim789:;nhom;cnh45678koin6lck12345dbkk1i`f>?012aaad?bdga?gjl89:;?0cd0f>dkc9:;<=li4c9ahn6789:ij8l4bmi34567jo?01`emf8`8fim789:;nkom;cnh45678klin6lck12345dakk1i`f>?012abad?bgga?gjl89:;?0b20f>dkc9:;<=m?4c9ahn6789:h<8l4bmi34567k9?01a3l08`8fim789:;o=om;cnh45678j:in6lck12345e7kk1i`f>?012`4ad?c1ga?gjl89:;ib:`oo56789i:?0b30f>dkc9:;<=m>4c9ahn6789:h=8l4bmi34567k8?01a2l18`8fim789:;o?012`5ad?c0ga?gjl89:;?0b00f>dkc9:;<=m=4c9ahn6789:h>8l4bmi34567k;?01a1l28`8fim789:;o?om;cnh45678j8in6lck12345e5kk1i`f>?012`6ad?c3ga?gjl89:;?0b10f>dkc9:;<=m<4c9ahn6789:h?8l4bmi34567k:?01a0l38`8fim789:;o>om;cnh45678j9in6lck12345e4kk1i`f>?012`7ad?c2ga?gjl89:;?0b60f>dkc9:;<=m;4c9ahn6789:h88l4bmi34567k=?01a7l48`8fim789:;o9om;cnh45678j>in6lck12345e3kk1i`f>?012`0ad?c5ga?gjl89:;?0b70f>dkc9:;<=m:4c9ahn6789:h98l4bmi34567k<?01a6l58`8fim789:;o8om;cnh45678j?in6lck12345e2kk1i`f>?012`1ad?c4ga?gjl89:;8h0nae?0123g34e3kf`<=>?0b40f>dkc9:;<=m94c9ahn6789:h:8l4bmi34567k??01a5l68`8fim789:;o;om;cnh45678j?012`2ad?c7ga?gjl89:;?0b50f>dkc9:;<=m84c9ahn6789:h;8l4bmi34567k>?01a4l78`8fim789:;o:om;cnh45678j=in6lck12345e0kk1i`f>?012`3ad?c6ga?gjl89:;?0b:0f>dkc9:;<=m74c9ahn6789:h48l4bmi34567k10j2hgg=>?01a;l88`8fim789:;o5om;cnh45678j2in6lck12345e?kk1i`f>?012`?c9ga?gjl89:;?0b;0f>dkc9:;<=m64c9ahn6789:h58l4bmi34567k0?01a:l98`8fim789:;o4om;cnh45678j3in6lck12345e>kk1i`f>?012`=ad?c8ga?gjl89:;?0bc0f>dkc9:;<=mn4c9ahn6789:hm8l4bmi34567kh?01abla8`8fim789:;olom;cnh45678jkin6lck12345efkk1i`f>?012`ead?c`ga?gjl89:;?0b`0f>dkc9:;<=mm4c9ahn6789:hn8l4bmi34567kk?01aalb8`8fim789:;ooom;cnh45678jhin6lck12345eekk1i`f>?012`fad?ccga?gjl89:;?0ba0f>dkc9:;<=ml439`ad=d`hdTec&?)b9`ldhXag6;6=0m;bjbjZir|!:"h6mgao]lqq:729487nbdb:alacYcag";%o5lodd\`lh/9 i0obki_ekm,46.k2idikQkio*25,eehmoUoec&>3(a8gjcaWmce$<:&c:alacYcag":9$m4cnge[aoi 8<"o6m`eg]gmk.6? h0obki_ekm,7/e3jenjRjfn)1*f>ehmoUoec&;)c9`k``Xl`d#9$l4cnge[aoi ?#i7najf^fjj-1.j2idikQkio*;-g=dgllThd`'9(`8gjcaWmce0=0l;bmfbZbnf5;;2n5lodd\`lh;984h7najf^fjj9756j1hchhPdhl?568d3jenjRjfn=37:f=dgllThd`314<`?fibnVnbb1?9>d9`k``Xl`d7=:4?>b9`k``Xl`d7=:0m;bmfbZbnf5;5n6m`eg]gmk:56k1hchhPdhl?7;d0obki_gkop`tX{lf7<7>17:alacYkl>1hchhPwdc8`drfWkgei84dhl+4,01oec&>3(58`lh/9=#<7iga(07*3>bnf!;=%:5kio*23,12nbb%<&7:fjj-47!>1oec&=1(58`lh/:;#<7iga(31*2>bnf!9":6jfn)6*2>bnf!?":6jfn)4*2>bnf!=":6jfn):*2>bnf!3"56jfn=00>58?3mceS<&?)99gmkY6 8#27iga_0*24,?)89gmkY6 88"56jfn^3+56/>3mceS<&>4(;8`lhX9!;>%45kio]2,40.12nbbR?'16+:?aoiW8":4$74dhl\5-7>!11oecQ>(3+:?aoiW8"9<$74dhl\5-46!01oecQ>(30*=>bnfV;#>>'7;ekm[4.4!11oecQ>(5+;?aoiW8">%55kio]2,3/?3mceS<&8)99gmkY6 1#37iga_0*:-<=cagU:<%>&9:fjjZ77 8#j7iga_02+55/f3mceS<>'10+b?aoiW8:#=?'n;ekm[46/9:#j7iga_02+51/f3mceS<>'14+b?aoiW8:#=;'n;ekm[46/9>#j7iga_02+5=/f3mceS<>'18+:?aoiW8:#>$o4dhl\55.58 k0hd`P11*15,g0)6*=>bnfV;;$8'6;ekm[46/> 30hd`P11*4-<=cagU:<%6&9:fjjZ77 0#h7iga_02?66<7601oecQ>1)2*=>bnfV;:$<'n;ekm[47/99#j7iga_03+54/f3mceS'n;ekm[47/9=#j7iga_03+50/f3mceS3mceS(4+:?aoiW8;#:$74dhl\54.0!01oecQ>1):*=>bnfV;:$4'l;ekm[47;::0;245kio]26-6.12nbbR?=(0+b?aoiW88#=='n;ekm[44/98#j7iga_00+57/f3mceS<<'12+b?aoiW88#=9'n;ekm[44/9<#j7iga_00+53/f3mceS<<'16+b?aoiW88#=5'n;ekm[44/90#27iga_00+6,g"56jfn^31,0/>3mceS<<'6(;8`lhX9;"<%45kio]26->.12nbbR?=(8+`?aoiW887>>4?>89gmkY6;!:"56jfn^30,4/f3mceS<='11+b?aoiW89#=<'n;ekm[45/9;#j7iga_01+56/f3mceS<='15+b?aoiW89#=8'n;ekm[45/9?#j7iga_01+52/f3mceS<='19+b?aoiW89#=4'6;ekm[45/: k0hd`P12*14,g&=1(c8`lhX9:"9>$o4dhl\56.5; 30hd`P12*0-<=cagU:?%:&9:fjjZ74 <#27iga_01+2,?&8)89gmkY6;!2"56jfn^30,&9:fjjZ73 8#j7iga_06+55/f3mceS<:'10+b?aoiW8>#=?'n;ekm[42/9:#j7iga_06+51/f3mceS<:'14+b?aoiW8>#=;'n;ekm[42/9>#j7iga_06+5=/f3mceS<:'18+:?aoiW8>#>$o4dhl\51.58 k0hd`P15*15,g4)6*=>bnfV;?$8'6;ekm[42/> 30hd`P15*4-<=cagU:8%6&9:fjjZ73 0#h7iga_06?66<7601oecQ>5)2*=>bnfV;>$<'n;ekm[43/99#j7iga_07+54/f3mceS<;'13+b?aoiW8?#=>'n;ekm[43/9=#j7iga_07+50/f3mceS<;'17+b?aoiW8?#=:'n;ekm[43/91#j7iga_07+53mceS<;'2(c8`lhX9<"9<$o4dhl\50.59 k0hd`P14*16,g5):*=>bnfV;>$4'l;ekm[43;::0;245kio]22-6.12nbbR?9(0+b?aoiW8<#=='n;ekm[40/98#j7iga_04+57/f3mceS<8'12+b?aoiW8<#=9'n;ekm[40/9<#j7iga_04+53/f3mceS<8'16+b?aoiW8<#=5'n;ekm[40/90#27iga_04+6,g!>"56jfn^35,0/>3mceS<8'6(;8`lhX9?"<%45kio]22->.12nbbR?9(8+`?aoiW8<7>>4?>99gmkY649427iga_0>24;?>89gmkY6488556jfn^3?568>3mceS<2>4?;8`lhX95;>245kio]2840912nbbR?316<:?aoiW86:4374dhl\597>611oecQ><0<:?aoiW869<374dhl\5946601oecQ><30=f>bnfV;7>>4?>89gmkY64;9546jfn^3?6;>bnfV;7:364dhl\591902nbbR?38?:8`lhX953546jfn^0+4,>%?&9:fjjZ4/99#27iga_3*25,?%?=)89gmkY5 89"56jfn^0+51/>3mceS?&>5(;8`lhX:!;=%45kio]1,41.12nbbR<'19+:?aoiW;":5$64dhl\6-4.12nbbR<'21+:?aoiW;"9=$74dhl\6-45!01oecQ=(31*<>bnfV8#?$64dhl\6-2.02nbbR<'5(:8`lhX:!<"46jfn^0+3,>%6&8:fjjZ4/1 20hd`P2=2==>bnfV87==06;ekm[7:69730hd`P2=31:<=cagU90<=19:fjjZ4;9=427iga_3>21;?1?9>89gmkY548=556jfn^0?5=8>3mceS?2>9?:8`lhX:5;556jfn^0?658>3mceS?2=1?;8`lhX:5892o5kio]1875=8730hd`P2=00:==cagU90?07;ekm[7:4611oecQ=<5<;?aoiW;6>255kio]1838?3mceS?28>99gmkY541437iga_3>::==cagU8$='7;ekm[6.6!01oecQ<(02*=>bnfV9#=<'6;ekm[6.6: 30hd`P3)30-<=cagU8$<:&9:fjjZ5/9<#27iga_2*22,?&=)89gmkY4 ;:"56jfn^1+64/>3mceS>&=2(;8`lhX;!88%55kio]0,6/?3mceS>&;)99gmkY4 <#37iga_2*5-==cagU8$:'7;ekm[6.?!11oecQ<(8+a?aoiW:69?7>18:fjjZ2/8 20hd`P4)3*=>bnfV>#=='6;ekm[1.69 30hd`P4)31-<=cagU?$<=&9:fjjZ2/9=#27iga_5*21,?3mceS9&=1(;8`lhX"46jfn^6+1,>bnfV>#5$l4dhl\094429437iga_4*3-==cagU>$<'6;ekm[0.68 30hd`P5)32-<=cagU>$<<&9:fjjZ3/9:#27iga_4*20,?3mceS8&>8(;8`lhX=!;2%55kio]6,7/>3mceS8&=0(;8`lhX=!8:%45kio]6,74.12nbbR;'22+;?aoiW<"8%55kio]6,1/?3mceS8&:)99gmkY2 ?#37iga_4*4-==cagU>$5'7;ekm[0.>!k1oecQ:<3194;>&8:fjjZ0/9 30hd`P6)33-<=cagU=$3mceS;&>7(;8`lhX>!;3%45kio]5,4?.02nbbR8'2(;8`lhX>!8;%45kio]5,77.12nbbR8'23+:?aoiW?"9?$64dhl\2-5.02nbbR8'4(:8`lhX>!?"46jfn^4+2,>bnfV<7>>4?>99gmkY0 9#37iga_6*2-<=cagU<$<>&9:fjjZ1/98#27iga_6*26,?"56jfn^5+50/>3mceS:&>6(;8`lhX?!;<%45kio]4,4>.12nbbR9'18+;?aoiW>"9%45kio]4,76.12nbbR9'20+:?aoiW>"9>$74dhl\3-44!11oecQ8(2+;?aoiW>"?%55kio]4,0/?3mceS:&9)99gmkY0 >#37iga_6*;-==cagU<$4'm;ekm[2:5;3:546jfn^:+4,>/99#27iga_9*25,?3mceS5&>5(;8`lhX0!;=%45kio];,41.12nbbR6'19+:?aoiW1":5$64dhl\<-4.12nbbR6'21+:?aoiW1"9=$74dhl\<-45!01oecQ7(31*<>bnfV2#?$64dhl\<-2.02nbbR6'5(:8`lhX0!<"46jfn^:+3,>/1 h0hd`P8=00>58?3mceS4&?)99gmkY> 8#27iga_8*24,?)89gmkY> 88"56jfn^;+56/>3mceS4&>4(;8`lhX1!;>%45kio]:,40.12nbbR7'16+:?aoiW0":4$74dhl\=-7>!11oecQ6(3+:?aoiW0"9<$74dhl\=-46!01oecQ6(30*=>bnfV3#>>'7;ekm[<.4!11oecQ6(5+;?aoiW0">%55kio]:,3/?3mceS4&8)99gmkY> 1#37iga_8*:-g=cagU20?=50?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(:8`jss :8"46j`uu*07,>:&8:flqq.4= 20hb{{(24*<>bh}}"8;$64dnww,6>.02ndyy&<9(58`jss =#37iazt)63-==cg|~#8<'7;emvp-25!11ocxz'42+;?air|!>?%55kotv+00/?3me~x%:9)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$o4dnww810=8730hb{{_0*3-<=cg|~T=%?&a:flqqY6 8:"m6j`uu]2,47.i2ndyyQ>(00*e>bh}}U:$<=&a:flqqY6 8>"m6j`uu]2,43.i2ndyyQ>(04*e>bh}}U:$<9&a:flqqY6 82"m6j`uu]2,4?.12ndyyQ>(3+b?air|V;#>='n;emvpZ7/:8#j7iazt^3+67/f3me~xR?'22+b?air|V;#>9'n;emvpZ7/:<#j7iazt^3+63/f3me~xR?'26+b?air|V;#>5'n;emvpZ7/:0#27iazt^3+7,g k0hb{{_0*03,g)`9gkprX9!>9%l5kotv\5-24!h1ocxzP1)67-d=cg|~T=%::)`9gkprX9!>=%45kotv\5-3.12ndyyQ>(7+:?air|V;#;$74dnww[4.?!01ocxzP1);*e>bh}}U:<%>&a:flqqY68!;"n6j`uu]24-77!k1ocxzP11*25,d'13+a?air|V;;$<=&b:flqqY68!;?%o5kotv\55.6= h0hb{{_02+53/e3me~xR??(05*f>bh}}U:<%?7)c9gkprX99":5$o4dnww[46/: h0hb{{_02+65/e3me~xR??(33*f>bh}}U:<%<=)c9gkprX99"9?$l4dnww[46/:=#i7iazt^33,73.j2ndyyQ>0)05-g=cg|~T==&=7(`8`jssW8:#>5'm;emvpZ77 ;3"m6j`uu]24-5.j2ndyyQ>0)13-g=cg|~T==&<1(`8`jssW8:#??'m;emvpZ77 :9"n6j`uu]24-53!k1ocxzP11*01,d'37+a?air|V;;$>9&b:flqqY68!93%o5kotv\55.41 k0hb{{_02+0,d'41+a?air|V;;$9?&b:flqqY68!>9%o5kotv\55.3; h0hb{{_02+01/e3me~xR??(57*f>bh}}U:<%:9)`9gkprX99">%l5kotv\55.1!h1ocxzP11*4-d=cg|~T==&7)`9gkprX99"2%i5kotv\55:3>3:5m6j`uu]25-6.i2ndyyQ>1)3*f>bh}}U:=%??)c9gkprX98":=$l4dnww[47/9;#i7iazt^32,45.j2ndyyQ>1)37-g=cg|~T=<&>5(`8`jssW8;#=;'m;emvpZ76 8="n6j`uu]25-7?!k1ocxzP10*2=,g='m;emvpZ76 ;;"n6j`uu]25-45!k1ocxzP10*17,d(3;*e>bh}}U:=%=&b:flqqY69!9;%o5kotv\54.49 h0hb{{_03+77/e3me~xR?>(21*f>bh}}U:=%=;)c9gkprX98"89$l4dnww[47/;?#i7iazt^32,61.j2ndyyQ>1)1;-g=cg|~T=<&<9(c8`jssW8;#8$l4dnww[47/<9#i7iazt^32,17.j2ndyyQ>1)61-g=cg|~T=<&;3(`8`jssW8;#89'm;emvpZ76 =?"n6j`uu]25-21!h1ocxzP10*6-d=cg|~T=<&9)`9gkprX98"<%l5kotv\54.?!h1ocxzP10*:-a=cg|~T=<2;6;2=e>bh}}U:>%>&a:flqqY6:!;"n6j`uu]26-77!k1ocxzP13*25,dbh}}U:>%?7)c9gkprX9;":5$o4dnww[44/: h0hb{{_00+65/e3me~xR?=(33*f>bh}}U:>%<=)c9gkprX9;"9?$l4dnww[44/:=#i7iazt^31,73.j2ndyyQ>2)05-g=cg|~T=?&=7(`8`jssW88#>5'm;emvpZ75 ;3"m6j`uu]26-5.j2ndyyQ>2)13-g=cg|~T=?&<1(`8`jssW88#??'m;emvpZ75 :9"n6j`uu]26-53!k1ocxzP13*01,d9&b:flqqY6:!93%o5kotv\57.41 k0hb{{_00+0,d9%o5kotv\57.3; h0hb{{_00+01/e3me~xR?=(57*f>bh}}U:>%:9)`9gkprX9;">%l5kotv\57.1!h1ocxzP13*4-d=cg|~T=?&7)`9gkprX9;"2%i5kotv\57:3>3:5m6j`uu]27-6.i2ndyyQ>3)3*f>bh}}U:?%??)c9gkprX9:":=$l4dnww[45/9;#i7iazt^30,45.j2ndyyQ>3)37-g=cg|~T=>&>5(`8`jssW89#=;'m;emvpZ74 8="n6j`uu]27-7?!k1ocxzP12*2=,g='m;emvpZ74 ;;"n6j`uu]27-45!k1ocxzP12*17,dbh}}U:?%=&b:flqqY6;!9;%o5kotv\56.49 h0hb{{_01+77/e3me~xR?<(21*f>bh}}U:?%=;)c9gkprX9:"89$l4dnww[45/;?#i7iazt^30,61.j2ndyyQ>3)1;-g=cg|~T=>&<9(c8`jssW89#8$l4dnww[45/<9#i7iazt^30,17.j2ndyyQ>3)61-g=cg|~T=>&;3(`8`jssW89#89'm;emvpZ74 =?"n6j`uu]27-21!h1ocxzP12*6-d=cg|~T=>&9)`9gkprX9:"<%l5kotv\56.?!h1ocxzP12*:-a=cg|~T=>2;6;2=e>bh}}U:8%>&a:flqqY6bh}}U:8%?7)c9gkprX9=":5$o4dnww[42/: h0hb{{_06+65/e3me~xR?;(33*f>bh}}U:8%<=)c9gkprX9="9?$l4dnww[42/:=#i7iazt^37,73.j2ndyyQ>4)05-g=cg|~T=9&=7(`8`jssW8>#>5'm;emvpZ73 ;3"m6j`uu]20-5.j2ndyyQ>4)13-g=cg|~T=9&<1(`8`jssW8>#??'m;emvpZ73 :9"n6j`uu]20-53!k1ocxzP15*01,d9&b:flqqY69%o5kotv\51.3; h0hb{{_06+01/e3me~xR?;(57*f>bh}}U:8%:9)`9gkprX9=">%l5kotv\51.1!h1ocxzP15*4-d=cg|~T=9&7)`9gkprX9="2%i5kotv\51:3>3:5m6j`uu]21-6.i2ndyyQ>5)3*f>bh}}U:9%??)c9gkprX9<":=$l4dnww[43/9;#i7iazt^36,45.j2ndyyQ>5)37-g=cg|~T=8&>5(`8`jssW8?#=;'m;emvpZ72 8="n6j`uu]21-7?!k1ocxzP14*2=,g='m;emvpZ72 ;;"n6j`uu]21-45!k1ocxzP14*17,d$?;&b:flqqY6=!8=%o5kotv\50.5? h0hb{{_07+6=/e3me~xR?:(3;*e>bh}}U:9%=&b:flqqY6=!9;%o5kotv\50.49 h0hb{{_07+77/e3me~xR?:(21*f>bh}}U:9%=;)c9gkprX9<"89$l4dnww[43/;?#i7iazt^36,61.j2ndyyQ>5)1;-g=cg|~T=8&<9(c8`jssW8?#8$l4dnww[43/<9#i7iazt^36,17.j2ndyyQ>5)61-g=cg|~T=8&;3(`8`jssW8?#89'm;emvpZ72 =?"n6j`uu]21-21!h1ocxzP14*6-d=cg|~T=8&9)`9gkprX9<"<%l5kotv\50.?!h1ocxzP14*:-a=cg|~T=82;6;2=e>bh}}U::%>&a:flqqY6>!;"n6j`uu]22-77!k1ocxzP17*25,d!;?%o5kotv\53.6= h0hb{{_04+53/e3me~xR?9(05*f>bh}}U::%?7)c9gkprX9?":5$o4dnww[40/: h0hb{{_04+65/e3me~xR?9(33*f>bh}}U::%<=)c9gkprX9?"9?$l4dnww[40/:=#i7iazt^35,73.j2ndyyQ>6)05-g=cg|~T=;&=7(`8`jssW8<#>5'm;emvpZ71 ;3"m6j`uu]22-5.j2ndyyQ>6)13-g=cg|~T=;&<1(`8`jssW8<#??'m;emvpZ71 :9"n6j`uu]22-53!k1ocxzP17*01,d9&b:flqqY6>!93%o5kotv\53.41 k0hb{{_04+0,d!>9%o5kotv\53.3; h0hb{{_04+01/e3me~xR?9(57*f>bh}}U::%:9)`9gkprX9?">%l5kotv\53.1!h1ocxzP17*4-d=cg|~T=;&7)`9gkprX9?"2%i5kotv\53:3>3:556j`uu]2858f3me~xR?31114;g3o4dnww[4:5;7k0hb{{_0>10;g1<;g`9gkprX95982l5kotv\59536h1ocxzP1=16:d=cg|~T=1=9>`9gkprX959<2l5kotv\595?6h1ocxzP1=1::<=cg|~T=1=1a:flqqY64=:5m6j`uu]28179i2ndyyQ><50=e>bh}}U:09=1a:flqqY64=>5m6j`uu]28139k2ndyyQ><5494;g<7<:?air|V;7;374dnww[4:?601ocxzP1=;==>bh}}U9$='6;emvpZ4/9 k0hb{{_3*24,g1(c8`jssW;":>$o4dnww[7.6; k0hb{{_3*20,g5(c8`jssW;"::$o4dnww[7.6? k0hb{{_3*2<,g9(;8`jssW;"9%l5kotv\6-47!h1ocxzP2)02-d=cg|~T>%<=)`9gkprX:!88%l5kotv\6-43!h1ocxzP2)06-d=cg|~T>%<9)`9gkprX:!8<%l5kotv\6-4?!h1ocxzP2)0:-<=cg|~T>%=&a:flqqY5 ::"m6j`uu]1,67.i2ndyyQ=(20*e>bh}}U9$>=&a:flqqY5 :>"m6j`uu]1,63.i2ndyyQ=(24*e>bh}}U9$>9&a:flqqY5 :2"m6j`uu]1,6?.12ndyyQ=(5+b?air|V8#8='n;emvpZ4/<8#j7iazt^0+07/f3me~xR<'42+b?air|V8#89'n;emvpZ4/<<#j7iazt^0+03/>3me~xR<'5(;8`jssW;"=%45kotv\6-1.12ndyyQ=(9+:?air|V8#5$74dnww[7:76h1ocxzP2=33:d=cg|~T>1?>>`9gkprX:5;92l5kotv\69746h1ocxzP2=37:d=cg|~T>1?:>`9gkprX:5;=2l5kotv\69706h1ocxzP2=3;:d=cg|~T>1?6>89gkprX:5;5m6j`uu]18769i2ndyyQ=<33=e>bh}}U90?<1a:flqqY54;95m6j`uu]18729i2ndyyQ=<37=e>bh}}U90?81a:flqqY54;=5m6j`uu]187>9i2ndyyQ=<3;==>bh}}U90?0n;emvpZ4;;94j7iazt^0?748f3me~xR<3330n;emvpZ4;;=4j7iazt^0?708f3me~xR<3373me~xR<33?c8`jssW;6?<3o4dnww[7:397k0hb{{_3>76;g72?69i2ndyyQ=<54==>bh}}U90906;emvpZ4;=730hb{{_3>5:<=cg|~T>1919:flqqY541427iazt^0?=;?&?)89gkprX;!;"m6j`uu]0,46.i2ndyyQ<(03*e>bh}}U8$<<&a:flqqY4 89"m6j`uu]0,42.i2ndyyQ<(07*e>bh}}U8$<8&a:flqqY4 8="m6j`uu]0,4>.i2ndyyQ<(0;*=>bh}}U8$?'n;emvpZ5/:9#j7iazt^1+64/f3me~xR='23+b?air|V9#>>'n;emvpZ5/:=#j7iazt^1+60/f3me~xR='27+b?air|V9#>:'n;emvpZ5/:1#j7iazt^1+63me~xR='3(c8`jssW:"8<$o4dnww[6.49 k0hb{{_2*06,g&<3(c8`jssW:"88$o4dnww[6.4= k0hb{{_2*02,g&<7(c8`jssW:"84$o4dnww[6.41 30hb{{_2*7-d=cg|~T?%:?)`9gkprX;!>:%l5kotv\7-25!h1ocxzP3)60-d=cg|~T?%:;)`9gkprX;!>>%l5kotv\7-21!01ocxzP3)7*=>bh}}U8$;'6;emvpZ5/? 30hb{{_2*;-<=cg|~T?%7&c:flqqY44=<1<374dnww[1.7!01ocxzP4)3*e>bh}}U?$<>&a:flqqY3 8;"m6j`uu]7,44.i2ndyyQ;(01*e>bh}}U?$<:&a:flqqY3 8?"m6j`uu]7,40.i2ndyyQ;(05*e>bh}}U?$<6&a:flqqY3 83"56j`uu]7,7/f3me~xR:'21+b?air|V>#><'n;emvpZ2/:;#j7iazt^6+66/f3me~xR:'25+b?air|V>#>8'n;emvpZ2/:?#j7iazt^6+62/f3me~xR:'29+b?air|V>#>4'6;emvpZ2/; k0hb{{_5*04,g$o4dnww[1.4; k0hb{{_5*00,g3me~xR:'7(;8`jssW="3%45kotv\0-?.k2ndyyQ;<5494;?bh}}U>$<<&a:flqqY2 89"m6j`uu]6,42.i2ndyyQ:(07*e>bh}}U>$<8&a:flqqY2 8="m6j`uu]6,4>.i2ndyyQ:(0;*=>bh}}U>$?'n;emvpZ3/:9#j7iazt^7+64/f3me~xR;'23+b?air|V?#>>'n;emvpZ3/:=#j7iazt^7+60/f3me~xR;'27+b?air|V?#>:'n;emvpZ3/:1#j7iazt^7+63me~xR;'3(c8`jssW<"8<$o4dnww[0.49 k0hb{{_4*06,g:%l5kotv\1-25!h1ocxzP5)60-d=cg|~T9%:;)`9gkprX=!>>%l5kotv\1-21!01ocxzP5)7*=>bh}}U>$;'6;emvpZ3/? 30hb{{_4*;-<=cg|~T9%7&c:flqqY24=<1<374dnww[3.7!01ocxzP6)3*e>bh}}U=$<>&a:flqqY1 8;"m6j`uu]5,44.i2ndyyQ9(01*e>bh}}U=$<:&a:flqqY1 8?"m6j`uu]5,40.i2ndyyQ9(05*e>bh}}U=$<6&a:flqqY1 83"56j`uu]5,7/f3me~xR8'21+b?air|V<#><'n;emvpZ0/:;#j7iazt^4+66/f3me~xR8'25+b?air|V<#>8'n;emvpZ0/:?#j7iazt^4+62/f3me~xR8'29+b?air|V<#>4'6;emvpZ0/; k0hb{{_7*04,g$o4dnww[3.4; k0hb{{_7*00,g!>8%l5kotv\2-23!h1ocxzP6)66-d=cg|~T:%:9)89gkprX>!?"56j`uu]5,3/>3me~xR8'7(;8`jssW?"3%45kotv\2-?.k2ndyyQ9<5494;?bh}}U<$<<&a:flqqY0 89"m6j`uu]4,42.i2ndyyQ8(07*e>bh}}U<$<8&a:flqqY0 8="m6j`uu]4,4>.i2ndyyQ8(0;*=>bh}}U<$?'n;emvpZ1/:9#j7iazt^5+64/f3me~xR9'23+b?air|V=#>>'n;emvpZ1/:=#j7iazt^5+60/f3me~xR9'27+b?air|V=#>:'n;emvpZ1/:1#j7iazt^5+63me~xR9'3(c8`jssW>"8<$o4dnww[2.49 k0hb{{_6*06,g"88$o4dnww[2.4= k0hb{{_6*02,g"84$o4dnww[2.41 30hb{{_6*7-d=cg|~T;%:?)`9gkprX?!>:%l5kotv\3-25!h1ocxzP7)60-d=cg|~T;%:;)`9gkprX?!>>%l5kotv\3-21!01ocxzP7)7*=>bh}}U<$;'6;emvpZ1/? 30hb{{_6*;-<=cg|~T;%7&c:flqqY04=<1<374dnww[=.7!01ocxzP8)3*e>bh}}U3$<>&a:flqqY? 8;"m6j`uu];,44.i2ndyyQ7(01*e>bh}}U3$<:&a:flqqY? 8?"m6j`uu];,40.i2ndyyQ7(05*e>bh}}U3$<6&a:flqqY? 83"56j`uu];,7/f3me~xR6'21+b?air|V2#><'n;emvpZ>/:;#j7iazt^:+66/f3me~xR6'25+b?air|V2#>8'n;emvpZ>/:?#j7iazt^:+62/f3me~xR6'29+b?air|V2#>4'6;emvpZ>/; k0hb{{_9*04,g$o4dnww[=.4; k0hb{{_9*00,g8%l5kotv\<-23!h1ocxzP8)66-d=cg|~T4%:9)89gkprX0!?"56j`uu];,3/>3me~xR6'7(;8`jssW1"3%45kotv\<-?.k2ndyyQ7<5494;?bh}}U2$<<&a:flqqY> 89"m6j`uu]:,42.i2ndyyQ6(07*e>bh}}U2$<8&a:flqqY> 8="m6j`uu]:,4>.i2ndyyQ6(0;*=>bh}}U2$?'n;emvpZ?/:9#j7iazt^;+64/f3me~xR7'23+b?air|V3#>>'n;emvpZ?/:=#j7iazt^;+60/f3me~xR7'27+b?air|V3#>:'n;emvpZ?/:1#j7iazt^;+63me~xR7'3(c8`jssW0"8<$o4dnww[<.49 k0hb{{_8*06,g:%l5kotv\=-25!h1ocxzP9)60-d=cg|~T5%:;)`9gkprX1!>>%l5kotv\=-21!01ocxzP9)7*=>bh}}U2$;'6;emvpZ?/? 30hb{{_8*;-<=cg|~T5%7&c:flqqY>4=<1<374dnww[l.7!01ocxzPi)3*e>bh}}Ub$<>&a:flqqYn 8;"m6j`uu]j,44.i2ndyyQf(01*e>bh}}Ub$<:&a:flqqYn 8?"m6j`uu]j,40.i2ndyyQf(05*e>bh}}Ub$<6&a:flqqYn 83"56j`uu]j,7/f3me~xRg'21+b?air|Vc#><'n;emvpZo/:;#j7iazt^k+66/>3me~xRg'3(;8`jssW`"?%45kotv\m-3.12ndyyQf(7+:?air|Vc#;$74dnww[l.?!01ocxzPi);*g>bh}}Ub0?=50?`8`jssW`U:$='m;emvpZoX9!;"o6j`uu]j[4.68 i0hb{{_h]2,47.k2ndyyQf_0*26,e(01*g>bh}}UbS<&>4(a8`jssW`U:$<;&c:flqqYnW8"::$m4dnww[lY6 8="o6j`uu]j[4.60 i0hb{{_h]2,4?.j2ndyyQf_0*1-f=cg|~TeR?'21+`?air|VcT=%<>)b9gkprXaV;#>?'l;emvpZoX9!88%o5kotv\mZ7/; h0hb{{_h]2,1/e3me~xRgP1)7*f>bh}}UbS<&9)c9gkprXaV;#;$l4dnww[lY6 1#i7iazt^k\5-?.k2ndyyQf_02+4,e0)3*`>bh}}UbS<>'11+g?air|VcT==&>1(f8`jssW`U:<%?=)e9gkprXaV;;$<=&d:flqqYnW8:#=9'k;emvpZoX99":9$j4dnww[lY68!;=%i5kotv\mZ77 8="h6j`uu]j[46/91#o7iazt^k\55.61 i0hb{{_h]24-4.l2ndyyQf_02+65/c3me~xRgP11*15,b0)01-a=cg|~TeR??(31*g>bh}}UbS<>'3(a8`jssW`U:<%:&c:flqqYnW8:#9$m4dnww[lY68!<"o6j`uu]j[46/? i0hb{{_h]24->.k2ndyyQf_02+=,`0=00>58d3me~xRgP10*3-f=cg|~TeR?>(0+g?air|VcT=<&>0(f8`jssW`U:=%?>)e9gkprXaV;:$<<&d:flqqYnW8;#=>'k;emvpZoX98":8$j4dnww[lY69!;>%i5kotv\mZ76 8<"h6j`uu]j[47/9>#o7iazt^k\54.60 n0hb{{_h]25-7>!j1ocxzPi^32,7/c3me~xRgP10*14,b1)02-a=cg|~TeR?>(30*`>bh}}UbS%n5kotv\mZ76 ?#h7iazt^k\54.0!j1ocxzPi^32,=/d3me~xRgP10*:-c=cg|~TeR?><3194;e2)2*g>bh}}UbS<<'1(f8`jssW`U:>%??)e9gkprXaV;9$2)03-a=cg|~TeR?=(33*`>bh}}UbS<<'23+g?air|VcT=?&=3(a8`jssW`U:>%=&c:flqqYnW88#8$m4dnww[lY6:!?"o6j`uu]j[44/> i0hb{{_h]26-1.k2ndyyQf_00+<,e2);*b>bh}}UbS<<32283:f=cg|~TeR?<(1+`?air|VcT=>&>)e9gkprXaV;8$<>&d:flqqYnW89#=<'k;emvpZoX9:":>$j4dnww[lY6;!;8%i5kotv\mZ74 8>"h6j`uu]j[45/9<#o7iazt^k\56.6> n0hb{{_h]27-70!m1ocxzPi^30,4>.l2ndyyQf_01+5bh}}UbS<='20+g?air|VcT=>&=2(f8`jssW`U:?%<<)b9gkprXaV;8$>'l;emvpZoX9:"?%n5kotv\mZ74 <#h7iazt^k\56.1!j1ocxzPi^30,2/d3me~xRgP12*;-f=cg|~TeR?<(8+e?air|VcT=>2=3;2=g>bh}}UbS<:'0(a8`jssW`U:8%?&d:flqqYnW8>#=='k;emvpZoX9=":=$j4dnww[lY64)0*`>bh}}UbS<:'21+g?air|VcT=9&=1(f8`jssW`U:8%<=)e9gkprXaV;?$?=&c:flqqYnW8>#?$m4dnww[lY6"o6j`uu]j[42/= i0hb{{_h]20-0.k2ndyyQf_06+3,e4):*g>bh}}UbS<:'9(d8`jssW`U:81<<:1<`?air|VcT=8&?)b9gkprXaV;>$<'k;emvpZoX9<":<$j4dnww[lY6=!;:%i5kotv\mZ72 88"h6j`uu]j[43/9:#o7iazt^k\50.6< n0hb{{_h]21-72!m1ocxzPi^36,40.l2ndyyQf_07+52/c3me~xRgP14*2<,b5)3:-f=cg|~TeR?:(3+g?air|VcT=8&=0(f8`jssW`U:9%<>)e9gkprXaV;>$?<&d:flqqYnW8?#>>'l;emvpZoX9<"8%n5kotv\mZ72 =#h7iazt^k\50.2!j1ocxzPi^36,3/d3me~xRgP14*4-f=cg|~TeR?:(9+`?air|VcT=8&6)g9gkprXaV;>0?=50?a8`jssW`U::%>&c:flqqYnW8<#=$j4dnww[lY6>!;;%i5kotv\mZ71 8;"h6j`uu]j[40/9;#o7iazt^k\53.6; n0hb{{_h]22-73!m1ocxzPi^35,43.l2ndyyQf_04+53/c3me~xRgP17*23,b6)3;-a=cg|~TeR?9(0;*g>bh}}UbS<8'2(f8`jssW`U::%?'k;emvpZoX9?"9?$m4dnww[lY6>!9"o6j`uu]j[40/< i0hb{{_h]22-3.k2ndyyQf_04+2,e6)5*g>bh}}UbS<8'8(a8`jssW`U::%7&f:flqqYnW8<7>>4?>d9gkprXaV;7>>4?>c9gkprXaV8#<$l4dnww[lY5 8#h7iazt^k\6-77!j1ocxzPi^0+54/d3me~xRgP2)31-f=cg|~TeR<'12+`?air|VcT>%?;)b9gkprXaV8#=8'l;emvpZoX:!;=%n5kotv\mZ4/9>#h7iazt^k\6-7?!j1ocxzPi^0+5bh}}UbS?&=0(a8`jssW`U9$??&c:flqqYnW;"9>$m4dnww[lY5 ;9"n6j`uu]j[7.4!k1ocxzPi^0+0,d%8&b:flqqYnW;"<%o5kotv\mZ4/0 h0hb{{_h]1,58e3me~xRgP3)2*f>bh}}UbS>&>)b9gkprXaV9#=='l;emvpZoX;!;:%n5kotv\mZ5/9;#h7iazt^k\7-74!j1ocxzPi^1+51/d3me~xRgP3)36-f=cg|~TeR='17+`?air|VcT?%?8)b9gkprXaV9#=5'l;emvpZoX;!;2%o5kotv\mZ5/: i0hb{{_h]0,76.k2ndyyQf_2*15,ebh}}UbS>&=3(`8`jssW`U8$>'m;emvpZoX;!>"n6j`uu]j[6.2!k1ocxzPi^1+2,d#=>'l;emvpZoX&b:flqqYnW<":%n5kotv\mZ3/99#h7iazt^k\1-76!j1ocxzPi^7+57/d3me~xRgP5)30-f=cg|~TeR;'15+`?air|VcT9%?:)b9gkprXaV?#=;'l;emvpZoX=!;<%n5kotv\mZ3/91#h7iazt^k\1-7>!k1ocxzPi^7+6,ebh}}UbS8&=1(a8`jssW`U>$?<&c:flqqYnW<"9?$l4dnww[lY2 :#i7iazt^k\1-2.j2ndyyQf_4*6-g=cg|~TeR;'6(`8`jssW`U>$:'m;emvpZoX=!2"n6j`uu]j[0.>!l1ocxzPi^7?66<76k1ocxzPi^4+4,d!;9%n5kotv\mZ0/9:#h7iazt^k\2-73!j1ocxzPi^4+50/d3me~xRgP6)35-f=cg|~TeR8'16+`?air|VcT:%?7)b9gkprXaV<#=4'm;emvpZoX>!8"o6j`uu]j[3.58 i0hb{{_h]5,77.k2ndyyQf_7*16,ebh}}UbS;&<)c9gkprXaV<#8$l4dnww[lY1 <#i7iazt^k\2-0.j2ndyyQf_7*4-g=cg|~TeR8'8(`8`jssW`U=$4'j;emvpZoX>5886=0m;emvpZoX?!:"n6j`uu]j[2.6!j1ocxzPi^5+55/d3me~xRgP7)32-f=cg|~TeR9'13+`?air|VcT;%?<)b9gkprXaV=#=9'l;emvpZoX?!;>%n5kotv\mZ1/9?#h7iazt^k\3-70!j1ocxzPi^5+5=/d3me~xRgP7)3:-g=cg|~TeR9'2(a8`jssW`U<$?>&c:flqqYnW>"9=$m4dnww[lY0 ;8"o6j`uu]j[2.5; h0hb{{_h]4,6/e3me~xRgP7)6*f>bh}}UbS:&:)c9gkprXaV=#:$l4dnww[lY0 >#i7iazt^k\3->.j2ndyyQf_6*:-`=cg|~TeR932283:g=cg|~TeR6'0(`8`jssW`U3$<'l;emvpZoX0!;;%n5kotv\mZ>/98#h7iazt^k\<-75!j1ocxzPi^:+56/d3me~xRgP8)37-f=cg|~TeR6'14+`?air|VcT4%?9)b9gkprXaV2#=:'l;emvpZoX0!;3%n5kotv\mZ>/90#i7iazt^k\<-4.k2ndyyQf_9*14,ebh}}UbS5&=2(a8`jssW`U3$?=&b:flqqYnW1"8%o5kotv\mZ>/< h0hb{{_h];,0/e3me~xRgP8)4*f>bh}}UbS5&8)c9gkprXaV2#4$l4dnww[lY? 0#n7iazt^k\<944294i7iazt^k\=-6.j2ndyyQf_8*2-f=cg|~TeR7'11+`?air|VcT5%?>)b9gkprXaV3#=?'l;emvpZoX1!;8%n5kotv\mZ?/9=#h7iazt^k\=-72!j1ocxzPi^;+53/d3me~xRgP9)34-f=cg|~TeR7'19+`?air|VcT5%?6)c9gkprXaV3#>$m4dnww[lY> ;:"o6j`uu]j[<.59 i0hb{{_h]:,74.k2ndyyQf_8*17,d%o5kotv\mZ?/> h0hb{{_h]:,2/e3me~xRgP9):*f>bh}}UbS4&6)d9gkprXaV37>>4?>89gkprXy!:"56j`uu]r,4/f3me~xR'11+b?air|V{#=<'n;emvpZw/9;#j7iazt^s+56/f3me~xR'15+b?air|V{#=8'n;emvpZw/9?#j7iazt^s+52/f3me~xR'19+b?air|V{#=4'6;emvpZw/: k0hb{{_p*14,g$o4dnww[t.5; k0hb{{_p*10,gbh}}Uz$9=&a:flqqYv =>"m6j`uu]r,13.i2ndyyQ~(54*=>bh}}Uz$8'6;emvpZw/> 30hb{{_p*4-<=cg|~T}%6&9:flqqYv 0#h7iazt^s?03<76k1ocxzPq^3+4,d(0+`?air|V{T=%??)b9gkprXyV;#=<'l;emvpZwX9!;9%n5kotv\uZ7/9:#h7iazt^s\5-73!j1ocxzPq^3+50/d3me~xRP1)35-f=cg|~T}R?'16+`?air|V{T=%?7)b9gkprXyV;#=4'm;emvpZwX9!8"o6j`uu]r[4.58 i0hb{{_p]2,77.k2ndyyQ~_0*16,e(31*g>bh}}UzS<&=4(a8`jssWxU:$?;&c:flqqYvW8"9:$m4dnww[tY6 ;="o6j`uu]r[4.50 i0hb{{_p]2,7?.j2ndyyQ~_0*0-f=cg|~T}R?'31+`?air|V{T=%=>)b9gkprXyV;#??'l;emvpZwX9!98%n5kotv\uZ7/;=#h7iazt^s\5-52!j1ocxzPq^3+73/d3me~xRP1)14-f=cg|~T}R?'39+`?air|V{T=%=6)c9gkprXyV;#8$m4dnww[tY6 =:"o6j`uu]r[4.39 i0hb{{_p]2,14.k2ndyyQ~_0*77,e(56*g>bh}}UzS<&;5(a8`jssWxU:$98&b:flqqYvW8">%o5kotv\uZ7/> h0hb{{_p]2,2/e3me~xRP1):*f>bh}}UzS<&6)b9gkprXyV;;$='l;emvpZwX99":%i5kotv\uZ77 8:"h6j`uu]r[46/98#o7iazt^s\55.6: n0hb{{_p]24-74!m1ocxzPq^33,42.l2ndyyQ~_02+50/c3me~xRP11*22,b0)34-a=cg|~T}R??(0:*`>bh}}UzS<>'18+`?air|V{T==&=)e9gkprXyV;;$?>&d:flqqYvW8:#><'k;emvpZwX99"9>$j4dnww[tY68!88%i5kotv\uZ77 ;>"h6j`uu]r[46/:<#o7iazt^s\55.5> n0hb{{_p]24-40!m1ocxzPq^33,7>.l2ndyyQ~_02+6bh}}UzS<>'30+g?air|V{T==&<2(f8`jssWxU:<%=<)e9gkprXyV;;$>:&d:flqqYvW8:#?8'k;emvpZwX99"8:$j4dnww[tY68!9<%i5kotv\uZ77 :2"h6j`uu]r[46/;0#h7iazt^s\55.3!m1ocxzPq^33,16.l2ndyyQ~_02+04/c3me~xRP11*76,b0)60-a=cg|~T}R??(56*`>bh}}UzS<>'44+g?air|V{T==&;6(a8`jssWxU:<%;&c:flqqYvW8:#:$m4dnww[tY68!="o6j`uu]r[46/0 i0hb{{_p]24-?.n2ndyyQ~_02?03<76j1ocxzPq^32,5/d3me~xRP10*2-a=cg|~T}R?>(02*`>bh}}UzS2(f8`jssWxU:=%?<)e9gkprXyV;:$<:&d:flqqYvW8;#=8'k;emvpZwX98"::$j4dnww[tY69!;<%i5kotv\uZ76 82"h6j`uu]r[47/90#h7iazt^s\54.5!m1ocxzPq^32,76.l2ndyyQ~_03+64/c3me~xRP10*16,b1)00-a=cg|~T}R?>(36*`>bh}}UzS4'l;emvpZwX98"8%i5kotv\uZ76 ::"h6j`uu]r[47/;8#o7iazt^s\54.4: n0hb{{_p]25-54!m1ocxzPq^32,62.l2ndyyQ~_03+70/c3me~xRP10*02,b1)14-a=cg|~T}R?>(2:*`>bh}}UzS&d:flqqYvW8;#8<'k;emvpZwX98"?>$j4dnww[tY69!>8%i5kotv\uZ76 =>"h6j`uu]r[47/<<#o7iazt^s\54.3> i0hb{{_p]25-3.k2ndyyQ~_03+2,e1)5*g>bh}}UzSb9gkprXyV;9$='l;emvpZwX9;":%i5kotv\uZ75 8:"h6j`uu]r[44/98#o7iazt^s\57.6: n0hb{{_p]26-74!m1ocxzPq^31,42.l2ndyyQ~_00+50/c3me~xRP13*22,b2)34-a=cg|~T}R?=(0:*`>bh}}UzS<<'18+`?air|V{T=?&=)e9gkprXyV;9$?>&d:flqqYvW88#><'k;emvpZwX9;"9>$j4dnww[tY6:!88%i5kotv\uZ75 ;>"h6j`uu]r[44/:<#o7iazt^s\57.5> n0hb{{_p]26-40!m1ocxzPq^31,7>.l2ndyyQ~_00+6bh}}UzS<<'30+g?air|V{T=?&<2(f8`jssWxU:>%=<)e9gkprXyV;9$>:&d:flqqYvW88#?8'k;emvpZwX9;"8:$j4dnww[tY6:!9<%i5kotv\uZ75 :2"h6j`uu]r[44/;0#h7iazt^s\57.3!m1ocxzPq^31,16.l2ndyyQ~_00+04/c3me~xRP13*76,b2)60-a=cg|~T}R?=(56*`>bh}}UzS<<'44+g?air|V{T=?&;6(a8`jssWxU:>%;&c:flqqYvW88#:$m4dnww[tY6:!="o6j`uu]r[44/0 i0hb{{_p]26-?.n2ndyyQ~_00?03<76j1ocxzPq^30,5/d3me~xRP12*2-a=cg|~T}R?<(02*`>bh}}UzS<='10+g?air|V{T=>&>2(f8`jssWxU:?%?<)e9gkprXyV;8$<:&d:flqqYvW89#=8'k;emvpZwX9:"::$j4dnww[tY6;!;<%i5kotv\uZ74 82"h6j`uu]r[45/90#h7iazt^s\56.5!m1ocxzPq^30,76.l2ndyyQ~_01+64/c3me~xRP12*16,b3)00-a=cg|~T}R?<(36*`>bh}}UzS<='24+g?air|V{T=>&=6(f8`jssWxU:?%<8)e9gkprXyV;8$?6&d:flqqYvW89#>4'l;emvpZwX9:"8%i5kotv\uZ74 ::"h6j`uu]r[45/;8#o7iazt^s\56.4: n0hb{{_p]27-54!m1ocxzPq^30,62.l2ndyyQ~_01+70/c3me~xRP12*02,b3)14-a=cg|~T}R?<(2:*`>bh}}UzS<='38+`?air|V{T=>&;)e9gkprXyV;8$9>&d:flqqYvW89#8<'k;emvpZwX9:"?>$j4dnww[tY6;!>8%i5kotv\uZ74 =>"h6j`uu]r[45/<<#o7iazt^s\56.3> i0hb{{_p]27-3.k2ndyyQ~_01+2,e3)5*g>bh}}UzS<='8(a8`jssWxU:?%7&f:flqqYvW8978;4?>b9gkprXyV;?$='l;emvpZwX9=":%i5kotv\uZ73 8:"h6j`uu]r[42/98#o7iazt^s\51.6: n0hb{{_p]20-74!m1ocxzPq^37,42.l2ndyyQ~_06+50/c3me~xRP15*22,b4)34-a=cg|~T}R?;(0:*`>bh}}UzS<:'18+`?air|V{T=9&=)e9gkprXyV;?$?>&d:flqqYvW8>#><'k;emvpZwX9="9>$j4dnww[tY6"h6j`uu]r[42/:<#o7iazt^s\51.5> n0hb{{_p]20-40!m1ocxzPq^37,7>.l2ndyyQ~_06+6bh}}UzS<:'30+g?air|V{T=9&<2(f8`jssWxU:8%=<)e9gkprXyV;?$>:&d:flqqYvW8>#?8'k;emvpZwX9="8:$j4dnww[tY64)60-a=cg|~T}R?;(56*`>bh}}UzS<:'44+g?air|V{T=9&;6(a8`jssWxU:8%;&c:flqqYvW8>#:$m4dnww[tY6bh}}UzS<;'10+g?air|V{T=8&>2(f8`jssWxU:9%?<)e9gkprXyV;>$<:&d:flqqYvW8?#=8'k;emvpZwX9<"::$j4dnww[tY6=!;<%i5kotv\uZ72 82"h6j`uu]r[43/90#h7iazt^s\50.5!m1ocxzPq^36,76.l2ndyyQ~_07+64/c3me~xRP14*16,b5)00-a=cg|~T}R?:(36*`>bh}}UzS<;'24+g?air|V{T=8&=6(f8`jssWxU:9%<8)e9gkprXyV;>$?6&d:flqqYvW8?#>4'l;emvpZwX9<"8%i5kotv\uZ72 ::"h6j`uu]r[43/;8#o7iazt^s\50.4: n0hb{{_p]21-54!m1ocxzPq^36,62.l2ndyyQ~_07+70/c3me~xRP14*02,b5)14-a=cg|~T}R?:(2:*`>bh}}UzS<;'38+`?air|V{T=8&;)e9gkprXyV;>$9>&d:flqqYvW8?#8<'k;emvpZwX9<"?>$j4dnww[tY6=!>8%i5kotv\uZ72 =>"h6j`uu]r[43/<<#o7iazt^s\50.3> i0hb{{_p]21-3.k2ndyyQ~_07+2,e5)5*g>bh}}UzS<;'8(a8`jssWxU:9%7&f:flqqYvW8?78;4?>b9gkprXyV;=$='l;emvpZwX9?":%i5kotv\uZ71 8:"h6j`uu]r[40/98#o7iazt^s\53.6: n0hb{{_p]22-74!m1ocxzPq^35,42.l2ndyyQ~_04+50/c3me~xRP17*22,b6)34-a=cg|~T}R?9(0:*`>bh}}UzS<8'18+`?air|V{T=;&=)e9gkprXyV;=$?>&d:flqqYvW8<#><'k;emvpZwX9?"9>$j4dnww[tY6>!88%i5kotv\uZ71 ;>"h6j`uu]r[40/:<#o7iazt^s\53.5> n0hb{{_p]22-40!m1ocxzPq^35,7>.l2ndyyQ~_04+6bh}}UzS<8'30+g?air|V{T=;&<2(f8`jssWxU::%=<)e9gkprXyV;=$>:&d:flqqYvW8<#?8'k;emvpZwX9?"8:$j4dnww[tY6>!9<%i5kotv\uZ71 :2"h6j`uu]r[40/;0#h7iazt^s\53.3!m1ocxzPq^35,16.l2ndyyQ~_04+04/c3me~xRP17*76,b6)60-a=cg|~T}R?9(56*`>bh}}UzS<8'44+g?air|V{T=;&;6(a8`jssWxU::%;&c:flqqYvW8<#:$m4dnww[tY6>!="o6j`uu]r[40/0 i0hb{{_p]22-?.n2ndyyQ~_04?03<76l1ocxzPq^3?03<76k1ocxzPq^0+4,d%??)b9gkprXyV8#=<'l;emvpZwX:!;9%n5kotv\uZ4/9:#h7iazt^s\6-73!j1ocxzPq^0+50/d3me~xRP2)35-f=cg|~T}R<'16+`?air|V{T>%?7)b9gkprXyV8#=4'm;emvpZwX:!8"o6j`uu]r[7.58 i0hb{{_p]1,77.k2ndyyQ~_3*16,ebh}}UzS?&=4(a8`jssWxU9$?;&c:flqqYvW;"9:$m4dnww[tY5 ;="o6j`uu]r[7.50 i0hb{{_p]1,7?.j2ndyyQ~_3*0-f=cg|~T}R<'31+`?air|V{T>%=>)b9gkprXyV8#??'l;emvpZwX:!98%n5kotv\uZ4/;=#h7iazt^s\6-52!j1ocxzPq^0+73/d3me~xRP2)14-f=cg|~T}R<'39+`?air|V{T>%=6)c9gkprXyV8#8$m4dnww[tY5 =:"o6j`uu]r[7.39 i0hb{{_p]1,14.k2ndyyQ~_3*77,ebh}}UzS?&;5(a8`jssWxU9$98&b:flqqYvW;">%o5kotv\uZ4/> h0hb{{_p]1,2/e3me~xRP2):*f>bh}}UzS?&6)d9gkprXyV878;4?>c9gkprXyV9#<$l4dnww[tY4 8#h7iazt^s\7-77!j1ocxzPq^1+54/d3me~xRP3)31-f=cg|~T}R='12+`?air|V{T?%?;)b9gkprXyV9#=8'l;emvpZwX;!;=%n5kotv\uZ5/9>#h7iazt^s\7-7?!j1ocxzPq^1+5bh}}UzS>&=0(a8`jssWxU8$??&c:flqqYvW:"9>$m4dnww[tY4 ;9"o6j`uu]r[6.5< i0hb{{_p]0,73.k2ndyyQ~_2*12,ebh}}UzS>&=8(a8`jssWxU8$?7&b:flqqYvW:"8%n5kotv\uZ5/;9#h7iazt^s\7-56!j1ocxzPq^1+77/d3me~xRP3)10-f=cg|~T}R='35+`?air|V{T?%=:)b9gkprXyV9#?;'l;emvpZwX;!9<%n5kotv\uZ5/;1#h7iazt^s\7-5>!k1ocxzPq^1+0,ebh}}UzS>&;1(a8`jssWxU8$9<&c:flqqYvW:"??$m4dnww[tY4 =>"o6j`uu]r[6.3= i0hb{{_p]0,10.j2ndyyQ~_2*6-g=cg|~T}R='6(`8`jssWxU8$:'m;emvpZwX;!2"n6j`uu]r[6.>!l1ocxzPq^1?03<76k1ocxzPq^6+4,d#=<'l;emvpZwX#=4'm;emvpZwXbh}}UzS9&=4(a8`jssWxU?$?;&c:flqqYvW="9:$m4dnww[tY3 ;="o6j`uu]r[1.50 i0hb{{_p]7,7?.j2ndyyQ~_5*0-f=cg|~T}R:'31+`?air|V{T8%=>)b9gkprXyV>#??'l;emvpZwX#8$m4dnww[tY3 =:"o6j`uu]r[1.39 i0hb{{_p]7,14.k2ndyyQ~_5*77,ebh}}UzS9&;5(a8`jssWxU?$98&b:flqqYvW=">%o5kotv\uZ2/> h0hb{{_p]7,2/e3me~xRP4):*f>bh}}UzS9&6)d9gkprXyV>78;4?>c9gkprXyV?#<$l4dnww[tY2 8#h7iazt^s\1-77!j1ocxzPq^7+54/d3me~xRP5)31-f=cg|~T}R;'12+`?air|V{T9%?;)b9gkprXyV?#=8'l;emvpZwX=!;=%n5kotv\uZ3/9>#h7iazt^s\1-7?!j1ocxzPq^7+5bh}}UzS8&=0(a8`jssWxU>$??&c:flqqYvW<"9>$m4dnww[tY2 ;9"o6j`uu]r[0.5< i0hb{{_p]6,73.k2ndyyQ~_4*12,ebh}}UzS8&=8(a8`jssWxU>$?7&b:flqqYvW<"8%n5kotv\uZ3/;9#h7iazt^s\1-56!j1ocxzPq^7+77/d3me~xRP5)10-f=cg|~T}R;'35+`?air|V{T9%=:)b9gkprXyV?#?;'l;emvpZwX=!9<%n5kotv\uZ3/;1#h7iazt^s\1-5>!k1ocxzPq^7+0,ebh}}UzS8&;1(a8`jssWxU>$9<&c:flqqYvW<"??$m4dnww[tY2 =>"o6j`uu]r[0.3= i0hb{{_p]6,10.j2ndyyQ~_4*6-g=cg|~T}R;'6(`8`jssWxU>$:'m;emvpZwX=!2"n6j`uu]r[0.>!l1ocxzPq^7?03<76k1ocxzPq^4+4,d!;9%n5kotv\uZ0/9:#h7iazt^s\2-73!j1ocxzPq^4+50/d3me~xRP6)35-f=cg|~T}R8'16+`?air|V{T:%?7)b9gkprXyV<#=4'm;emvpZwX>!8"o6j`uu]r[3.58 i0hb{{_p]5,77.k2ndyyQ~_7*16,ebh}}UzS;&=4(a8`jssWxU=$?;&c:flqqYvW?"9:$m4dnww[tY1 ;="o6j`uu]r[3.50 i0hb{{_p]5,7?.j2ndyyQ~_7*0-f=cg|~T}R8'31+`?air|V{T:%=>)b9gkprXyV<#??'l;emvpZwX>!98%n5kotv\uZ0/;=#h7iazt^s\2-52!j1ocxzPq^4+73/d3me~xRP6)14-f=cg|~T}R8'39+`?air|V{T:%=6)c9gkprXyV<#8$m4dnww[tY1 =:"o6j`uu]r[3.39 i0hb{{_p]5,14.k2ndyyQ~_7*77,ebh}}UzS;&;5(a8`jssWxU=$98&b:flqqYvW?">%o5kotv\uZ0/> h0hb{{_p]5,2/e3me~xRP6):*f>bh}}UzS;&6)d9gkprXyV<78;4?>c9gkprXyV=#<$l4dnww[tY0 8#h7iazt^s\3-77!j1ocxzPq^5+54/d3me~xRP7)31-f=cg|~T}R9'12+`?air|V{T;%?;)b9gkprXyV=#=8'l;emvpZwX?!;=%n5kotv\uZ1/9>#h7iazt^s\3-7?!j1ocxzPq^5+5bh}}UzS:&=0(a8`jssWxU<$??&c:flqqYvW>"9>$m4dnww[tY0 ;9"o6j`uu]r[2.5< i0hb{{_p]4,73.k2ndyyQ~_6*12,ebh}}UzS:&=8(a8`jssWxU<$?7&b:flqqYvW>"8%n5kotv\uZ1/;9#h7iazt^s\3-56!j1ocxzPq^5+77/d3me~xRP7)10-f=cg|~T}R9'35+`?air|V{T;%=:)b9gkprXyV=#?;'l;emvpZwX?!9<%n5kotv\uZ1/;1#h7iazt^s\3-5>!k1ocxzPq^5+0,ebh}}UzS:&;1(a8`jssWxU<$9<&c:flqqYvW>"??$m4dnww[tY0 =>"o6j`uu]r[2.3= i0hb{{_p]4,10.j2ndyyQ~_6*6-g=cg|~T}R9'6(`8`jssWxU<$:'m;emvpZwX?!2"n6j`uu]r[2.>!l1ocxzPq^5?03<76k1ocxzPq^:+4,d/9:#h7iazt^s\<-73!j1ocxzPq^:+50/d3me~xRP8)35-f=cg|~T}R6'16+`?air|V{T4%?7)b9gkprXyV2#=4'm;emvpZwX0!8"o6j`uu]r[=.58 i0hb{{_p];,77.k2ndyyQ~_9*16,ebh}}UzS5&=4(a8`jssWxU3$?;&c:flqqYvW1"9:$m4dnww[tY? ;="o6j`uu]r[=.50 i0hb{{_p];,7?.j2ndyyQ~_9*0-f=cg|~T}R6'31+`?air|V{T4%=>)b9gkprXyV2#??'l;emvpZwX0!98%n5kotv\uZ>/;=#h7iazt^s\<-52!j1ocxzPq^:+73/d3me~xRP8)14-f=cg|~T}R6'39+`?air|V{T4%=6)c9gkprXyV2#8$m4dnww[tY? =:"o6j`uu]r[=.39 i0hb{{_p];,14.k2ndyyQ~_9*77,ebh}}UzS5&;5(a8`jssWxU3$98&b:flqqYvW1">%o5kotv\uZ>/> h0hb{{_p];,2/e3me~xRP8):*f>bh}}UzS5&6)d9gkprXyV278;4?>c9gkprXyV3#<$l4dnww[tY> 8#h7iazt^s\=-77!j1ocxzPq^;+54/d3me~xRP9)31-f=cg|~T}R7'12+`?air|V{T5%?;)b9gkprXyV3#=8'l;emvpZwX1!;=%n5kotv\uZ?/9>#h7iazt^s\=-7?!j1ocxzPq^;+5bh}}UzS4&=0(a8`jssWxU2$??&c:flqqYvW0"9>$m4dnww[tY> ;9"o6j`uu]r[<.5< i0hb{{_p]:,73.k2ndyyQ~_8*12,ebh}}UzS4&=8(a8`jssWxU2$?7&b:flqqYvW0"8%n5kotv\uZ?/;9#h7iazt^s\=-56!j1ocxzPq^;+77/d3me~xRP9)10-f=cg|~T}R7'35+`?air|V{T5%=:)b9gkprXyV3#?;'l;emvpZwX1!9<%n5kotv\uZ?/;1#h7iazt^s\=-5>!k1ocxzPq^;+0,ebh}}UzS4&;1(a8`jssWxU2$9<&c:flqqYvW0"??$m4dnww[tY> =>"o6j`uu]r[<.3= i0hb{{_p]:,10.j2ndyyQ~_8*6-g=cg|~T}R7'6(`8`jssWxU2$:'m;emvpZwX1!2"n6j`uu]r[<.>!l1ocxzPq^;?03<7601o|Q}al]2=>bwzVxjaR<6;erq[wgjW:30h}|Pr`o\0<=cx{Uym`Q:9:fsvZtfeV<27i~}_scn[2?# Ykomk~'KFXN,Jkaescwkw&6<'==7elkeb316>nelli: kg}_bmntljbzV|>S="AOOG/JJHB:kk0dojjc0.emwYdgdzb`h|Pv4]3(~gdk|gT{dj{h<64(djkg~Uj|}|`x<2/gZnf{Vin1<"l_icp[agsiV|j`dj21-a\lduXfm7: nQgar]pgit:9%iT`lzjnb{>66*dWdofSd`ft^djh`;ojmoh=#cff-a\kurne`pjxdaa=0.`ldhXagUjh{3?,bjbjZubdV}bhyf21-aokfmXn{oz1;:?01234)ehmoUfi`zvpd?3(fibnVxn`bok=0.`k``X|pzn1="lodd\slbs`4;3 nac_bmmbla:?%id`Rc`dd?3(fikWyc{iRbjn<6/`drfWdofxt~j=1.geqgX|pzn1="kauc\slbs`48? io{aqcwlZkbe}s{i0>#ddajiZtf|l79 kgctdp\eweo58&meazjr^qfhZqnl}b6=!hflugq[qwm4<'eczjrq]qeqc:9%c{nxhi_lgnp|vb59&eijQ|ylofpw:8%d~aRmgaolfhv;6$gfSkgctr?2(ksjWykd~3=,own[qgw{4>< bjk_r{ni`ruq4;'c}lzfg]nahr~xl7; b{{ptv\v`a:9%e~x}{{_vkgpm;3?%xjxhQlh`ldaZr~xl7; azne]nkac:8%yja}bj_gpfu80389:;<="|cmp\``rbzdcee~zfc<3/w|kjm}xr1<"uesm\tdelagmTjlm{os?2(x7b3ahoin?Pfhp\gjkwaeoyS{;P0^zppZ753gn37cilbtko`7=ig:1yht=4rgf7?vekzk1xej>?012344d<{`m;<=>?010a?vo`89:;<=>?0124f>uno9:;<=>?8c9pmb6789:;<4l4she3456789ki7~gh0123456ej2ybk=>?0123gg=tan:;<=>?0e`8wla789:;<=km;rkd456789:mn6}fg12345668k1xej>?012354d<{`m;<=>?000a?vo`89:;<=??0134f>uno9:;<=>>8c9pmb6789:;=4l4she3456788ki7~gh0123457ej2ybk=>?0122gg=tan:;<=>?1e`8wla789:;<?012364d<{`m;<=>?030a?vo`89:;<=<?0104f>uno9:;<=>=8c9pmb6789:;>4l4she345678;ki7~gh0123454ej2ybk=>?0121gg=tan:;<=>?2e`8wla789:;?012374d<{`m;<=>?020a?vo`89:;<==?0114f>uno9:;<=><8c9pmb6789:;?4l4she345678:ki7~gh0123455ej2ybk=>?0120gg=tan:;<=>?3e`8wla789:;<>km;rkd4567899mn6}fg12345638k1xej>?012304d<{`m;<=>?050a?vo`89:;<=:?0164f>uno9:;<=>;8c9pmb6789:;84l4she345678=ki7~gh0123452ej2ybk=>?0127gg=tan:;<=>?4e`8wla789:;<9km;rkd456789>mn6}fg12345628k1xej>?012314d<{`m;<=>?040a?vo`89:;<=;8o5|if234567=?0174f>uno9:;<=>:8c9pmb6789:;94l4she345678?0126gg=tan:;<=>?5e`8wla789:;<8km;rkd456789?mn6}fg12345618k1xej>?012324d<{`m;<=>?070a?vo`89:;<=8?0144f>uno9:;<=>98c9pmb6789:;:4l4she345678?ki7~gh0123450ej2ybk=>?0125gg=tan:;<=>?6e`8wla789:;<;km;rkd456789?012334d<{`m;<=>?060a?vo`89:;<=9?0154f>uno9:;<=>88c9pmb6789:;;4l4she345678>ki7~gh0123451ej2ybk=>?0124gg=tan:;<=>?7e`8wla789:;<:km;rkd456789=mn6}fg123456?8k1xej>?0123<4d<{`m;<=>?090a?vo`89:;<=6?01:4f>uno9:;<=>78c9pmb6789:;44l4she3456781ki7~gh012345>ej2ybk=>?012;gg=tan:;<=>?8e`8wla789:;<5km;rkd4567892mn6}fg123456>8k1xej>?0123=4d<{`m;<=>?080a?vo`89:;<=7?01;4f>uno9:;<=>68c9pmb6789:;54l4she3456780ki7~gh012345?ej2ybk=>?012:gg=tan:;<=>?9e`8wla789:;<4km;rkd4567893mn6}fg123456f8k1xej>?0123e4d<{`m;<=>?0`0a?vo`89:;<=o?01c4f>uno9:;<=>n8c9pmb6789:;m4l4she345678hki7~gh012345gej2ybk=>?012bgg=tan:;<=>?ae`8wla789:;?0123f4d<{`m;<=>?0c0a?vo`89:;<=l?01`4f>uno9:;<=>m8c9pmb6789:;n4l4she345678kki7~gh012345dej2ybk=>?012agg=tan:;<=>?be`8wla789:;?0123g4d<{`m;<=>?0b0a?vo`89:;<=m?01a4f>uno9:;<=>l8c9pmb6789:;o4l4she345678jki7~gh012345eej2ybk=>?012`gg=tan:;<=>?ce`8wla789:;?0123`4d<{`m;<=>?0e0a?vo`89:;<=j?01f4f>uno9:;<=>k8c9pmb6789:;h4l4she345678mki7~gh012345bej2ybk=>?012ggg=tan:;<=>?de`8wla789:;?0123a4d<{`m;<=>?0d0a?vo`89:;<=k?01g4f>uno9:;<=>j8c9pmb6789:;i4l4she345678lki7~gh012345cej2ybk=>?012fgg=tan:;<=>?ee`8wla789:;?0123b4d<{`m;<=>?0g0a?vo`89:;<=h?01d4f>uno9:;<=>i8c9pmb6789:;j4l4she345678oki7~gh012345`ej2ybk=>?012egg=tan:;<=>?fe`8wla789:;?012244d<{`m;<=>?110a?vo`89:;<<>?0024f>uno9:;<=??8c9pmb6789::<4l4she3456799ki7~gh0123446ej2ybk=>?0133gg=tan:;<=>>0e`8wla789:;==km;rkd456788:mn6}fg12345768k1xej>?012254d<{`m;<=>?100a?vo`89:;<?0034f>uno9:;<=?>8c9pmb6789::=4l4she3456798ki7~gh0123447ej2ybk=>?0132gg=tan:;<=>>1e`8wla789:;=?012264d<{`m;<=>?130a?vo`89:;<<<?0004f>uno9:;<=?=8c9pmb6789::>4l4she345679;ki7~gh0123444ej2ybk=>?0131gg=tan:;<=>>2e`8wla789:;=?km;rkd4567888mn6}fg12345748k1xej>?012274d<{`m;<=>?120a?vo`89:;<<=?0014f>uno9:;<=?<8c9pmb6789::?4l4she345679:ki7~gh0123445ej2ybk=>?0130gg=tan:;<=>>3e`8wla789:;=>km;rkd4567889mn6}fg12345738k1xej>?012204d<{`m;<=>?150a?vo`89:;<<:?0064f>uno9:;<=?;8c9pmb6789::84l4she345679=ki7~gh0123442ej2ybk=>?0137gg=tan:;<=>>4e`8wla789:;=9km;rkd456788>mn6}fg12345728k1xej>?012214d<{`m;<=>?140a?vo`89:;<<;8o5|if234566=?0074f>uno9:;<=?:8c9pmb6789::94l4she345679?0136gg=tan:;<=>>5e`8wla789:;=8km;rkd456788?mn6}fg12345718k1xej>?012224d<{`m;<=>?170a?vo`89:;<<8?0044f>uno9:;<=?98c9pmb6789:::4l4she345679?ki7~gh0123440ej2ybk=>?0135gg=tan:;<=>>6e`8wla789:;=;km;rkd456788?012234d<{`m;<=>?160a?vo`89:;<<9?0054f>uno9:;<=?88c9pmb6789::;4l4she345679>ki7~gh0123441ej2ybk=>?0134gg=tan:;<=>>7e`8wla789:;=:km;rkd456788=mn6}fg123457?8k1xej>?0122<4d<{`m;<=>?190a?vo`89:;<<6?00:4f>uno9:;<=?78c9pmb6789::44l4she3456791ki7~gh012344>ej2ybk=>?013;gg=tan:;<=>>8e`8wla789:;=5km;rkd4567882mn6}fg123457>8k1xej>?0122=4d<{`m;<=>?180a?vo`89:;<<7?00;4f>uno9:;<=?68c9pmb6789::54l4she3456790ki7~gh012344?ej2ybk=>?013:gg=tan:;<=>>9e`8wla789:;=4km;rkd4567883mn6}fg123457f8k1xej>?0122e4d<{`m;<=>?1`0a?vo`89:;<?00c4f>uno9:;<=?n8c9pmb6789::m4l4she345679hki7~gh012344gej2ybk=>?013bgg=tan:;<=>>ae`8wla789:;=lkm;rkd456788kmn6}fg123457e8k1xej>?0122f4d<{`m;<=>?1c0a?vo`89:;<?00`4f>uno9:;<=?m8c9pmb6789::n4l4she345679kki7~gh012344dej2ybk=>?013agg=tan:;<=>>be`8wla789:;=okm;rkd456788hmn6}fg123457d8k1xej>?0122g4d<{`m;<=>?1b0a?vo`89:;<?00a4f>uno9:;<=?l8c9pmb6789::o4l4she345679jki7~gh012344eej2ybk=>?013`gg=tan:;<=>>ce`8wla789:;=nkm;rkd456788imn6}fg123457c8k1xej>?0122`4d<{`m;<=>?1e0a?vo`89:;<?00f4f>uno9:;<=?k8c9pmb6789::h4l4she345679mki7~gh012344bej2ybk=>?013ggg=tan:;<=>>de`8wla789:;=ikm;rkd456788nmn6}fg123457b8k1xej>?0122a4d<{`m;<=>?1d0a?vo`89:;<?00g4f>uno9:;<=?j8c9pmb6789::i4l4she345679lki7~gh012344cej2ybk=>?013fgg=tan:;<=>>ee`8wla789:;=hkm;rkd456788omn6}fg123457a8k1xej>?0122b4d<{`m;<=>?1g0a?vo`89:;<?00d4f>uno9:;<=?i8c9pmb6789::j4l4she345679oki7~gh012344`ej2ybk=>?013egg=tan:;<=>>fe`8wla789:;=kkm;rkd456788lmn6}fg12345478k1xej>?012144d<{`m;<=>?210a?vo`89:;?0324f>uno9:;<=?0103gg=tan:;<=>=0e`8wla789:;>=km;rkd45678;:mn6}fg12345468k1xej>?012154d<{`m;<=>?200a?vo`89:;?0334f>uno9:;<=<>8c9pmb6789:9=4l4she34567:8ki7~gh0123477ej2ybk=>?0102gg=tan:;<=>=1e`8wla789:;>?012164d<{`m;<=>?230a?vo`89:;?0304f>uno9:;<=<=8c9pmb6789:9>4l4she34567:;ki7~gh0123474ej2ybk=>?0101gg=tan:;<=>=2e`8wla789:;>?km;rkd45678;8mn6}fg12345448k1xej>?012174d<{`m;<=>?220a?vo`89:;?0314f>uno9:;<=<<8c9pmb6789:9?4l4she34567::ki7~gh0123475ej2ybk=>?0100gg=tan:;<=>=3e`8wla789:;>>km;rkd45678;9mn6}fg12345438k1xej>?012104d<{`m;<=>?250a?vo`89:;?0364f>uno9:;<=<;8c9pmb6789:984l4she34567:=ki7~gh0123472ej2ybk=>?0107gg=tan:;<=>=4e`8wla789:;>9km;rkd45678;>mn6}fg12345428k1xej>?012114d<{`m;<=>?240a?vo`89:;8o5|if234565=?0374f>uno9:;<=<:8c9pmb6789:994l4she34567:?0106gg=tan:;<=>=5e`8wla789:;>8km;rkd45678;?mn6}fg12345418k1xej>?012124d<{`m;<=>?270a?vo`89:;?0344f>uno9:;<=<98c9pmb6789:9:4l4she34567:?ki7~gh0123470ej2ybk=>?0105gg=tan:;<=>=6e`8wla789:;>;km;rkd45678;?012134d<{`m;<=>?260a?vo`89:;?0354f>uno9:;<=<88c9pmb6789:9;4l4she34567:>ki7~gh0123471ej2ybk=>?0104gg=tan:;<=>=7e`8wla789:;>:km;rkd45678;=mn6}fg123454?8k1xej>?0121<4d<{`m;<=>?290a?vo`89:;?03:4f>uno9:;<=<78c9pmb6789:944l4she34567:1ki7~gh012347>ej2ybk=>?010;gg=tan:;<=>=8e`8wla789:;>5km;rkd45678;2mn6}fg123454>8k1xej>?0121=4d<{`m;<=>?280a?vo`89:;?03;4f>uno9:;<=<68c9pmb6789:954l4she34567:0ki7~gh012347?ej2ybk=>?010:gg=tan:;<=>=9e`8wla789:;>4km;rkd45678;3mn6}fg123454f8k1xej>?0121e4d<{`m;<=>?2`0a?vo`89:;?03c4f>uno9:;<=?010bgg=tan:;<=>=ae`8wla789:;>lkm;rkd45678;kmn6}fg123454e8k1xej>?0121f4d<{`m;<=>?2c0a?vo`89:;?03`4f>uno9:;<=?010agg=tan:;<=>=be`8wla789:;>okm;rkd45678;hmn6}fg123454d8k1xej>?0121g4d<{`m;<=>?2b0a?vo`89:;?03a4f>uno9:;<=?010`gg=tan:;<=>=ce`8wla789:;>nkm;rkd45678;imn6}fg123454c8k1xej>?0121`4d<{`m;<=>?2e0a?vo`89:;?03f4f>uno9:;<=?010ggg=tan:;<=>=de`8wla789:;>ikm;rkd45678;nmn6}fg123454b8k1xej>?0121a4d<{`m;<=>?2d0a?vo`89:;?03g4f>uno9:;<=?010fgg=tan:;<=>=ee`8wla789:;>hkm;rkd45678;omn6}fg123454a8k1xej>?0121b4d<{`m;<=>?2g0a?vo`89:;?03d4f>uno9:;<=?010egg=tan:;<=>=fe`8wla789:;>kkm;rkd45678;lmn6}fg12345578k1xej>?012044d<{`m;<=>?310a?vo`89:;<>>?0224f>uno9:;<==?8c9pmb6789:8<4l4she34567;9ki7~gh0123466ej2ybk=>?0113gg=tan:;<=><0e`8wla789:;?=km;rkd45678::mn6}fg12345568k1xej>?012054d<{`m;<=>?300a?vo`89:;<>??0234f>uno9:;<==>8c9pmb6789:8=4l4she34567;8ki7~gh0123467ej2ybk=>?0112gg=tan:;<=><1e`8wla789:;??012064d<{`m;<=>?330a?vo`89:;<><?0204f>uno9:;<===8c9pmb6789:8>4l4she34567;;ki7~gh0123464ej2ybk=>?0111gg=tan:;<=><2e`8wla789:;??km;rkd45678:8mn6}fg12345548k1xej>?012074d<{`m;<=>?320a?vo`89:;<>=?0214f>uno9:;<==<8c9pmb6789:8?4l4she34567;:ki7~gh0123465ej2ybk=>?0110gg=tan:;<=><3e`8wla789:;?>km;rkd45678:9mn6}fg12345538k1xej>?012004d<{`m;<=>?350a?vo`89:;<>:?0264f>uno9:;<==;8c9pmb6789:884l4she34567;=ki7~gh0123462ej2ybk=>?0117gg=tan:;<=><4e`8wla789:;?9km;rkd45678:>mn6}fg12345528k1xej>?012014d<{`m;<=>?340a?vo`89:;<>;8o5|if234564=?0274f>uno9:;<==:8c9pmb6789:894l4she34567;?0116gg=tan:;<=><5e`8wla789:;?8km;rkd45678:?mn6}fg12345518k1xej>?012024d<{`m;<=>?370a?vo`89:;<>8?0244f>uno9:;<==98c9pmb6789:8:4l4she34567;?ki7~gh0123460ej2ybk=>?0115gg=tan:;<=><6e`8wla789:;?;km;rkd45678:?012034d<{`m;<=>?360a?vo`89:;<>9?0254f>uno9:;<==88c9pmb6789:8;4l4she34567;>ki7~gh0123461ej2ybk=>?0114gg=tan:;<=><7e`8wla789:;?:km;rkd45678:=mn6}fg123455?8k1xej>?0120<4d<{`m;<=>?390a?vo`89:;<>6?02:4f>uno9:;<==78c9pmb6789:844l4she34567;1ki7~gh012346>ej2ybk=>?011;gg=tan:;<=><8e`8wla789:;?5km;rkd45678:2mn6}fg123455>8k1xej>?0120=4d<{`m;<=>?380a?vo`89:;<>7?02;4f>uno9:;<==68c9pmb6789:854l4she34567;0ki7~gh012346?ej2ybk=>?011:gg=tan:;<=><9e`8wla789:;?4km;rkd45678:3mn6}fg123455f8k1xej>?0120e4d<{`m;<=>?3`0a?vo`89:;<>o?02c4f>uno9:;<==n8c9pmb6789:8m4l4she34567;hki7~gh012346gej2ybk=>?011bgg=tan:;<=>?0120f4d<{`m;<=>?3c0a?vo`89:;<>l?02`4f>uno9:;<==m8c9pmb6789:8n4l4she34567;kki7~gh012346dej2ybk=>?011agg=tan:;<=>?0120g4d<{`m;<=>?3b0a?vo`89:;<>m?02a4f>uno9:;<==l8c9pmb6789:8o4l4she34567;jki7~gh012346eej2ybk=>?011`gg=tan:;<=>?0120`4d<{`m;<=>?3e0a?vo`89:;<>j?02f4f>uno9:;<==k8c9pmb6789:8h4l4she34567;mki7~gh012346bej2ybk=>?011ggg=tan:;<=>?0120a4d<{`m;<=>?3d0a?vo`89:;<>k?02g4f>uno9:;<==j8c9pmb6789:8i4l4she34567;lki7~gh012346cej2ybk=>?011fgg=tan:;<=>?0120b4d<{`m;<=>?3g0a?vo`89:;<>h?02d4f>uno9:;<==i8c9pmb6789:8j4l4she34567;oki7~gh012346`ej2ybk=>?011egg=tan:;<=>?012744d<{`m;<=>?410a?vo`89:;<9>;8o5|if2345638?0524f>uno9:;<=:?8c9pmb6789:?<4l4she34567<9ki7~gh0123416ej2ybk=>?0163gg=tan:;<=>;0e`8wla789:;8=km;rkd45678=:mn6}fg12345268k1xej>?012754d<{`m;<=>?400a?vo`89:;<9?:8o5|if2345639?0534f>uno9:;<=:>8c9pmb6789:?=4l4she34567<8ki7~gh0123417ej2ybk=>?0162gg=tan:;<=>;1e`8wla789:;8?012764d<{`m;<=>?430a?vo`89:;<9<98o5|if234563:?0504f>uno9:;<=:=8c9pmb6789:?>4l4she34567<;ki7~gh0123414ej2ybk=>?0161gg=tan:;<=>;2e`8wla789:;8?km;rkd45678=8mn6}fg12345248k1xej>?012774d<{`m;<=>?420a?vo`89:;<9=88o5|if234563;?0514f>uno9:;<=:<8c9pmb6789:??4l4she34567<:ki7~gh0123415ej2ybk=>?0160gg=tan:;<=>;3e`8wla789:;8>km;rkd45678=9mn6}fg12345238k1xej>?012704d<{`m;<=>?450a?vo`89:;<9:?8o5|if234563<?0564f>uno9:;<=:;8c9pmb6789:?84l4she34567<=ki7~gh0123412ej2ybk=>?0167gg=tan:;<=>;4e`8wla789:;89km;rkd45678=>mn6}fg12345228k1xej>?012714d<{`m;<=>?440a?vo`89:;<9;>8o5|if234563=?0574f>uno9:;<=::8c9pmb6789:?94l4she34567<?0166gg=tan:;<=>;5e`8wla789:;88km;rkd45678=?mn6}fg12345218k1xej>?012724d<{`m;<=>?470a?vo`89:;<98=8o5|if234563>?0544f>uno9:;<=:98c9pmb6789:?:4l4she34567?0165gg=tan:;<=>;6e`8wla789:;8;km;rkd45678=?012734d<{`m;<=>?460a?vo`89:;<99<8o5|if234563??0554f>uno9:;<=:88c9pmb6789:?;4l4she34567<>ki7~gh0123411ej2ybk=>?0164gg=tan:;<=>;7e`8wla789:;8:km;rkd45678==mn6}fg123452?8k1xej>?0127<4d<{`m;<=>?490a?vo`89:;<9638o5|if2345630?05:4f>uno9:;<=:78c9pmb6789:?44l4she34567<1ki7~gh012341>ej2ybk=>?016;gg=tan:;<=>;8e`8wla789:;85km;rkd45678=2mn6}fg123452>8k1xej>?0127=4d<{`m;<=>?480a?vo`89:;<9728o5|if2345631?05;4f>uno9:;<=:68c9pmb6789:?54l4she34567<0ki7~gh012341?ej2ybk=>?016:gg=tan:;<=>;9e`8wla789:;84km;rkd45678=3mn6}fg123452f8k1xej>?0127e4d<{`m;<=>?4`0a?vo`89:;<9oj8o5|if234563i?05c4f>uno9:;<=:n8c9pmb6789:?m4l4she34567?016bgg=tan:;<=>;ae`8wla789:;8lkm;rkd45678=kmn6}fg123452e8k1xej>?0127f4d<{`m;<=>?4c0a?vo`89:;<9li8o5|if234563j?05`4f>uno9:;<=:m8c9pmb6789:?n4l4she34567?016agg=tan:;<=>;be`8wla789:;8okm;rkd45678=hmn6}fg123452d8k1xej>?0127g4d<{`m;<=>?4b0a?vo`89:;<9mh8o5|if234563k?05a4f>uno9:;<=:l8c9pmb6789:?o4l4she34567?016`gg=tan:;<=>;ce`8wla789:;8nkm;rkd45678=imn6}fg123452c8k1xej>?0127`4d<{`m;<=>?4e0a?vo`89:;<9jo8o5|if234563l?05f4f>uno9:;<=:k8c9pmb6789:?h4l4she34567?016ggg=tan:;<=>;de`8wla789:;8ikm;rkd45678=nmn6}fg123452b8k1xej>?0127a4d<{`m;<=>?4d0a?vo`89:;<9kn8o5|if234563m?05g4f>uno9:;<=:j8c9pmb6789:?i4l4she34567?016fgg=tan:;<=>;ee`8wla789:;8hkm;rkd45678=omn6}fg123452a8k1xej>?0127b4d<{`m;<=>?4g0a?vo`89:;<9hm8o5|if234563n?05d4f>uno9:;<=:i8c9pmb6789:?j4l4she34567?016egg=tan:;<=>;fe`8wla789:;8kkm;rkd45678=lmn6}fg12345378k1xej>?012644d<{`m;<=>?510a?vo`89:;<8>?0424f>uno9:;<=;?8c9pmb6789:><4l4she34567=9ki7~gh0123406ej2ybk=>?0173gg=tan:;<=>:0e`8wla789:;9=km;rkd45678<:mn6}fg12345368k1xej>?012654d<{`m;<=>?500a?vo`89:;<8??0434f>uno9:;<=;>8c9pmb6789:>=4l4she34567=8ki7~gh0123407ej2ybk=>?0172gg=tan:;<=>:1e`8wla789:;9?012664d<{`m;<=>?530a?vo`89:;<8<?0404f>uno9:;<=;=8c9pmb6789:>>4l4she34567=;ki7~gh0123404ej2ybk=>?0171gg=tan:;<=>:2e`8wla789:;9?km;rkd45678<8mn6}fg12345348k1xej>?012674d<{`m;<=>?520a?vo`89:;<8=?0414f>uno9:;<=;<8c9pmb6789:>?4l4she34567=:ki7~gh0123405ej2ybk=>?0170gg=tan:;<=>:3e`8wla789:;9>km;rkd45678<9mn6}fg12345338k1xej>?012604d<{`m;<=>?550a?vo`89:;<8:?0464f>uno9:;<=;;8c9pmb6789:>84l4she34567==ki7~gh0123402ej2ybk=>?0177gg=tan:;<=>:4e`8wla789:;99km;rkd45678<>mn6}fg12345328k1xej>?012614d<{`m;<=>?540a?vo`89:;<8;8o5|if234562=?0474f>uno9:;<=;:8c9pmb6789:>94l4she34567=?0176gg=tan:;<=>:5e`8wla789:;98km;rkd45678?012624d<{`m;<=>?570a?vo`89:;<88?0444f>uno9:;<=;98c9pmb6789:>:4l4she34567=?ki7~gh0123400ej2ybk=>?0175gg=tan:;<=>:6e`8wla789:;9;km;rkd45678<?012634d<{`m;<=>?560a?vo`89:;<89?0454f>uno9:;<=;88c9pmb6789:>;4l4she34567=>ki7~gh0123401ej2ybk=>?0174gg=tan:;<=>:7e`8wla789:;9:km;rkd45678<=mn6}fg123453?8k1xej>?0126<4d<{`m;<=>?590a?vo`89:;<86?04:4f>uno9:;<=;78c9pmb6789:>44l4she34567=1ki7~gh012340>ej2ybk=>?017;gg=tan:;<=>:8e`8wla789:;95km;rkd45678<2mn6}fg123453>8k1xej>?0126=4d<{`m;<=>?580a?vo`89:;<87?04;4f>uno9:;<=;68c9pmb6789:>54l4she34567=0ki7~gh012340?ej2ybk=>?017:gg=tan:;<=>:9e`8wla789:;94km;rkd45678<3mn6}fg123453f8k1xej>?0126e4d<{`m;<=>?5`0a?vo`89:;<8o?04c4f>uno9:;<=;n8c9pmb6789:>m4l4she34567=hki7~gh012340gej2ybk=>?017bgg=tan:;<=>:ae`8wla789:;9lkm;rkd45678?0126f4d<{`m;<=>?5c0a?vo`89:;<8l?04`4f>uno9:;<=;m8c9pmb6789:>n4l4she34567=kki7~gh012340dej2ybk=>?017agg=tan:;<=>:be`8wla789:;9okm;rkd45678?0126g4d<{`m;<=>?5b0a?vo`89:;<8m?04a4f>uno9:;<=;l8c9pmb6789:>o4l4she34567=jki7~gh012340eej2ybk=>?017`gg=tan:;<=>:ce`8wla789:;9nkm;rkd45678?0126`4d<{`m;<=>?5e0a?vo`89:;<8j?04f4f>uno9:;<=;k8c9pmb6789:>h4l4she34567=mki7~gh012340bej2ybk=>?017ggg=tan:;<=>:de`8wla789:;9ikm;rkd45678?0126a4d<{`m;<=>?5d0a?vo`89:;<8k?04g4f>uno9:;<=;j8c9pmb6789:>i4l4she34567=lki7~gh012340cej2ybk=>?017fgg=tan:;<=>:ee`8wla789:;9hkm;rkd45678?0126b4d<{`m;<=>?5g0a?vo`89:;<8h?04d4f>uno9:;<=;i8c9pmb6789:>j4l4she34567=oki7~gh012340`ej2ybk=>?017egg=tan:;<=>:fe`8wla789:;9kkm;rkd45678?012544d<{`m;<=>?610a?vo`89:;<;>?0724f>uno9:;<=8?8c9pmb6789:=<4l4she34567>9ki7~gh0123436ej2ybk=>?0143gg=tan:;<=>90e`8wla789:;:=km;rkd45678?:mn6}fg12345068k1xej>?012554d<{`m;<=>?600a?vo`89:;<;??0734f>uno9:;<=8>8c9pmb6789:==4l4she34567>8ki7~gh0123437ej2ybk=>?0142gg=tan:;<=>91e`8wla789:;:?012564d<{`m;<=>?630a?vo`89:;<;<?0704f>uno9:;<=8=8c9pmb6789:=>4l4she34567>;ki7~gh0123434ej2ybk=>?0141gg=tan:;<=>92e`8wla789:;:?km;rkd45678?8mn6}fg12345048k1xej>?012574d<{`m;<=>?620a?vo`89:;<;=?0714f>uno9:;<=8<8c9pmb6789:=?4l4she34567>:ki7~gh0123435ej2ybk=>?0140gg=tan:;<=>93e`8wla789:;:>km;rkd45678?9mn6}fg12345038k1xej>?012504d<{`m;<=>?650a?vo`89:;<;:?0764f>uno9:;<=8;8c9pmb6789:=84l4she34567>=ki7~gh0123432ej2ybk=>?0147gg=tan:;<=>94e`8wla789:;:9km;rkd45678?>mn6}fg12345028k1xej>?012514d<{`m;<=>?640a?vo`89:;<;;8o5|if234561=?0774f>uno9:;<=8:8c9pmb6789:=94l4she34567>?0146gg=tan:;<=>95e`8wla789:;:8km;rkd45678??mn6}fg12345018k1xej>?012524d<{`m;<=>?670a?vo`89:;<;8?0744f>uno9:;<=898c9pmb6789:=:4l4she34567>?ki7~gh0123430ej2ybk=>?0145gg=tan:;<=>96e`8wla789:;:;km;rkd45678??012534d<{`m;<=>?660a?vo`89:;<;9?0754f>uno9:;<=888c9pmb6789:=;4l4she34567>>ki7~gh0123431ej2ybk=>?0144gg=tan:;<=>97e`8wla789:;::km;rkd45678?=mn6}fg123450?8k1xej>?0125<4d<{`m;<=>?690a?vo`89:;<;6?07:4f>uno9:;<=878c9pmb6789:=44l4she34567>1ki7~gh012343>ej2ybk=>?014;gg=tan:;<=>98e`8wla789:;:5km;rkd45678?2mn6}fg123450>8k1xej>?0125=4d<{`m;<=>?680a?vo`89:;<;7?07;4f>uno9:;<=868c9pmb6789:=54l4she34567>0ki7~gh012343?ej2ybk=>?014:gg=tan:;<=>99e`8wla789:;:4km;rkd45678?3mn6}fg123450f8k1xej>?0125e4d<{`m;<=>?6`0a?vo`89:;<;o?07c4f>uno9:;<=8n8c9pmb6789:=m4l4she34567>hki7~gh012343gej2ybk=>?014bgg=tan:;<=>9ae`8wla789:;:lkm;rkd45678?kmn6}fg123450e8k1xej>?0125f4d<{`m;<=>?6c0a?vo`89:;<;l?07`4f>uno9:;<=8m8c9pmb6789:=n4l4she34567>kki7~gh012343dej2ybk=>?014agg=tan:;<=>9be`8wla789:;:okm;rkd45678?hmn6}fg123450d8k1xej>?0125g4d<{`m;<=>?6b0a?vo`89:;<;m?07a4f>uno9:;<=8l8c9pmb6789:=o4l4she34567>jki7~gh012343eej2ybk=>?014`gg=tan:;<=>9ce`8wla789:;:nkm;rkd45678?imn6}fg123450c8k1xej>?0125`4d<{`m;<=>?6e0a?vo`89:;<;j?07f4f>uno9:;<=8k8c9pmb6789:=h4l4she34567>mki7~gh012343bej2ybk=>?014ggg=tan:;<=>9de`8wla789:;:ikm;rkd45678?nmn6}fg123450b8k1xej>?0125a4d<{`m;<=>?6d0a?vo`89:;<;k?07g4f>uno9:;<=8j8c9pmb6789:=i4l4she34567>lki7~gh012343cej2ybk=>?014fgg=tan:;<=>9ee`8wla789:;:hkm;rkd45678?omn6}fg123450a8k1xej>?0125b4d<{`m;<=>?6g0a?vo`89:;<;h?07d4f>uno9:;<=8i8c9pmb6789:=j4l4she34567>oki7~gh012343`ej2ybk=>?014egg=tan:;<=>9fe`8wla789:;:kkm;rkd45678?lmn6}fg12345178k1xej>?012444d<{`m;<=>?710a?vo`89:;<:>?0624f>uno9:;<=9?8c9pmb6789:<<4l4she34567?9ki7~gh0123426ej2ybk=>?0153gg=tan:;<=>80e`8wla789:;;=km;rkd45678>:mn6}fg12345168k1xej>?012454d<{`m;<=>?700a?vo`89:;<:??0634f>uno9:;<=9>8c9pmb6789:<=4l4she34567?8ki7~gh0123427ej2ybk=>?0152gg=tan:;<=>81e`8wla789:;;;mn6}fg12345158k1xej>?012464d<{`m;<=>?730a?vo`89:;<:<?0604f>uno9:;<=9=8c9pmb6789:<>4l4she34567?;ki7~gh0123424ej2ybk=>?0151gg=tan:;<=>82e`8wla789:;;?km;rkd45678>8mn6}fg12345148k1xej>?012474d<{`m;<=>?720a?vo`89:;<:=?0614f>uno9:;<=9<8c9pmb6789:?0150gg=tan:;<=>83e`8wla789:;;>km;rkd45678>9mn6}fg12345138k1xej>?012404d<{`m;<=>?750a?vo`89:;<::?0664f>uno9:;<=9;8c9pmb6789:<84l4she34567?=ki7~gh0123422ej2ybk=>?0157gg=tan:;<=>84e`8wla789:;;9km;rkd45678>>mn6}fg12345128k1xej>?012414d<{`m;<=>?740a?vo`89:;<:;8o5|if234560=?0674f>uno9:;<=9:8c9pmb6789:<94l4she34567??0156gg=tan:;<=>85e`8wla789:;;8km;rkd45678>?mn6}fg12345118k1xej>?012424d<{`m;<=>?770a?vo`89:;<:8?0644f>uno9:;<=998c9pmb6789:<:4l4she34567??ki7~gh0123420ej2ybk=>?0155gg=tan:;<=>86e`8wla789:;;;km;rkd45678>?012434d<{`m;<=>?760a?vo`89:;<:9?0654f>uno9:;<=988c9pmb6789:<;4l4she34567?>ki7~gh0123421ej2ybk=>?0154gg=tan:;<=>87e`8wla789:;;:km;rkd45678>=mn6}fg123451?8k1xej>?0124<4d<{`m;<=>?790a?vo`89:;<:6?06:4f>uno9:;<=978c9pmb6789:<44l4she34567?1ki7~gh012342>ej2ybk=>?015;gg=tan:;<=>88e`8wla789:;;5km;rkd45678>2mn6}fg123451>8k1xej>?0124=4d<{`m;<=>?780a?vo`89:;<:7?06;4f>uno9:;<=968c9pmb6789:<54l4she34567?0ki7~gh012342?ej2ybk=>?015:gg=tan:;<=>89e`8wla789:;;4km;rkd45678>3mn6}fg123451f8k1xej>?0124e4d<{`m;<=>?7`0a?vo`89:;<:o?06c4f>uno9:;<=9n8c9pmb6789:?015bgg=tan:;<=>8ae`8wla789:;;lkm;rkd45678>kmn6}fg123451e8k1xej>?0124f4d<{`m;<=>?7c0a?vo`89:;<:l?06`4f>uno9:;<=9m8c9pmb6789:?015agg=tan:;<=>8be`8wla789:;;okm;rkd45678>hmn6}fg123451d8k1xej>?0124g4d<{`m;<=>?7b0a?vo`89:;<:m?06a4f>uno9:;<=9l8c9pmb6789:?015`gg=tan:;<=>8ce`8wla789:;;nkm;rkd45678>imn6}fg123451c8k1xej>?0124`4d<{`m;<=>?7e0a?vo`89:;<:j?06f4f>uno9:;<=9k8c9pmb6789:?015ggg=tan:;<=>8de`8wla789:;;ikm;rkd45678>nmn6}fg123451b8k1xej>?0124a4d<{`m;<=>?7d0a?vo`89:;<:k?06g4f>uno9:;<=9j8c9pmb6789:?015fgg=tan:;<=>8ee`8wla789:;;hkm;rkd45678>omn6}fg123451a8k1xej>?0124b4d<{`m;<=>?7g0a?vo`89:;<:h?06d4f>uno9:;<=9i8c9pmb6789:?015egg=tan:;<=>8fe`8wla789:;;kkm;rkd45678>lmn6}fg12345>78k1xej>?012;44d<{`m;<=>?810a?vo`89:;<5>?0924f>uno9:;<=6?8c9pmb6789:3<4l4she3456709ki7~gh01234=6ej2ybk=>?01:3gg=tan:;<=>70e`8wla789:;4=km;rkd456781:mn6}fg12345>68k1xej>?012;54d<{`m;<=>?800a?vo`89:;<5??0934f>uno9:;<=6>8c9pmb6789:3=4l4she3456708ki7~gh01234=7ej2ybk=>?01:2gg=tan:;<=>71e`8wla789:;458k1xej>?012;64d<{`m;<=>?830a?vo`89:;<5<?0904f>uno9:;<=6=8c9pmb6789:3>4l4she345670;ki7~gh01234=4ej2ybk=>?01:1gg=tan:;<=>72e`8wla789:;4?km;rkd4567818mn6}fg12345>48k1xej>?012;74d<{`m;<=>?820a?vo`89:;<5=?0914f>uno9:;<=6<8c9pmb6789:3?4l4she345670:ki7~gh01234=5ej2ybk=>?01:0gg=tan:;<=>73e`8wla789:;4>km;rkd4567819mn6}fg12345>38k1xej>?012;04d<{`m;<=>?850a?vo`89:;<5:?0964f>uno9:;<=6;8c9pmb6789:384l4she345670=ki7~gh01234=2ej2ybk=>?01:7gg=tan:;<=>74e`8wla789:;49km;rkd456781>mn6}fg12345>28k1xej>?012;14d<{`m;<=>?840a?vo`89:;<5;8o5|if23456?=?0974f>uno9:;<=6:8c9pmb6789:394l4she345670?01:6gg=tan:;<=>75e`8wla789:;48km;rkd456781?mn6}fg12345>18k1xej>?012;24d<{`m;<=>?870a?vo`89:;<58?0944f>uno9:;<=698c9pmb6789:3:4l4she345670?ki7~gh01234=0ej2ybk=>?01:5gg=tan:;<=>76e`8wla789:;4;km;rkd45678108k1xej>?012;34d<{`m;<=>?860a?vo`89:;<59?0954f>uno9:;<=688c9pmb6789:3;4l4she345670>ki7~gh01234=1ej2ybk=>?01:4gg=tan:;<=>77e`8wla789:;4:km;rkd456781=mn6}fg12345>?8k1xej>?012;<4d<{`m;<=>?890a?vo`89:;<56?09:4f>uno9:;<=678c9pmb6789:344l4she3456701ki7~gh01234=>ej2ybk=>?01:;gg=tan:;<=>78e`8wla789:;45km;rkd4567812mn6}fg12345>>8k1xej>?012;=4d<{`m;<=>?880a?vo`89:;<57?09;4f>uno9:;<=668c9pmb6789:354l4she3456700ki7~gh01234=?ej2ybk=>?01::gg=tan:;<=>79e`8wla789:;44km;rkd4567813mn6}fg12345>f8k1xej>?012;e4d<{`m;<=>?8`0a?vo`89:;<5o?09c4f>uno9:;<=6n8c9pmb6789:3m4l4she345670hki7~gh01234=gej2ybk=>?01:bgg=tan:;<=>7ae`8wla789:;4lkm;rkd456781kmn6}fg12345>e8k1xej>?012;f4d<{`m;<=>?8c0a?vo`89:;<5l?09`4f>uno9:;<=6m8c9pmb6789:3n4l4she345670kki7~gh01234=dej2ybk=>?01:agg=tan:;<=>7be`8wla789:;4okm;rkd456781hmn6}fg12345>d8k1xej>?012;g4d<{`m;<=>?8b0a?vo`89:;<5m?09a4f>uno9:;<=6l8c9pmb6789:3o4l4she345670jki7~gh01234=eej2ybk=>?01:`gg=tan:;<=>7ce`8wla789:;4nkm;rkd456781imn6}fg12345>c8k1xej>?012;`4d<{`m;<=>?8e0a?vo`89:;<5j?09f4f>uno9:;<=6k8c9pmb6789:3h4l4she345670mki7~gh01234=bej2ybk=>?01:ggg=tan:;<=>7de`8wla789:;4ikm;rkd456781nmn6}fg12345>b8k1xej>?012;a4d<{`m;<=>?8d0a?vo`89:;<5k?09g4f>uno9:;<=6j8c9pmb6789:3i4l4she345670lki7~gh01234=cej2ybk=>?01:fgg=tan:;<=>7ee`8wla789:;4hkm;rkd456781omn6}fg12345>a8k1xej>?012;b4d<{`m;<=>?8g0a?vo`89:;<5h?09d4f>uno9:;<=6i8c9pmb6789:3j4l4she345670oki7~gh01234=`ej2ybk=>?01:egg=tan:;<=>7fe`8wla789:;4kkm;rkd456781lmn6}fg12345?78k1xej>?012:44d<{`m;<=>?910a?vo`89:;<4>8?0824f>uno9:;<=7?8c9pmb6789:2<4l4she3456719ki7~gh01234<6ej2ybk=>?01;3gg=tan:;<=>60e`8wla789:;5=km;rkd456780:mn6}fg12345?68k1xej>?012:54d<{`m;<=>?900a?vo`89:;<4?9?0834f>uno9:;<=7>8c9pmb6789:2=4l4she3456718ki7~gh01234<7ej2ybk=>?01;2gg=tan:;<=>61e`8wla789:;5?012:64d<{`m;<=>?930a?vo`89:;<4<:?0804f>uno9:;<=7=8c9pmb6789:2>4l4she345671;ki7~gh01234<4ej2ybk=>?01;1gg=tan:;<=>62e`8wla789:;5?km;rkd4567808mn6}fg12345?48k1xej>?012:74d<{`m;<=>?920a?vo`89:;<4=;?0814f>uno9:;<=7<8c9pmb6789:2?4l4she345671:ki7~gh01234<5ej2ybk=>?01;0gg=tan:;<=>63e`8wla789:;5>km;rkd4567809mn6}fg12345?38k1xej>?012:04d<{`m;<=>?950a?vo`89:;<4:<?0864f>uno9:;<=7;8c9pmb6789:284l4she345671=ki7~gh01234<2ej2ybk=>?01;7gg=tan:;<=>64e`8wla789:;59km;rkd456780>mn6}fg12345?28k1xej>?012:14d<{`m;<=>?940a?vo`89:;<4;8o5|if23456>=?0874f>uno9:;<=7:8c9pmb6789:294l4she345671?01;6gg=tan:;<=>65e`8wla789:;58km;rkd456780?mn6}fg12345?18k1xej>?012:24d<{`m;<=>?970a?vo`89:;<48>?0844f>uno9:;<=798c9pmb6789:2:4l4she345671?ki7~gh01234<0ej2ybk=>?01;5gg=tan:;<=>66e`8wla789:;5;km;rkd456780?012:34d<{`m;<=>?960a?vo`89:;<49??0854f>uno9:;<=788c9pmb6789:2;4l4she345671>ki7~gh01234<1ej2ybk=>?01;4gg=tan:;<=>67e`8wla789:;5:km;rkd456780=mn6}fg12345??8k1xej>?012:<4d<{`m;<=>?990a?vo`89:;<460?08:4f>uno9:;<=778c9pmb6789:244l4she3456711ki7~gh01234<>ej2ybk=>?01;;gg=tan:;<=>68e`8wla789:;55km;rkd4567802mn6}fg12345?>8k1xej>?012:=4d<{`m;<=>?980a?vo`89:;<471?08;4f>uno9:;<=768c9pmb6789:254l4she3456710ki7~gh01234?01;:gg=tan:;<=>69e`8wla789:;54km;rkd4567803mn6}fg12345?f8k1xej>?012:e4d<{`m;<=>?9`0a?vo`89:;<4oi?08c4f>uno9:;<=7n8c9pmb6789:2m4l4she345671hki7~gh01234?01;bgg=tan:;<=>6ae`8wla789:;5lkm;rkd456780kmn6}fg12345?e8k1xej>?012:f4d<{`m;<=>?9c0a?vo`89:;<4lj?08`4f>uno9:;<=7m8c9pmb6789:2n4l4she345671kki7~gh01234?01;agg=tan:;<=>6be`8wla789:;5okm;rkd456780hmn6}fg12345?d8k1xej>?012:g4d<{`m;<=>?9b0a?vo`89:;<4mk?08a4f>uno9:;<=7l8c9pmb6789:2o4l4she345671jki7~gh01234?01;`gg=tan:;<=>6ce`8wla789:;5nkm;rkd456780imn6}fg12345?c8k1xej>?012:`4d<{`m;<=>?9e0a?vo`89:;<4jl?08f4f>uno9:;<=7k8c9pmb6789:2h4l4she345671mki7~gh01234?01;ggg=tan:;<=>6de`8wla789:;5ikm;rkd456780nmn6}fg12345?b8k1xej>?012:a4d<{`m;<=>?9d0a?vo`89:;<4km?08g4f>uno9:;<=7j8c9pmb6789:2i4l4she345671lki7~gh01234?01;fgg=tan:;<=>6ee`8wla789:;5hkm;rkd456780omn6}fg12345?a8k1xej>?012:b4d<{`m;<=>?9g0a?vo`89:;<4hn?08d4f>uno9:;<=7i8c9pmb6789:2j4l4she345671oki7~gh01234<`ej2ybk=>?01;egg=tan:;<=>6fe`8wla789:;5kkm;rkd456780lmn6}fg12345g78k1xej>?012b44d<{`m;<=>?a10a?vo`89:;?0`24f>uno9:;<=o?8c9pmb6789:j<4l4she34567i9ki7~gh01234d6ej2ybk=>?01c3gg=tan:;<=>n0e`8wla789:;m=km;rkd45678h:mn6}fg12345g68k1xej>?012b54d<{`m;<=>?a00a?vo`89:;?0`34f>uno9:;<=o>8c9pmb6789:j=4l4she34567i8ki7~gh01234d7ej2ybk=>?01c2gg=tan:;<=>n1e`8wla789:;m?012b64d<{`m;<=>?a30a?vo`89:;?0`04f>uno9:;<=o=8c9pmb6789:j>4l4she34567i;ki7~gh01234d4ej2ybk=>?01c1gg=tan:;<=>n2e`8wla789:;m?km;rkd45678h8mn6}fg12345g48k1xej>?012b74d<{`m;<=>?a20a?vo`89:;?0`14f>uno9:;<=o<8c9pmb6789:j?4l4she34567i:ki7~gh01234d5ej2ybk=>?01c0gg=tan:;<=>n3e`8wla789:;m>km;rkd45678h9mn6}fg12345g38k1xej>?012b04d<{`m;<=>?a50a?vo`89:;?0`64f>uno9:;<=o;8c9pmb6789:j84l4she34567i=ki7~gh01234d2ej2ybk=>?01c7gg=tan:;<=>n4e`8wla789:;m9km;rkd45678h>mn6}fg12345g28k1xej>?012b14d<{`m;<=>?a40a?vo`89:;8o5|if23456f=?0`74f>uno9:;<=o:8c9pmb6789:j94l4she34567i?01c6gg=tan:;<=>n5e`8wla789:;m8km;rkd45678h?mn6}fg12345g18k1xej>?012b24d<{`m;<=>?a70a?vo`89:;?0`44f>uno9:;<=o98c9pmb6789:j:4l4she34567i?ki7~gh01234d0ej2ybk=>?01c5gg=tan:;<=>n6e`8wla789:;m;km;rkd45678h?012b34d<{`m;<=>?a60a?vo`89:;?0`54f>uno9:;<=o88c9pmb6789:j;4l4she34567i>ki7~gh01234d1ej2ybk=>?01c4gg=tan:;<=>n7e`8wla789:;m:km;rkd45678h=mn6}fg12345g?8k1xej>?012b<4d<{`m;<=>?a90a?vo`89:;?0`:4f>uno9:;<=o78c9pmb6789:j44l4she34567i1ki7~gh01234d>ej2ybk=>?01c;gg=tan:;<=>n8e`8wla789:;m5km;rkd45678h2mn6}fg12345g>8k1xej>?012b=4d<{`m;<=>?a80a?vo`89:;?0`;4f>uno9:;<=o68c9pmb6789:j54l4she34567i0ki7~gh01234d?ej2ybk=>?01c:gg=tan:;<=>n9e`8wla789:;m4km;rkd45678h3mn6}fg12345gf8k1xej>?012be4d<{`m;<=>?a`0a?vo`89:;?0`c4f>uno9:;<=on8c9pmb6789:jm4l4she34567ihki7~gh01234dgej2ybk=>?01cbgg=tan:;<=>nae`8wla789:;mlkm;rkd45678hkmn6}fg12345ge8k1xej>?012bf4d<{`m;<=>?ac0a?vo`89:;?0``4f>uno9:;<=om8c9pmb6789:jn4l4she34567ikki7~gh01234ddej2ybk=>?01cagg=tan:;<=>nbe`8wla789:;mokm;rkd45678hhmn6}fg12345gd8k1xej>?012bg4d<{`m;<=>?ab0a?vo`89:;?0`a4f>uno9:;<=ol8c9pmb6789:jo4l4she34567ijki7~gh01234deej2ybk=>?01c`gg=tan:;<=>nce`8wla789:;mnkm;rkd45678himn6}fg12345gc8k1xej>?012b`4d<{`m;<=>?ae0a?vo`89:;?0`f4f>uno9:;<=ok8c9pmb6789:jh4l4she34567imki7~gh01234dbej2ybk=>?01cggg=tan:;<=>nde`8wla789:;mikm;rkd45678hnmn6}fg12345gb8k1xej>?012ba4d<{`m;<=>?ad0a?vo`89:;?0`g4f>uno9:;<=oj8c9pmb6789:ji4l4she34567ilki7~gh01234dcej2ybk=>?01cfgg=tan:;<=>nee`8wla789:;mhkm;rkd45678homn6}fg12345ga8k1xej>?012bb4d<{`m;<=>?ag0a?vo`89:;?0`d4f>uno9:;<=oi8c9pmb6789:jj4l4she34567ioki7~gh01234d`ej2ybk=>?01cegg=tan:;<=>nfe`8wla789:;mkkm;rkd45678hlmn6}fg12345d78k1xej>?012a44d<{`m;<=>?b10a?vo`89:;?0c24f>uno9:;<=l?8c9pmb6789:i<4l4she34567j9ki7~gh01234g6ej2ybk=>?01`3gg=tan:;<=>m0e`8wla789:;n=km;rkd45678k:mn6}fg12345d68k1xej>?012a54d<{`m;<=>?b00a?vo`89:;?0c34f>uno9:;<=l>8c9pmb6789:i=4l4she34567j8ki7~gh01234g7ej2ybk=>?01`2gg=tan:;<=>m1e`8wla789:;n?012a64d<{`m;<=>?b30a?vo`89:;?0c04f>uno9:;<=l=8c9pmb6789:i>4l4she34567j;ki7~gh01234g4ej2ybk=>?01`1gg=tan:;<=>m2e`8wla789:;n?km;rkd45678k8mn6}fg12345d48k1xej>?012a74d<{`m;<=>?b20a?vo`89:;?0c14f>uno9:;<=l<8c9pmb6789:i?4l4she34567j:ki7~gh01234g5ej2ybk=>?01`0gg=tan:;<=>m3e`8wla789:;n>km;rkd45678k9mn6}fg12345d38k1xej>?012a04d<{`m;<=>?b50a?vo`89:;?0c64f>uno9:;<=l;8c9pmb6789:i84l4she34567j=ki7~gh01234g2ej2ybk=>?01`7gg=tan:;<=>m4e`8wla789:;n9km;rkd45678k>mn6}fg12345d28k1xej>?012a14d<{`m;<=>?b40a?vo`89:;8o5|if23456e=?0c74f>uno9:;<=l:8c9pmb6789:i94l4she34567j?01`6gg=tan:;<=>m5e`8wla789:;n8km;rkd45678k?mn6}fg12345d18k1xej>?012a24d<{`m;<=>?b70a?vo`89:;?0c44f>uno9:;<=l98c9pmb6789:i:4l4she34567j?ki7~gh01234g0ej2ybk=>?01`5gg=tan:;<=>m6e`8wla789:;n;km;rkd45678k?012a34d<{`m;<=>?b60a?vo`89:;?0c54f>uno9:;<=l88c9pmb6789:i;4l4she34567j>ki7~gh01234g1ej2ybk=>?01`4gg=tan:;<=>m7e`8wla789:;n:km;rkd45678k=mn6}fg12345d?8k1xej>?012a<4d<{`m;<=>?b90a?vo`89:;?0c:4f>uno9:;<=l78c9pmb6789:i44l4she34567j1ki7~gh01234g>ej2ybk=>?01`;gg=tan:;<=>m8e`8wla789:;n5km;rkd45678k2mn6}fg12345d>8k1xej>?012a=4d<{`m;<=>?b80a?vo`89:;?0c;4f>uno9:;<=l68c9pmb6789:i54l4she34567j0ki7~gh01234g?ej2ybk=>?01`:gg=tan:;<=>m9e`8wla789:;n4km;rkd45678k3mn6}fg12345df8k1xej>?012ae4d<{`m;<=>?b`0a?vo`89:;?0cc4f>uno9:;<=ln8c9pmb6789:im4l4she34567jhki7~gh01234ggej2ybk=>?01`bgg=tan:;<=>mae`8wla789:;nlkm;rkd45678kkmn6}fg12345de8k1xej>?012af4d<{`m;<=>?bc0a?vo`89:;?0c`4f>uno9:;<=lm8c9pmb6789:in4l4she34567jkki7~gh01234gdej2ybk=>?01`agg=tan:;<=>mbe`8wla789:;nokm;rkd45678khmn6}fg12345dd8k1xej>?012ag4d<{`m;<=>?bb0a?vo`89:;?0ca4f>uno9:;<=ll8c9pmb6789:io4l4she34567jjki7~gh01234geej2ybk=>?01``gg=tan:;<=>mce`8wla789:;nnkm;rkd45678kimn6}fg12345dc8k1xej>?012a`4d<{`m;<=>?be0a?vo`89:;?0cf4f>uno9:;<=lk8c9pmb6789:ih4l4she34567jmki7~gh01234gbej2ybk=>?01`ggg=tan:;<=>mde`8wla789:;nikm;rkd45678knmn6}fg12345db8k1xej>?012aa4d<{`m;<=>?bd0a?vo`89:;?0cg4f>uno9:;<=lj8c9pmb6789:ii4l4she34567jlki7~gh01234gcej2ybk=>?01`fgg=tan:;<=>mee`8wla789:;nhkm;rkd45678komn6}fg12345da8k1xej>?012ab4d<{`m;<=>?bg0a?vo`89:;?0cd4f>uno9:;<=li8c9pmb6789:ij4l4she34567joki7~gh01234g`ej2ybk=>?01`egg=tan:;<=>mfe`8wla789:;nkkm;rkd45678klmn6}fg12345e78k1xej>?012`44d<{`m;<=>?c10a?vo`89:;?0b24f>uno9:;<=m?8c9pmb6789:h<4l4she34567k9ki7~gh01234f6ej2ybk=>?01a3gg=tan:;<=>l0e`8wla789:;o=km;rkd45678j:mn6}fg12345e68k1xej>?012`54d<{`m;<=>?c00a?vo`89:;?0b34f>uno9:;<=m>8c9pmb6789:h=4l4she34567k8ki7~gh01234f7ej2ybk=>?01a2gg=tan:;<=>l1e`8wla789:;o?012`64d<{`m;<=>?c30a?vo`89:;?0b04f>uno9:;<=m=8c9pmb6789:h>4l4she34567k;ki7~gh01234f4ej2ybk=>?01a1gg=tan:;<=>l2e`8wla789:;o?km;rkd45678j8mn6}fg12345e48k1xej>?012`74d<{`m;<=>?c20a?vo`89:;?0b14f>uno9:;<=m<8c9pmb6789:h?4l4she34567k:ki7~gh01234f5ej2ybk=>?01a0gg=tan:;<=>l3e`8wla789:;o>km;rkd45678j9mn6}fg12345e38k1xej>?012`04d<{`m;<=>?c50a?vo`89:;?0b64f>uno9:;<=m;8c9pmb6789:h84l4she34567k=ki7~gh01234f2ej2ybk=>?01a7gg=tan:;<=>l4e`8wla789:;o9km;rkd45678j>mn6}fg12345e28k1xej>?012`14d<{`m;<=>?c40a?vo`89:;8o5|if23456d=?0b74f>uno9:;<=m:8c9pmb6789:h94l4she34567k?01a6gg=tan:;<=>l5e`8wla789:;o8km;rkd45678j?mn6}fg12345e18k1xej>?012`24d<{`m;<=>?c70a?vo`89:;?0b44f>uno9:;<=m98c9pmb6789:h:4l4she34567k?ki7~gh01234f0ej2ybk=>?01a5gg=tan:;<=>l6e`8wla789:;o;km;rkd45678j?012`34d<{`m;<=>?c60a?vo`89:;?0b54f>uno9:;<=m88c9pmb6789:h;4l4she34567k>ki7~gh01234f1ej2ybk=>?01a4gg=tan:;<=>l7e`8wla789:;o:km;rkd45678j=mn6}fg12345e?8k1xej>?012`<4d<{`m;<=>?c90a?vo`89:;?0b:4f>uno9:;<=m78c9pmb6789:h44l4she34567k1ki7~gh01234f>ej2ybk=>?01a;gg=tan:;<=>l8e`8wla789:;o5km;rkd45678j2mn6}fg12345e>8k1xej>?012`=4d<{`m;<=>?c80a?vo`89:;?0b;4f>uno9:;<=m68c9pmb6789:h54l4she34567k0ki7~gh01234f?ej2ybk=>?01a:gg=tan:;<=>l9e`8wla789:;o4km;rkd45678j3mn6}fg12345ef8k1xej>?012`e4d<{`m;<=>?c`0a?vo`89:;?0bc4f>uno9:;<=mn8c9pmb6789:hm4l4she34567khki7~gh01234fgej2ybk=>?01abgg=tan:;<=>lae`8wla789:;olkm;rkd45678jkmn6}fg12345ee8k1xej>?012`f4d<{`m;<=>?cc0a?vo`89:;?0b`4f>uno9:;<=mm8c9pmb6789:hn4l4she34567kkki7~gh01234fdej2ybk=>?01aagg=tan:;<=>lbe`8wla789:;ookm;rkd45678jhmn6}fg12345ed8k1xej>?012`g4d<{`m;<=>?cb0a?vo`89:;?0ba4f>uno9:;<=ml8c9pmb6789:ho4l4she34567kjki7~gh01234feej2ybk=>?01a`gg=tan:;<=>lce`8wla789:;onkm;rkd45678jimn6}fg12345ec8k1xej>?012``4d<{`m;<=>?ce0a?vo`89:;?0bf4f>uno9:;<=mk8c9pmb6789:hh4l4she34567kmki7~gh01234fbej2ybk=>?01aggg=tan:;<=>lde`8wla789:;oikm;rkd45678jnmn6}fg12345eb8k1xej>?012`a4d<{`m;<=>?cd0a?vo`89:;?0bg4f>uno9:;<=mj8c9pmb6789:hi4l4she34567klki7~gh01234fcej2ybk=>?01afgg=tan:;<=>lee`8wla789:;ohkm;rkd45678jomn6}fg12345ea8k1xej>?012`b4d<{`m;<=>?cg0a?vo`89:;?0bd4f>uno9:;<=mi8c9pmb6789:hj4l4she34567koki7~gh01234f`ej2ybk=>?01aegg=tan:;<=>lfe`8wla789:;okkm;rkd45678jlmn6}fg12345b78k1xej>?012g44d<{`m;<=>?d10a?vo`89:;?0e24f>uno9:;<=j?8c9pmb6789:o<4l4she34567l9ki7~gh01234a6ej2ybk=>?01f3gg=tan:;<=>k0e`8wla789:;h=km;rkd45678m:mn6}fg12345b68k1xej>?012g54d<{`m;<=>?d00a?vo`89:;?0e34f>uno9:;<=j>8c9pmb6789:o=4l4she34567l8ki7~gh01234a7ej2ybk=>?01f2gg=tan:;<=>k1e`8wla789:;h?012g64d<{`m;<=>?d30a?vo`89:;?0e04f>uno9:;<=j=8c9pmb6789:o>4l4she34567l;ki7~gh01234a4ej2ybk=>?01f1gg=tan:;<=>k2e`8wla789:;h?km;rkd45678m8mn6}fg12345b48k1xej>?012g74d<{`m;<=>?d20a?vo`89:;?0e14f>uno9:;<=j<8c9pmb6789:o?4l4she34567l:ki7~gh01234a5ej2ybk=>?01f0gg=tan:;<=>k3e`8wla789:;h>km;rkd45678m9mn6}fg12345b38k1xej>?012g04d<{`m;<=>?d50a?vo`89:;?0e64f>uno9:;<=j;8c9pmb6789:o84l4she34567l=ki7~gh01234a2ej2ybk=>?01f7gg=tan:;<=>k4e`8wla789:;h9km;rkd45678m>mn6}fg12345b28k1xej>?012g14d<{`m;<=>?d40a?vo`89:;8o5|if23456c=?0e74f>uno9:;<=j:8c9pmb6789:o94l4she34567l?01f6gg=tan:;<=>k5e`8wla789:;h8km;rkd45678m?mn6}fg12345b18k1xej>?012g24d<{`m;<=>?d70a?vo`89:;?0e44f>uno9:;<=j98c9pmb6789:o:4l4she34567l?ki7~gh01234a0ej2ybk=>?01f5gg=tan:;<=>k6e`8wla789:;h;km;rkd45678m?012g34d<{`m;<=>?d60a?vo`89:;?0e54f>uno9:;<=j88c9pmb6789:o;4l4she34567l>ki7~gh01234a1ej2ybk=>?01f4gg=tan:;<=>k7e`8wla789:;h:km;rkd45678m=mn6}fg12345b?8k1xej>?012g<4d<{`m;<=>?d90a?vo`89:;?0e:4f>uno9:;<=j78c9pmb6789:o44l4she34567l1ki7~gh01234a>ej2ybk=>?01f;gg=tan:;<=>k8e`8wla789:;h5km;rkd45678m2mn6}fg12345b>8k1xej>?012g=4d<{`m;<=>?d80a?vo`89:;?0e;4f>uno9:;<=j68c9pmb6789:o54l4she34567l0ki7~gh01234a?ej2ybk=>?01f:gg=tan:;<=>k9e`8wla789:;h4km;rkd45678m3mn6}fg12345bf8k1xej>?012ge4d<{`m;<=>?d`0a?vo`89:;?0ec4f>uno9:;<=jn8c9pmb6789:om4l4she34567lhki7~gh01234agej2ybk=>?01fbgg=tan:;<=>kae`8wla789:;hlkm;rkd45678mkmn6}fg12345be8k1xej>?012gf4d<{`m;<=>?dc0a?vo`89:;?0e`4f>uno9:;<=jm8c9pmb6789:on4l4she34567lkki7~gh01234adej2ybk=>?01fagg=tan:;<=>kbe`8wla789:;hokm;rkd45678mhmn6}fg12345bd8k1xej>?012gg4d<{`m;<=>?db0a?vo`89:;?0ea4f>uno9:;<=jl8c9pmb6789:oo4l4she34567ljki7~gh01234aeej2ybk=>?01f`gg=tan:;<=>kce`8wla789:;hnkm;rkd45678mimn6}fg12345bc8k1xej>?012g`4d<{`m;<=>?de0a?vo`89:;?0ef4f>uno9:;<=jk8c9pmb6789:oh4l4she34567lmki7~gh01234abej2ybk=>?01fggg=tan:;<=>kde`8wla789:;hikm;rkd45678mnmn6}fg12345bb8k1xej>?012ga4d<{`m;<=>?dd0a?vo`89:;?0eg4f>uno9:;<=jj8c9pmb6789:oi4l4she34567llki7~gh01234acej2ybk=>?01ffgg=tan:;<=>kee`8wla789:;hhkm;rkd45678momn6}fg12345ba8k1xej>?012gb4d<{`m;<=>?dg0a?vo`89:;?0ed4f>uno9:;<=ji8c9pmb6789:oj4l4she34567loki7~gh01234a`ej2ybk=>?01fegg=tan:;<=>kfe`8wla789:;hkkm;rkd45678mlmn6}fg12345c78k1xej>?012f44d<{`m;<=>?e10a?vo`89:;?0d24f>uno9:;<=k?8c9pmb6789:n<4l4she34567m9ki7~gh01234`6ej2ybk=>?01g3gg=tan:;<=>j0e`8wla789:;i=km;rkd45678l:mn6}fg12345c68k1xej>?012f54d<{`m;<=>?e00a?vo`89:;?0d34f>uno9:;<=k>8c9pmb6789:n=4l4she34567m8ki7~gh01234`7ej2ybk=>?01g2gg=tan:;<=>j1e`8wla789:;i?012f64d<{`m;<=>?e30a?vo`89:;?0d04f>uno9:;<=k=8c9pmb6789:n>4l4she34567m;ki7~gh01234`4ej2ybk=>?01g1gg=tan:;<=>j2e`8wla789:;i?km;rkd45678l8mn6}fg12345c48k1xej>?012f74d<{`m;<=>?e20a?vo`89:;?0d14f>uno9:;<=k<8c9pmb6789:n?4l4she34567m:ki7~gh01234`5ej2ybk=>?01g0gg=tan:;<=>j3e`8wla789:;i>km;rkd45678l9mn6}fg12345c38k1xej>?012f04d<{`m;<=>?e50a?vo`89:;?0d64f>uno9:;<=k;8c9pmb6789:n84l4she34567m=ki7~gh01234`2ej2ybk=>?01g7gg=tan:;<=>j4e`8wla789:;i9km;rkd45678l>mn6}fg12345c28k1xej>?012f14d<{`m;<=>?e40a?vo`89:;8o5|if23456b=?0d74f>uno9:;<=k:8c9pmb6789:n94l4she34567m?01g6gg=tan:;<=>j5e`8wla789:;i8km;rkd45678l?mn6}fg12345c18k1xej>?012f24d<{`m;<=>?e70a?vo`89:;?0d44f>uno9:;<=k98c9pmb6789:n:4l4she34567m?ki7~gh01234`0ej2ybk=>?01g5gg=tan:;<=>j6e`8wla789:;i;km;rkd45678l?012f34d<{`m;<=>?e60a?vo`89:;?0d54f>uno9:;<=k88c9pmb6789:n;4l4she34567m>ki7~gh01234`1ej2ybk=>?01g4gg=tan:;<=>j7e`8wla789:;i:km;rkd45678l=mn6}fg12345c?8k1xej>?012f<4d<{`m;<=>?e90a?vo`89:;?0d:4f>uno9:;<=k78c9pmb6789:n44l4she34567m1ki7~gh01234`>ej2ybk=>?01g;gg=tan:;<=>j8e`8wla789:;i5km;rkd45678l2mn6}fg12345c>8k1xej>?012f=4d<{`m;<=>?e80a?vo`89:;?0d;4f>uno9:;<=k68c9pmb6789:n54l4she34567m0ki7~gh01234`?ej2ybk=>?01g:gg=tan:;<=>j9e`8wla789:;i4km;rkd45678l3mn6}fg12345cf8k1xej>?012fe4d<{`m;<=>?e`0a?vo`89:;?0dc4f>uno9:;<=kn8c9pmb6789:nm4l4she34567mhki7~gh01234`gej2ybk=>?01gbgg=tan:;<=>jae`8wla789:;ilkm;rkd45678lkmn6}fg12345ce8k1xej>?012ff4d<{`m;<=>?ec0a?vo`89:;?0d`4f>uno9:;<=km8c9pmb6789:nn4l4she34567mkki7~gh01234`dej2ybk=>?01gagg=tan:;<=>jbe`8wla789:;iokm;rkd45678lhmn6}fg12345cd8k1xej>?012fg4d<{`m;<=>?eb0a?vo`89:;?0da4f>uno9:;<=kl8c9pmb6789:no4l4she34567mjki7~gh01234`eej2ybk=>?01g`gg=tan:;<=>jce`8wla789:;inkm;rkd45678limn6}fg12345cc8k1xej>?012f`4d<{`m;<=>?ee0a?vo`89:;?0df4f>uno9:;<=kk8c9pmb6789:nh4l4she34567mmki7~gh01234`bej2ybk=>?01gggg=tan:;<=>jde`8wla789:;iikm;rkd45678lnmn6}fg12345cb8k1xej>?012fa4d<{`m;<=>?ed0a?vo`89:;?0dg4f>uno9:;<=kj8c9pmb6789:ni4l4she34567mlki7~gh01234`cej2ybk=>?01gfgg=tan:;<=>jee`8wla789:;ihkm;rkd45678lomn6}fg12345ca8k1xej>?012fb4d<{`m;<=>?eg0a?vo`89:;?0dd4f>uno9:;<=ki8c9pmb6789:nj4l4she34567moki7~gh01234``ej2ybk=>?01gegg=tan:;<=>jfe`8wla789:;ikkm;rkd45678llmn6}fg12345`78k1xej>?012e44d<{`m;<=>?f10a?vo`89:;?0g24f>uno9:;<=h?8c9pmb6789:m<4l4she34567n9ki7~gh01234c6ej2ybk=>?01d3gg=tan:;<=>i0e`8wla789:;j=km;rkd45678o:mn6}fg12345`68k1xej>?012e54d<{`m;<=>?f00a?vo`89:;?0g34f>uno9:;<=h>8c9pmb6789:m=4l4she34567n8ki7~gh01234c7ej2ybk=>?01d2gg=tan:;<=>i1e`8wla789:;j?012e64d<{`m;<=>?f30a?vo`89:;?0g04f>uno9:;<=h=8c9pmb6789:m>4l4she34567n;ki7~gh01234c4ej2ybk=>?01d1gg=tan:;<=>i2e`8wla789:;j?km;rkd45678o8mn6}fg12345`48k1xej>?012e74d<{`m;<=>?f20a?vo`89:;?0g14f>uno9:;<=h<8c9pmb6789:m?4l4she34567n:ki7~gh01234c5ej2ybk=>?01d0gg=tan:;<=>i3e`8wla789:;j>km;rkd45678o9mn6}fg12345`38k1xej>?012e04d<{`m;<=>?f50a?vo`89:;?0g64f>uno9:;<=h;8c9pmb6789:m84l4she34567n=ki7~gh01234c2ej2ybk=>?01d7gg=tan:;<=>i4e`8wla789:;j9km;rkd45678o>mn6}fg12345`28k1xej>?012e14d<{`m;<=>?f40a?vo`89:;8o5|if23456a=?0g74f>uno9:;<=h:8c9pmb6789:m94l4she34567n?01d6gg=tan:;<=>i5e`8wla789:;j8km;rkd45678o?mn6}fg12345`18k1xej>?012e24d<{`m;<=>?f70a?vo`89:;?0g44f>uno9:;<=h98c9pmb6789:m:4l4she34567n?ki7~gh01234c0ej2ybk=>?01d5gg=tan:;<=>i6e`8wla789:;j;km;rkd45678o?012e34d<{`m;<=>?f60a?vo`89:;?0g54f>uno9:;<=h88c9pmb6789:m;4l4she34567n>ki7~gh01234c1ej2ybk=>?01d4gg=tan:;<=>i7e`8wla789:;j:km;rkd45678o=mn6}fg12345`?8k1xej>?012e<4d<{`m;<=>?f90a?vo`89:;?0g:4f>uno9:;<=h78c9pmb6789:m44l4she34567n1ki7~gh01234c>ej2ybk=>?01d;gg=tan:;<=>i8e`8wla789:;j5km;rkd45678o2mn6}fg12345`>8k1xej>?012e=4d<{`m;<=>?f80a?vo`89:;?0g;4f>uno9:;<=h68c9pmb6789:m54l4she34567n0ki7~gh01234c?ej2ybk=>?01d:gg=tan:;<=>i9e`8wla789:;j4km;rkd45678o3mn6}fg12345`f8k1xej>?012ee4d<{`m;<=>?f`0a?vo`89:;?0gc4f>uno9:;<=hn8c9pmb6789:mm4l4she34567nhki7~gh01234cgej2ybk=>?01dbgg=tan:;<=>iae`8wla789:;jlkm;rkd45678okmn6}fg12345`e8k1xej>?012ef4d<{`m;<=>?fc0a?vo`89:;?0g`4f>uno9:;<=hm8c9pmb6789:mn4l4she34567nkki7~gh01234cdej2ybk=>?01dagg=tan:;<=>ibe`8wla789:;jokm;rkd45678ohmn6}fg12345`d8k1xej>?012eg4d<{`m;<=>?fb0a?vo`89:;?0ga4f>uno9:;<=hl8c9pmb6789:mo4l4she34567njki7~gh01234ceej2ybk=>?01d`gg=tan:;<=>ice`8wla789:;jnkm;rkd45678oimn6}fg12345`c8k1xej>?012e`4d<{`m;<=>?fe0a?vo`89:;?0gf4f>uno9:;<=hk8c9pmb6789:mh4l4she34567nmki7~gh01234cbej2ybk=>?01dggg=tan:;<=>ide`8wla789:;jikm;rkd45678onmn6}fg12345`b8k1xej>?012ea4d<{`m;<=>?fd0a?vo`89:;?0gg4f>uno9:;<=hj8c9pmb6789:mi4l4she34567nlki7~gh01234ccej2ybk=>?01dfgg=tan:;<=>iee`8wla789:;jhkm;rkd45678oomn6}fg12345`a8k1xej>?012eb4d<{`m;<=>?fg0a?vo`89:;?0gd4f>uno9:;<=hi8c9pmb6789:mj4l4she34567noki7~gh01234c`ej2ybk=>?01degg=tan:;<=>ife`8wla789:;jkkm;rkd45678olmn6}fg12344678k1xej>?013344d<{`m;<=>>010a?vo`89:;==>?1124f>uno9:;<<>?8c9pmb6789;;<4l4she3456689ki7~gh0123556ej2ybk=>?0023gg=tan:;<=??0e`8wla789::<=km;rkd456799:mn6}fg12344668k1xej>?013354d<{`m;<=>>000a?vo`89:;==??1134f>uno9:;<<>>8c9pmb6789;;=4l4she3456688ki7~gh0123557ej2ybk=>?0022gg=tan:;<=??1e`8wla789::<?013364d<{`m;<=>>030a?vo`89:;==<?1104f>uno9:;<<>=8c9pmb6789;;>4l4she345668;ki7~gh0123554ej2ybk=>?0021gg=tan:;<=??2e`8wla789::?013374d<{`m;<=>>020a?vo`89:;===?1114f>uno9:;<<><8c9pmb6789;;?4l4she345668:ki7~gh0123555ej2ybk=>?0020gg=tan:;<=??3e`8wla789::<>km;rkd4567999mn6}fg12344638k1xej>?013304d<{`m;<=>>050a?vo`89:;==:?1164f>uno9:;<<>;8c9pmb6789;;84l4she345668=ki7~gh0123552ej2ybk=>?0027gg=tan:;<=??4e`8wla789::<9km;rkd456799>mn6}fg12344628k1xej>?013314d<{`m;<=>>040a?vo`89:;==;8o5|if234577=?1174f>uno9:;<<>:8c9pmb6789;;94l4she345668?0026gg=tan:;<=??5e`8wla789::<8km;rkd456799?mn6}fg12344618k1xej>?013324d<{`m;<=>>070a?vo`89:;==8?1144f>uno9:;<<>98c9pmb6789;;:4l4she345668?ki7~gh0123550ej2ybk=>?0025gg=tan:;<=??6e`8wla789::<;km;rkd456799?013334d<{`m;<=>>060a?vo`89:;==9?1154f>uno9:;<<>88c9pmb6789;;;4l4she345668>ki7~gh0123551ej2ybk=>?0024gg=tan:;<=??7e`8wla789::<:km;rkd456799=mn6}fg123446?8k1xej>?0133<4d<{`m;<=>>090a?vo`89:;==6?11:4f>uno9:;<<>78c9pmb6789;;44l4she3456681ki7~gh012355>ej2ybk=>?002;gg=tan:;<=??8e`8wla789::<5km;rkd4567992mn6}fg123446>8k1xej>?0133=4d<{`m;<=>>080a?vo`89:;==7?11;4f>uno9:;<<>68c9pmb6789;;54l4she3456680ki7~gh012355?ej2ybk=>?002:gg=tan:;<=??9e`8wla789::<4km;rkd4567993mn6}fg123446f8k1xej>?0133e4d<{`m;<=>>0`0a?vo`89:;==o?11c4f>uno9:;<<>n8c9pmb6789;;m4l4she345668hki7~gh012355gej2ybk=>?002bgg=tan:;<=??ae`8wla789::?0133f4d<{`m;<=>>0c0a?vo`89:;==l?11`4f>uno9:;<<>m8c9pmb6789;;n4l4she345668kki7~gh012355dej2ybk=>?002agg=tan:;<=??be`8wla789::?0133g4d<{`m;<=>>0b0a?vo`89:;==m?11a4f>uno9:;<<>l8c9pmb6789;;o4l4she345668jki7~gh012355eej2ybk=>?002`gg=tan:;<=??ce`8wla789::?0133`4d<{`m;<=>>0e0a?vo`89:;==j?11f4f>uno9:;<<>k8c9pmb6789;;h4l4she345668mki7~gh012355bej2ybk=>?002ggg=tan:;<=??de`8wla789::?0133a4d<{`m;<=>>0d0a?vo`89:;==k?11g4f>uno9:;<<>j8c9pmb6789;;i4l4she345668lki7~gh012355cej2ybk=>?002fgg=tan:;<=??ee`8wla789::?0133b4d<{`m;<=>>0g0a?vo`89:;==h?11d4f>uno9:;<<>i8c9pmb6789;;j4l4she345668oki7~gh012355`ej2ybk=>?002egg=tan:;<=??fe`8wla789::?013244d<{`m;<=>>110a?vo`89:;=<>?1024f>uno9:;<?0033gg=tan:;<=?>0e`8wla789::==km;rkd456798:mn6}fg12344768k1xej>?013254d<{`m;<=>>100a?vo`89:;=?1034f>uno9:;<8c9pmb6789;:=4l4she3456698ki7~gh0123547ej2ybk=>?0032gg=tan:;<=?>1e`8wla789::=?013264d<{`m;<=>>130a?vo`89:;=<<?1004f>uno9:;<4l4she345669;ki7~gh0123544ej2ybk=>?0031gg=tan:;<=?>2e`8wla789::=?km;rkd4567988mn6}fg12344748k1xej>?013274d<{`m;<=>>120a?vo`89:;=<=?1014f>uno9:;<?0030gg=tan:;<=?>3e`8wla789::=>km;rkd4567989mn6}fg12344738k1xej>?013204d<{`m;<=>>150a?vo`89:;=<:?1064f>uno9:;<?0037gg=tan:;<=?>4e`8wla789::=9km;rkd456798>mn6}fg12344728k1xej>?013214d<{`m;<=>>140a?vo`89:;=<;8o5|if234576=?1074f>uno9:;<?0036gg=tan:;<=?>5e`8wla789::=8km;rkd456798?mn6}fg12344718k1xej>?013224d<{`m;<=>>170a?vo`89:;=<8?1044f>uno9:;<?0035gg=tan:;<=?>6e`8wla789::=;km;rkd456798?013234d<{`m;<=>>160a?vo`89:;=<9?1054f>uno9:;<ki7~gh0123541ej2ybk=>?0034gg=tan:;<=?>7e`8wla789::=:km;rkd456798=mn6}fg123447?8k1xej>?0132<4d<{`m;<=>>190a?vo`89:;=<6?10:4f>uno9:;<ej2ybk=>?003;gg=tan:;<=?>8e`8wla789::=5km;rkd4567982mn6}fg123447>8k1xej>?0132=4d<{`m;<=>>180a?vo`89:;=<7?10;4f>uno9:;<?003:gg=tan:;<=?>9e`8wla789::=4km;rkd4567983mn6}fg123447f8k1xej>?0132e4d<{`m;<=>>1`0a?vo`89:;=?10c4f>uno9:;<?003bgg=tan:;<=?>ae`8wla789::=lkm;rkd456798kmn6}fg123447e8k1xej>?0132f4d<{`m;<=>>1c0a?vo`89:;=?10`4f>uno9:;<?003agg=tan:;<=?>be`8wla789::=okm;rkd456798hmn6}fg123447d8k1xej>?0132g4d<{`m;<=>>1b0a?vo`89:;=?10a4f>uno9:;<?003`gg=tan:;<=?>ce`8wla789::=nkm;rkd456798imn6}fg123447c8k1xej>?0132`4d<{`m;<=>>1e0a?vo`89:;=?10f4f>uno9:;<?003ggg=tan:;<=?>de`8wla789::=ikm;rkd456798nmn6}fg123447b8k1xej>?0132a4d<{`m;<=>>1d0a?vo`89:;=?10g4f>uno9:;<?003fgg=tan:;<=?>ee`8wla789::=hkm;rkd456798omn6}fg123447a8k1xej>?0132b4d<{`m;<=>>1g0a?vo`89:;=?10d4f>uno9:;<?003egg=tan:;<=?>fe`8wla789::=kkm;rkd456798lmn6}fg12344478k1xej>?013144d<{`m;<=>>210a?vo`89:;=?>?1324f>uno9:;<<?0003gg=tan:;<=?=0e`8wla789::>=km;rkd45679;:mn6}fg12344468k1xej>?013154d<{`m;<=>>200a?vo`89:;=???1334f>uno9:;<<<>8c9pmb6789;9=4l4she34566:8ki7~gh0123577ej2ybk=>?0002gg=tan:;<=?=1e`8wla789::>?013164d<{`m;<=>>230a?vo`89:;=?<?1304f>uno9:;<<<=8c9pmb6789;9>4l4she34566:;ki7~gh0123574ej2ybk=>?0001gg=tan:;<=?=2e`8wla789::>?km;rkd45679;8mn6}fg12344448k1xej>?013174d<{`m;<=>>220a?vo`89:;=?=?1314f>uno9:;<<<<8c9pmb6789;9?4l4she34566::ki7~gh0123575ej2ybk=>?0000gg=tan:;<=?=3e`8wla789::>>km;rkd45679;9mn6}fg12344438k1xej>?013104d<{`m;<=>>250a?vo`89:;=?:?1364f>uno9:;<<<;8c9pmb6789;984l4she34566:=ki7~gh0123572ej2ybk=>?0007gg=tan:;<=?=4e`8wla789::>9km;rkd45679;>mn6}fg12344428k1xej>?013114d<{`m;<=>>240a?vo`89:;=?;8o5|if234575=?1374f>uno9:;<<<:8c9pmb6789;994l4she34566:?0006gg=tan:;<=?=5e`8wla789::>8km;rkd45679;?mn6}fg12344418k1xej>?013124d<{`m;<=>>270a?vo`89:;=?8?1344f>uno9:;<<<98c9pmb6789;9:4l4she34566:?ki7~gh0123570ej2ybk=>?0005gg=tan:;<=?=6e`8wla789::>;km;rkd45679;?013134d<{`m;<=>>260a?vo`89:;=?9?1354f>uno9:;<<<88c9pmb6789;9;4l4she34566:>ki7~gh0123571ej2ybk=>?0004gg=tan:;<=?=7e`8wla789::>:km;rkd45679;=mn6}fg123444?8k1xej>?0131<4d<{`m;<=>>290a?vo`89:;=?6?13:4f>uno9:;<<<78c9pmb6789;944l4she34566:1ki7~gh012357>ej2ybk=>?000;gg=tan:;<=?=8e`8wla789::>5km;rkd45679;2mn6}fg123444>8k1xej>?0131=4d<{`m;<=>>280a?vo`89:;=?7?13;4f>uno9:;<<<68c9pmb6789;954l4she34566:0ki7~gh012357?ej2ybk=>?000:gg=tan:;<=?=9e`8wla789::>4km;rkd45679;3mn6}fg123444f8k1xej>?0131e4d<{`m;<=>>2`0a?vo`89:;=?o?13c4f>uno9:;<<?000bgg=tan:;<=?=ae`8wla789::>lkm;rkd45679;kmn6}fg123444e8k1xej>?0131f4d<{`m;<=>>2c0a?vo`89:;=?l?13`4f>uno9:;<<?000agg=tan:;<=?=be`8wla789::>okm;rkd45679;hmn6}fg123444d8k1xej>?0131g4d<{`m;<=>>2b0a?vo`89:;=?m?13a4f>uno9:;<<?000`gg=tan:;<=?=ce`8wla789::>nkm;rkd45679;imn6}fg123444c8k1xej>?0131`4d<{`m;<=>>2e0a?vo`89:;=?j?13f4f>uno9:;<<?000ggg=tan:;<=?=de`8wla789::>ikm;rkd45679;nmn6}fg123444b8k1xej>?0131a4d<{`m;<=>>2d0a?vo`89:;=?k?13g4f>uno9:;<<?000fgg=tan:;<=?=ee`8wla789::>hkm;rkd45679;omn6}fg123444a8k1xej>?0131b4d<{`m;<=>>2g0a?vo`89:;=?h?13d4f>uno9:;<<?000egg=tan:;<=?=fe`8wla789::>kkm;rkd45679;lmn6}fg12344578k1xej>?013044d<{`m;<=>>310a?vo`89:;=>>?1224f>uno9:;<<=?8c9pmb6789;8<4l4she34566;9ki7~gh0123566ej2ybk=>?0013gg=tan:;<=?<0e`8wla789::?=km;rkd45679::mn6}fg12344568k1xej>?013054d<{`m;<=>>300a?vo`89:;=>??1234f>uno9:;<<=>8c9pmb6789;8=4l4she34566;8ki7~gh0123567ej2ybk=>?0012gg=tan:;<=?<1e`8wla789::??013064d<{`m;<=>>330a?vo`89:;=><?1204f>uno9:;<<==8c9pmb6789;8>4l4she34566;;ki7~gh0123564ej2ybk=>?0011gg=tan:;<=?<2e`8wla789::??km;rkd45679:8mn6}fg12344548k1xej>?013074d<{`m;<=>>320a?vo`89:;=>=?1214f>uno9:;<<=<8c9pmb6789;8?4l4she34566;:ki7~gh0123565ej2ybk=>?0010gg=tan:;<=?<3e`8wla789::?>km;rkd45679:9mn6}fg12344538k1xej>?013004d<{`m;<=>>350a?vo`89:;=>:?1264f>uno9:;<<=;8c9pmb6789;884l4she34566;=ki7~gh0123562ej2ybk=>?0017gg=tan:;<=?<4e`8wla789::?9km;rkd45679:>mn6}fg12344528k1xej>?013014d<{`m;<=>>340a?vo`89:;=>;8o5|if234574=?1274f>uno9:;<<=:8c9pmb6789;894l4she34566;?0016gg=tan:;<=?<5e`8wla789::?8km;rkd45679:?mn6}fg12344518k1xej>?013024d<{`m;<=>>370a?vo`89:;=>8?1244f>uno9:;<<=98c9pmb6789;8:4l4she34566;?ki7~gh0123560ej2ybk=>?0015gg=tan:;<=?<6e`8wla789::?;km;rkd45679:?013034d<{`m;<=>>360a?vo`89:;=>9?1254f>uno9:;<<=88c9pmb6789;8;4l4she34566;>ki7~gh0123561ej2ybk=>?0014gg=tan:;<=?<7e`8wla789::?:km;rkd45679:=mn6}fg123445?8k1xej>?0130<4d<{`m;<=>>390a?vo`89:;=>6?12:4f>uno9:;<<=78c9pmb6789;844l4she34566;1ki7~gh012356>ej2ybk=>?001;gg=tan:;<=?<8e`8wla789::?5km;rkd45679:2mn6}fg123445>8k1xej>?0130=4d<{`m;<=>>380a?vo`89:;=>7?12;4f>uno9:;<<=68c9pmb6789;854l4she34566;0ki7~gh012356?ej2ybk=>?001:gg=tan:;<=?<9e`8wla789::?4km;rkd45679:3mn6}fg123445f8k1xej>?0130e4d<{`m;<=>>3`0a?vo`89:;=>o?12c4f>uno9:;<<=n8c9pmb6789;8m4l4she34566;hki7~gh012356gej2ybk=>?001bgg=tan:;<=??0130f4d<{`m;<=>>3c0a?vo`89:;=>l?12`4f>uno9:;<<=m8c9pmb6789;8n4l4she34566;kki7~gh012356dej2ybk=>?001agg=tan:;<=??0130g4d<{`m;<=>>3b0a?vo`89:;=>m?12a4f>uno9:;<<=l8c9pmb6789;8o4l4she34566;jki7~gh012356eej2ybk=>?001`gg=tan:;<=??0130`4d<{`m;<=>>3e0a?vo`89:;=>j?12f4f>uno9:;<<=k8c9pmb6789;8h4l4she34566;mki7~gh012356bej2ybk=>?001ggg=tan:;<=??0130a4d<{`m;<=>>3d0a?vo`89:;=>k?12g4f>uno9:;<<=j8c9pmb6789;8i4l4she34566;lki7~gh012356cej2ybk=>?001fgg=tan:;<=??0130b4d<{`m;<=>>3g0a?vo`89:;=>h?12d4f>uno9:;<<=i8c9pmb6789;8j4l4she34566;oki7~gh012356`ej2ybk=>?001egg=tan:;<=??013744d<{`m;<=>>410a?vo`89:;=9>;8o5|if2345738?1524f>uno9:;<<:?8c9pmb6789;?<4l4she34566<9ki7~gh0123516ej2ybk=>?0063gg=tan:;<=?;0e`8wla789::8=km;rkd45679=:mn6}fg12344268k1xej>?013754d<{`m;<=>>400a?vo`89:;=9?:8o5|if2345739?1534f>uno9:;<<:>8c9pmb6789;?=4l4she34566<8ki7~gh0123517ej2ybk=>?0062gg=tan:;<=?;1e`8wla789::8?013764d<{`m;<=>>430a?vo`89:;=9<98o5|if234573:?1504f>uno9:;<<:=8c9pmb6789;?>4l4she34566<;ki7~gh0123514ej2ybk=>?0061gg=tan:;<=?;2e`8wla789::8?km;rkd45679=8mn6}fg12344248k1xej>?013774d<{`m;<=>>420a?vo`89:;=9=88o5|if234573;?1514f>uno9:;<<:<8c9pmb6789;??4l4she34566<:ki7~gh0123515ej2ybk=>?0060gg=tan:;<=?;3e`8wla789::8>km;rkd45679=9mn6}fg12344238k1xej>?013704d<{`m;<=>>450a?vo`89:;=9:?8o5|if234573<?1564f>uno9:;<<:;8c9pmb6789;?84l4she34566<=ki7~gh0123512ej2ybk=>?0067gg=tan:;<=?;4e`8wla789::89km;rkd45679=>mn6}fg12344228k1xej>?013714d<{`m;<=>>440a?vo`89:;=9;>8o5|if234573=?1574f>uno9:;<<::8c9pmb6789;?94l4she34566<?0066gg=tan:;<=?;5e`8wla789::88km;rkd45679=?mn6}fg12344218k1xej>?013724d<{`m;<=>>470a?vo`89:;=98=8o5|if234573>?1544f>uno9:;<<:98c9pmb6789;?:4l4she34566?0065gg=tan:;<=?;6e`8wla789::8;km;rkd45679=?013734d<{`m;<=>>460a?vo`89:;=99<8o5|if234573??1554f>uno9:;<<:88c9pmb6789;?;4l4she34566<>ki7~gh0123511ej2ybk=>?0064gg=tan:;<=?;7e`8wla789::8:km;rkd45679==mn6}fg123442?8k1xej>?0137<4d<{`m;<=>>490a?vo`89:;=9638o5|if2345730?15:4f>uno9:;<<:78c9pmb6789;?44l4she34566<1ki7~gh012351>ej2ybk=>?006;gg=tan:;<=?;8e`8wla789::85km;rkd45679=2mn6}fg123442>8k1xej>?0137=4d<{`m;<=>>480a?vo`89:;=9728o5|if2345731?15;4f>uno9:;<<:68c9pmb6789;?54l4she34566<0ki7~gh012351?ej2ybk=>?006:gg=tan:;<=?;9e`8wla789::84km;rkd45679=3mn6}fg123442f8k1xej>?0137e4d<{`m;<=>>4`0a?vo`89:;=9oj8o5|if234573i?15c4f>uno9:;<<:n8c9pmb6789;?m4l4she34566?006bgg=tan:;<=?;ae`8wla789::8lkm;rkd45679=kmn6}fg123442e8k1xej>?0137f4d<{`m;<=>>4c0a?vo`89:;=9li8o5|if234573j?15`4f>uno9:;<<:m8c9pmb6789;?n4l4she34566?006agg=tan:;<=?;be`8wla789::8okm;rkd45679=hmn6}fg123442d8k1xej>?0137g4d<{`m;<=>>4b0a?vo`89:;=9mh8o5|if234573k?15a4f>uno9:;<<:l8c9pmb6789;?o4l4she34566?006`gg=tan:;<=?;ce`8wla789::8nkm;rkd45679=imn6}fg123442c8k1xej>?0137`4d<{`m;<=>>4e0a?vo`89:;=9jo8o5|if234573l?15f4f>uno9:;<<:k8c9pmb6789;?h4l4she34566?006ggg=tan:;<=?;de`8wla789::8ikm;rkd45679=nmn6}fg123442b8k1xej>?0137a4d<{`m;<=>>4d0a?vo`89:;=9kn8o5|if234573m?15g4f>uno9:;<<:j8c9pmb6789;?i4l4she34566?006fgg=tan:;<=?;ee`8wla789::8hkm;rkd45679=omn6}fg123442a8k1xej>?0137b4d<{`m;<=>>4g0a?vo`89:;=9hm8o5|if234573n?15d4f>uno9:;<<:i8c9pmb6789;?j4l4she34566?006egg=tan:;<=?;fe`8wla789::8kkm;rkd45679=lmn6}fg12344378k1xej>?013644d<{`m;<=>>510a?vo`89:;=8>?1424f>uno9:;<<;?8c9pmb6789;><4l4she34566=9ki7~gh0123506ej2ybk=>?0073gg=tan:;<=?:0e`8wla789::9=km;rkd45679<:mn6}fg12344368k1xej>?013654d<{`m;<=>>500a?vo`89:;=8??1434f>uno9:;<<;>8c9pmb6789;>=4l4she34566=8ki7~gh0123507ej2ybk=>?0072gg=tan:;<=?:1e`8wla789::9?013664d<{`m;<=>>530a?vo`89:;=8<?1404f>uno9:;<<;=8c9pmb6789;>>4l4she34566=;ki7~gh0123504ej2ybk=>?0071gg=tan:;<=?:2e`8wla789::9?km;rkd45679<8mn6}fg12344348k1xej>?013674d<{`m;<=>>520a?vo`89:;=8=?1414f>uno9:;<<;<8c9pmb6789;>?4l4she34566=:ki7~gh0123505ej2ybk=>?0070gg=tan:;<=?:3e`8wla789::9>km;rkd45679<9mn6}fg12344338k1xej>?013604d<{`m;<=>>550a?vo`89:;=8:?1464f>uno9:;<<;;8c9pmb6789;>84l4she34566==ki7~gh0123502ej2ybk=>?0077gg=tan:;<=?:4e`8wla789::99km;rkd45679<>mn6}fg12344328k1xej>?013614d<{`m;<=>>540a?vo`89:;=8;8o5|if234572=?1474f>uno9:;<<;:8c9pmb6789;>94l4she34566=?0076gg=tan:;<=?:5e`8wla789::98km;rkd45679?013624d<{`m;<=>>570a?vo`89:;=88?1444f>uno9:;<<;98c9pmb6789;>:4l4she34566=?ki7~gh0123500ej2ybk=>?0075gg=tan:;<=?:6e`8wla789::9;km;rkd45679<?013634d<{`m;<=>>560a?vo`89:;=89?1454f>uno9:;<<;88c9pmb6789;>;4l4she34566=>ki7~gh0123501ej2ybk=>?0074gg=tan:;<=?:7e`8wla789::9:km;rkd45679<=mn6}fg123443?8k1xej>?0136<4d<{`m;<=>>590a?vo`89:;=86?14:4f>uno9:;<<;78c9pmb6789;>44l4she34566=1ki7~gh012350>ej2ybk=>?007;gg=tan:;<=?:8e`8wla789::95km;rkd45679<2mn6}fg123443>8k1xej>?0136=4d<{`m;<=>>580a?vo`89:;=87?14;4f>uno9:;<<;68c9pmb6789;>54l4she34566=0ki7~gh012350?ej2ybk=>?007:gg=tan:;<=?:9e`8wla789::94km;rkd45679<3mn6}fg123443f8k1xej>?0136e4d<{`m;<=>>5`0a?vo`89:;=8o?14c4f>uno9:;<<;n8c9pmb6789;>m4l4she34566=hki7~gh012350gej2ybk=>?007bgg=tan:;<=?:ae`8wla789::9lkm;rkd45679?0136f4d<{`m;<=>>5c0a?vo`89:;=8l?14`4f>uno9:;<<;m8c9pmb6789;>n4l4she34566=kki7~gh012350dej2ybk=>?007agg=tan:;<=?:be`8wla789::9okm;rkd45679?0136g4d<{`m;<=>>5b0a?vo`89:;=8m?14a4f>uno9:;<<;l8c9pmb6789;>o4l4she34566=jki7~gh012350eej2ybk=>?007`gg=tan:;<=?:ce`8wla789::9nkm;rkd45679?0136`4d<{`m;<=>>5e0a?vo`89:;=8j?14f4f>uno9:;<<;k8c9pmb6789;>h4l4she34566=mki7~gh012350bej2ybk=>?007ggg=tan:;<=?:de`8wla789::9ikm;rkd45679?0136a4d<{`m;<=>>5d0a?vo`89:;=8k?14g4f>uno9:;<<;j8c9pmb6789;>i4l4she34566=lki7~gh012350cej2ybk=>?007fgg=tan:;<=?:ee`8wla789::9hkm;rkd45679?0136b4d<{`m;<=>>5g0a?vo`89:;=8h?14d4f>uno9:;<<;i8c9pmb6789;>j4l4she34566=oki7~gh012350`ej2ybk=>?007egg=tan:;<=?:fe`8wla789::9kkm;rkd45679?013544d<{`m;<=>>610a?vo`89:;=;>?1724f>uno9:;<<8?8c9pmb6789;=<4l4she34566>9ki7~gh0123536ej2ybk=>?0043gg=tan:;<=?90e`8wla789:::=km;rkd45679?:mn6}fg12344068k1xej>?013554d<{`m;<=>>600a?vo`89:;=;??1734f>uno9:;<<8>8c9pmb6789;==4l4she34566>8ki7~gh0123537ej2ybk=>?0042gg=tan:;<=?91e`8wla789:::?013564d<{`m;<=>>630a?vo`89:;=;<?1704f>uno9:;<<8=8c9pmb6789;=>4l4she34566>;ki7~gh0123534ej2ybk=>?0041gg=tan:;<=?92e`8wla789:::?km;rkd45679?8mn6}fg12344048k1xej>?013574d<{`m;<=>>620a?vo`89:;=;=?1714f>uno9:;<<8<8c9pmb6789;=?4l4she34566>:ki7~gh0123535ej2ybk=>?0040gg=tan:;<=?93e`8wla789:::>km;rkd45679?9mn6}fg12344038k1xej>?013504d<{`m;<=>>650a?vo`89:;=;:?1764f>uno9:;<<8;8c9pmb6789;=84l4she34566>=ki7~gh0123532ej2ybk=>?0047gg=tan:;<=?94e`8wla789:::9km;rkd45679?>mn6}fg12344028k1xej>?013514d<{`m;<=>>640a?vo`89:;=;;8o5|if234571=?1774f>uno9:;<<8:8c9pmb6789;=94l4she34566>?0046gg=tan:;<=?95e`8wla789:::8km;rkd45679??mn6}fg12344018k1xej>?013524d<{`m;<=>>670a?vo`89:;=;8?1744f>uno9:;<<898c9pmb6789;=:4l4she34566>?ki7~gh0123530ej2ybk=>?0045gg=tan:;<=?96e`8wla789:::;km;rkd45679??013534d<{`m;<=>>660a?vo`89:;=;9?1754f>uno9:;<<888c9pmb6789;=;4l4she34566>>ki7~gh0123531ej2ybk=>?0044gg=tan:;<=?97e`8wla789::::km;rkd45679?=mn6}fg123440?8k1xej>?0135<4d<{`m;<=>>690a?vo`89:;=;6?17:4f>uno9:;<<878c9pmb6789;=44l4she34566>1ki7~gh012353>ej2ybk=>?004;gg=tan:;<=?98e`8wla789:::5km;rkd45679?2mn6}fg123440>8k1xej>?0135=4d<{`m;<=>>680a?vo`89:;=;7?17;4f>uno9:;<<868c9pmb6789;=54l4she34566>0ki7~gh012353?ej2ybk=>?004:gg=tan:;<=?99e`8wla789:::4km;rkd45679?3mn6}fg123440f8k1xej>?0135e4d<{`m;<=>>6`0a?vo`89:;=;o?17c4f>uno9:;<<8n8c9pmb6789;=m4l4she34566>hki7~gh012353gej2ybk=>?004bgg=tan:;<=?9ae`8wla789:::lkm;rkd45679?kmn6}fg123440e8k1xej>?0135f4d<{`m;<=>>6c0a?vo`89:;=;l?17`4f>uno9:;<<8m8c9pmb6789;=n4l4she34566>kki7~gh012353dej2ybk=>?004agg=tan:;<=?9be`8wla789:::okm;rkd45679?hmn6}fg123440d8k1xej>?0135g4d<{`m;<=>>6b0a?vo`89:;=;m?17a4f>uno9:;<<8l8c9pmb6789;=o4l4she34566>jki7~gh012353eej2ybk=>?004`gg=tan:;<=?9ce`8wla789:::nkm;rkd45679?imn6}fg123440c8k1xej>?0135`4d<{`m;<=>>6e0a?vo`89:;=;j?17f4f>uno9:;<<8k8c9pmb6789;=h4l4she34566>mki7~gh012353bej2ybk=>?004ggg=tan:;<=?9de`8wla789:::ikm;rkd45679?nmn6}fg123440b8k1xej>?0135a4d<{`m;<=>>6d0a?vo`89:;=;k?17g4f>uno9:;<<8j8c9pmb6789;=i4l4she34566>lki7~gh012353cej2ybk=>?004fgg=tan:;<=?9ee`8wla789:::hkm;rkd45679?omn6}fg123440a8k1xej>?0135b4d<{`m;<=>>6g0a?vo`89:;=;h?17d4f>uno9:;<<8i8c9pmb6789;=j4l4she34566>oki7~gh012353`ej2ybk=>?004egg=tan:;<=?9fe`8wla789:::kkm;rkd45679?lmn6}fg12344178k1xej>?013444d<{`m;<=>>710a?vo`89:;=:>?1624f>uno9:;<<9?8c9pmb6789;<<4l4she34566?9ki7~gh0123526ej2ybk=>?0053gg=tan:;<=?80e`8wla789::;=km;rkd45679>:mn6}fg12344168k1xej>?013454d<{`m;<=>>700a?vo`89:;=:??1634f>uno9:;<<9>8c9pmb6789;<=4l4she34566?8ki7~gh0123527ej2ybk=>?0052gg=tan:;<=?81e`8wla789::;;mn6}fg12344158k1xej>?013464d<{`m;<=>>730a?vo`89:;=:<?1604f>uno9:;<<9=8c9pmb6789;<>4l4she34566?;ki7~gh0123524ej2ybk=>?0051gg=tan:;<=?82e`8wla789::;?km;rkd45679>8mn6}fg12344148k1xej>?013474d<{`m;<=>>720a?vo`89:;=:=?1614f>uno9:;<<9<8c9pmb6789;?0050gg=tan:;<=?83e`8wla789::;>km;rkd45679>9mn6}fg12344138k1xej>?013404d<{`m;<=>>750a?vo`89:;=::?1664f>uno9:;<<9;8c9pmb6789;<84l4she34566?=ki7~gh0123522ej2ybk=>?0057gg=tan:;<=?84e`8wla789::;9km;rkd45679>>mn6}fg12344128k1xej>?013414d<{`m;<=>>740a?vo`89:;=:;8o5|if234570=?1674f>uno9:;<<9:8c9pmb6789;<94l4she34566??0056gg=tan:;<=?85e`8wla789::;8km;rkd45679>?mn6}fg12344118k1xej>?013424d<{`m;<=>>770a?vo`89:;=:8?1644f>uno9:;<<998c9pmb6789;<:4l4she34566??ki7~gh0123520ej2ybk=>?0055gg=tan:;<=?86e`8wla789::;;km;rkd45679>?013434d<{`m;<=>>760a?vo`89:;=:9?1654f>uno9:;<<988c9pmb6789;<;4l4she34566?>ki7~gh0123521ej2ybk=>?0054gg=tan:;<=?87e`8wla789::;:km;rkd45679>=mn6}fg123441?8k1xej>?0134<4d<{`m;<=>>790a?vo`89:;=:6?16:4f>uno9:;<<978c9pmb6789;<44l4she34566?1ki7~gh012352>ej2ybk=>?005;gg=tan:;<=?88e`8wla789::;5km;rkd45679>2mn6}fg123441>8k1xej>?0134=4d<{`m;<=>>780a?vo`89:;=:7?16;4f>uno9:;<<968c9pmb6789;<54l4she34566?0ki7~gh012352?ej2ybk=>?005:gg=tan:;<=?89e`8wla789::;4km;rkd45679>3mn6}fg123441f8k1xej>?0134e4d<{`m;<=>>7`0a?vo`89:;=:o?16c4f>uno9:;<<9n8c9pmb6789;?005bgg=tan:;<=?8ae`8wla789::;lkm;rkd45679>kmn6}fg123441e8k1xej>?0134f4d<{`m;<=>>7c0a?vo`89:;=:l?16`4f>uno9:;<<9m8c9pmb6789;?005agg=tan:;<=?8be`8wla789::;okm;rkd45679>hmn6}fg123441d8k1xej>?0134g4d<{`m;<=>>7b0a?vo`89:;=:m?16a4f>uno9:;<<9l8c9pmb6789;?005`gg=tan:;<=?8ce`8wla789::;nkm;rkd45679>imn6}fg123441c8k1xej>?0134`4d<{`m;<=>>7e0a?vo`89:;=:j?16f4f>uno9:;<<9k8c9pmb6789;?005ggg=tan:;<=?8de`8wla789::;ikm;rkd45679>nmn6}fg123441b8k1xej>?0134a4d<{`m;<=>>7d0a?vo`89:;=:k?16g4f>uno9:;<<9j8c9pmb6789;?005fgg=tan:;<=?8ee`8wla789::;hkm;rkd45679>omn6}fg123441a8k1xej>?0134b4d<{`m;<=>>7g0a?vo`89:;=:h?16d4f>uno9:;<<9i8c9pmb6789;?005egg=tan:;<=?8fe`8wla789::;kkm;rkd45679>lmn6}fg12344>78k1xej>?013;44d<{`m;<=>>810a?vo`89:;=5>?1924f>uno9:;<<6?8c9pmb6789;3<4l4she3456609ki7~gh01235=6ej2ybk=>?00:3gg=tan:;<=?70e`8wla789::4=km;rkd456791:mn6}fg12344>68k1xej>?013;54d<{`m;<=>>800a?vo`89:;=5??1934f>uno9:;<<6>8c9pmb6789;3=4l4she3456608ki7~gh01235=7ej2ybk=>?00:2gg=tan:;<=?71e`8wla789::458k1xej>?013;64d<{`m;<=>>830a?vo`89:;=5<?1904f>uno9:;<<6=8c9pmb6789;3>4l4she345660;ki7~gh01235=4ej2ybk=>?00:1gg=tan:;<=?72e`8wla789::4?km;rkd4567918mn6}fg12344>48k1xej>?013;74d<{`m;<=>>820a?vo`89:;=5=?1914f>uno9:;<<6<8c9pmb6789;3?4l4she345660:ki7~gh01235=5ej2ybk=>?00:0gg=tan:;<=?73e`8wla789::4>km;rkd4567919mn6}fg12344>38k1xej>?013;04d<{`m;<=>>850a?vo`89:;=5:?1964f>uno9:;<<6;8c9pmb6789;384l4she345660=ki7~gh01235=2ej2ybk=>?00:7gg=tan:;<=?74e`8wla789::49km;rkd456791>mn6}fg12344>28k1xej>?013;14d<{`m;<=>>840a?vo`89:;=5;8o5|if23457?=?1974f>uno9:;<<6:8c9pmb6789;394l4she345660?00:6gg=tan:;<=?75e`8wla789::48km;rkd456791?mn6}fg12344>18k1xej>?013;24d<{`m;<=>>870a?vo`89:;=58?1944f>uno9:;<<698c9pmb6789;3:4l4she345660?ki7~gh01235=0ej2ybk=>?00:5gg=tan:;<=?76e`8wla789::4;km;rkd45679108k1xej>?013;34d<{`m;<=>>860a?vo`89:;=59?1954f>uno9:;<<688c9pmb6789;3;4l4she345660>ki7~gh01235=1ej2ybk=>?00:4gg=tan:;<=?77e`8wla789::4:km;rkd456791=mn6}fg12344>?8k1xej>?013;<4d<{`m;<=>>890a?vo`89:;=56?19:4f>uno9:;<<678c9pmb6789;344l4she3456601ki7~gh01235=>ej2ybk=>?00:;gg=tan:;<=?78e`8wla789::45km;rkd4567912mn6}fg12344>>8k1xej>?013;=4d<{`m;<=>>880a?vo`89:;=57?19;4f>uno9:;<<668c9pmb6789;354l4she3456600ki7~gh01235=?ej2ybk=>?00::gg=tan:;<=?79e`8wla789::44km;rkd4567913mn6}fg12344>f8k1xej>?013;e4d<{`m;<=>>8`0a?vo`89:;=5o?19c4f>uno9:;<<6n8c9pmb6789;3m4l4she345660hki7~gh01235=gej2ybk=>?00:bgg=tan:;<=?7ae`8wla789::4lkm;rkd456791kmn6}fg12344>e8k1xej>?013;f4d<{`m;<=>>8c0a?vo`89:;=5l?19`4f>uno9:;<<6m8c9pmb6789;3n4l4she345660kki7~gh01235=dej2ybk=>?00:agg=tan:;<=?7be`8wla789::4okm;rkd456791hmn6}fg12344>d8k1xej>?013;g4d<{`m;<=>>8b0a?vo`89:;=5m?19a4f>uno9:;<<6l8c9pmb6789;3o4l4she345660jki7~gh01235=eej2ybk=>?00:`gg=tan:;<=?7ce`8wla789::4nkm;rkd456791imn6}fg12344>c8k1xej>?013;`4d<{`m;<=>>8e0a?vo`89:;=5j?19f4f>uno9:;<<6k8c9pmb6789;3h4l4she345660mki7~gh01235=bej2ybk=>?00:ggg=tan:;<=?7de`8wla789::4ikm;rkd456791nmn6}fg12344>b8k1xej>?013;a4d<{`m;<=>>8d0a?vo`89:;=5k?19g4f>uno9:;<<6j8c9pmb6789;3i4l4she345660lki7~gh01235=cej2ybk=>?00:fgg=tan:;<=?7ee`8wla789::4hkm;rkd456791omn6}fg12344>a8k1xej>?013;b4d<{`m;<=>>8g0a?vo`89:;=5h?19d4f>uno9:;<<6i8c9pmb6789;3j4l4she345660oki7~gh01235=`ej2ybk=>?00:egg=tan:;<=?7fe`8wla789::4kkm;rkd456791lmn6}fg12344?78k1xej>?013:44d<{`m;<=>>910a?vo`89:;=4>8?1824f>uno9:;<<7?8c9pmb6789;2<4l4she3456619ki7~gh01235<6ej2ybk=>?00;3gg=tan:;<=?60e`8wla789::5=km;rkd456790:mn6}fg12344?68k1xej>?013:54d<{`m;<=>>900a?vo`89:;=4?9?1834f>uno9:;<<7>8c9pmb6789;2=4l4she3456618ki7~gh01235<7ej2ybk=>?00;2gg=tan:;<=?61e`8wla789::5?013:64d<{`m;<=>>930a?vo`89:;=4<:?1804f>uno9:;<<7=8c9pmb6789;2>4l4she345661;ki7~gh01235<4ej2ybk=>?00;1gg=tan:;<=?62e`8wla789::5?km;rkd4567908mn6}fg12344?48k1xej>?013:74d<{`m;<=>>920a?vo`89:;=4=;?1814f>uno9:;<<7<8c9pmb6789;2?4l4she345661:ki7~gh01235<5ej2ybk=>?00;0gg=tan:;<=?63e`8wla789::5>km;rkd4567909mn6}fg12344?38k1xej>?013:04d<{`m;<=>>950a?vo`89:;=4:<?1864f>uno9:;<<7;8c9pmb6789;284l4she345661=ki7~gh01235<2ej2ybk=>?00;7gg=tan:;<=?64e`8wla789::59km;rkd456790>mn6}fg12344?28k1xej>?013:14d<{`m;<=>>940a?vo`89:;=4;8o5|if23457>=?1874f>uno9:;<<7:8c9pmb6789;294l4she345661?00;6gg=tan:;<=?65e`8wla789::58km;rkd456790?mn6}fg12344?18k1xej>?013:24d<{`m;<=>>970a?vo`89:;=48>?1844f>uno9:;<<798c9pmb6789;2:4l4she345661?ki7~gh01235<0ej2ybk=>?00;5gg=tan:;<=?66e`8wla789::5;km;rkd456790?013:34d<{`m;<=>>960a?vo`89:;=49325:14<41<9;wc=lf;38j6b72?1/?nj53b;8yV75l3;i>?4m:0103<362:3>?=5\23795g4e290:?>965080=0573Z;9h7?m2c83>454?0?:6>7:309P673=;8;1?9o8:0103<362:3>??5\13f9747=;=k<6<=<7872>6?2;;1o=o<9:182>4}T9;l1=o<=:c82761>=80858=?;wV0fa<7280:6<<;6zQ26c<6j;81n7?<36;65?5>=::0(>m::305?S5dm38py?;::09v600=82w/?9:5789a5g41290j57=5a8yK7f56p*>i6;9i1<7*o8:398k45713:1(>o7:06;e>h4i>0876a>31:94?"4i10:85o4n2c4>1=5$2c;>42?i2d8m:4:;:m2750=83.8m54>49c8j6g02?10c<=?4;29 6g?28>3m6`i6;991<7*32e:?=?50;&0e=<6<1k0b>o8:`98k45783:1(>o7:06;e>h4i>0i76a>2gd94?"4i10:85o4n2c4>f=5$2c;>42?i2d8m:4k;:m26cb=83.8m54>49c8j6g02l10c<3m6`i6:oh1<7*4;n31b<<72-9j47?;8`9m7d1=9810c<3m6`=h9;l<6=4+3`:951>f3g9j;7?<;:m26c0=83.8m54>49c8j6g028>07b?=f483>!5f03;?4l5a3`5950=5$2c;>42?i2d8m:4>6:9l57`4290/?l6515:b?k5f?3;<76a>2g094?"4i10:85o4n2c4>4><3f;9j<4?:%1bo7:06;e>h4i>0:m65`13gf>5<#;h21=96n;o1b3?7e32e:>hj50;&0e=<6<1k0b>o8:0a8?j75mj0;6)=n8;37=9=2j7c=n7;3e?>i6:l31<7*4;n31a=<72-9j47?;8`9m7d1=:810c<3m6`=h9;o=6=4+3`:951>f3g9j;7<<;:m26`3=83.8m54>49c8j6g02;>07b?<1083>!5f03;?4l5a3`5960=5$2c;>42?i2d8m:4=6:9l566a290/?l6515:b?k5f?38<76a>31g94?"4i10:85o4n2c4>7><3f;8o7:06;e>h4i>09m65`13db>5<#;h21=96n;o1b3?4e32e:>hh50;&0e=<6<1k0b>o8:3a8?j75m=0;6)=n8;37i54o00f7?6=,:k36<:7a:l0e2<5m21d=9lm:18'7d>=9=o27c=n7;28?j73jh0;6)=n8;37a<=i;h=1=65`15`:>5<#;h21=9k6;o1b3?4<3f;?n54?:%1b07b?;b783>!5f03;?i45a3`591>=h9=h>6=4+3`:951c>3g9j;784;n37f6<72-9j47?;e89m7d1=?21d=9l=:18'7d>=9=o27c=n7;:8?j73j80;6)=n8;37a<=i;h=1565`15`3>5<#;h21=9k6;o1b3?g<3f;?mk4?:%1b!5f03;?i45a3`59`>=h9=kh6=4+3`:951c>3g9j;7k4;n37eg<72-9j47?;e89m7d1=n21d=9on:18'7d>=9=o27c=n7;33?>i6n56`=h9=k>6=4+3`:951c>3g9j;7?;;:m20d2=83.8m54>4d;8j6g028?07b?;a283>!5f03;?i45a3`5953=j>7>5$2c;>42b12d8m:4>7:9l51g6290/?l6515g:?k5f?3;376a>4`294?"4i10:8h74n2c4>4?<3f;?5k4?:%1bl3:1(>o7:06f=>h4i>0:n65`15;`>5<#;h21=9k6;o1b3?7d32e:84l50;&0e=<6o8:0f8?j731h0;6)=n8;37a<=i;h=1=h54o06:=?6=,:k36<:j9:l0e2<6n21d=977:18'7d>=9=o27c=n7;03?>i6<0=1<7*n56`=h9=3?6=4+3`:951c>3g9j;7<;;:m20f6=83.8m54>4d;8j6g02;?07b?;bg83>!5f03;?i45a3`5963=ii7>5$2c;>42b12d8m:4=7:9l51dc290/?l6515g:?k5f?38376a>4ca94?"4i10:8h74n2c4>7?<3f;?n94?:%1bo7:06f=>h4i>09n65`15;f>5<#;h21=9k6;o1b3?4d32e:84=50;&0e=<6o8:3f8?j731;0;6)=n8;37a<=i;h=1>h54i0337?6=,:k36o8:098m47793:1(>o7:03`=>h4i>0976g>11294?"4i10:=n74n2c4>6=5$2c;>47d12d8m:4;;:k24cb=83.8m54>1b;8j6g02<10e<>ic;29 6g?28;h56`o68oh1<7*o8:898m46a03:1(>o7:03`=>h4i>0j76g>0g594?"4i10:=n74n2c4>g=5$2c;>47d12d8m:4l;:k24c3=83.8m54>1b;8j6g02m10eo69931<7*8:18'7d>=98i27c=n7;32?>o699<1<7*c89m7d1=9:10e=n99lm6=4+3`:954e>3g9j;7?:;:k24c2=83.8m54>1b;8j6g028<07d??f283>!5f03;:o45a3`5952=5;h32a6<72-9j47?>f`9m7d1=821b==98lj7c=n7;38?l76m80;6)=n8;32bd=i;h=1>65f10g3>5<#;h21=db83>!5f03;:jl5a3`592>=n98ni6=4+3`:954`f3g9j;794;h32`d<72-9j47?>f`9m7d1=021b==98lj7c=n7;;8?l76l10;6)=n8;32bd=i;h=1m65f10f4>5<#;h21=e`83>!5f03;:jl5a3`59a>=n98o26=4+3`:954`f3g9j;7h4;h32a=<72-9j47?>f`9m7d1=9910e=n98o=6=4+3`:954`f3g9j;7?=;:k25`3=83.8m54>1gc8j6g028907d?>e583>!5f03;:jl5a3`5951=5$2c;>47ai2d8m:4>5:9j54b3290/?l6510db?k5f?3;=76g>1e194?"4i10:=ko4n2c4>41<3`;;h54?::k24g?=83.8m54>0bc8j6g02910e<>m8;29 6g?28:hm6`o68k=1<7*la:l0e2<432c:o8:598m46e<3:1(>o7:02`e>h4i>0>76g>0c194?"4i10:3=7>5$2c;>46di2d8m:48;:k24f7=83.8m54>0bc8j6g02110e<>l0;29 6g?28:hm6`o68kl1<7*la:l0e2o8:b98m46ek3:1(>o7:02`e>h4i>0o76g>0c`94?"4i10:`=5$2c;>46di2d8m:4i;:k24g7=83.8m54>0bc8j6g028:07d??b183>!5f03;;ol5a3`5954=5;h33ec<722c:tH2a0?!5d939h86a<1383>>{e;l=1<7?50;2xL6e43-9h=7<>a:m15<<722wi?h650;;;`?06j3o3ivF:r>i69m54e87a?2a2<:19<4<5;15>61=;10857=n:2`961<5=38=6?952981=?4f28i19?4:3;77>03=:k09o7;9:3f912<5m3?36?h558804?3f2:;1??4<3;7`>0b==l0>j78?:73927<6=39?68l53b80`?5b2:l18=4;1;40>14=>=0??78::56923<1?3<36;756`85f?2f2;91=h4>f;3g>4b=9<0:o7<<:3`96f<5l38n6?h531805?552:91>94=5;05>71=:109576c=><0>97=i:74913<383<<689540853g==h0?878m:5`970<2k3>h6>855e87`?5021`=;00=<7;?:2c924<2939i6;<5}%1`5?7e:01/=?o51c04?!75m3;i>55+3c`95>"4jh08h<5+31297525;n307g<72-9j47?<409m7d1=821d=>=n:18'7d>=9:>:7c=n7;38?j74;00;6)=n8;3004=i;h=1>65`121;>5<#;h21=>:>;o1b3?5<3f;8?:4?:%1b!5f03;88<5a3`592>=h9:986=4+3`:956263g9j;794;n3077<72-9j47?<409m7d1=021d=>=>:18'7d>=9:>:7c=n7;;8?j74;90;6)=n8;3004=i;h=1m65`120e>5<#;h21=>:>;o1b3?d<3f;8>h4?:%1b!5f03;88<5a3`59a>=h9:8i6=4+3`:956263g9j;7h4;n306d<72-9j47?<409m7d1=9910c<==8;29 6g?289?=6`=h9:8<6=4+3`:956263g9j;7?=;:m2770=83.8m54>3538j6g028907b?<2483>!5f03;88<5a3`5951=5$2c;>45392d8m:4>5:9l5644290/?l651262?k5f?3;=76a>33094?"4i10:?9?4n2c4>41<3f;8><4?:%1bo7:0175>h4i>0:565`123e>5<#;h21=>:>;o1b3?7f32e:?o8:0`8?j749j0;6)=n8;3004=i;h=1=n54o012f?6=,:k36<=;1:l0e2<6l21d=>?n:18'7d>=9:>:7c=n7;3f?>i6;831<7*7;29 6g?289?=6`=h9:;=6=4+3`:956263g9j;7<=;:m2743=83.8m54>3538j6g02;907b?<1583>!5f03;88<5a3`5961=5$2c;>45392d8m:4=5:9l565a290/?l651262?k5f?38=76a>32g94?"4i10:?9?4n2c4>71<3f;8?i4?:%1bo7:0175>h4i>09565`1217>5<#;h21=>:>;o1b3?4f32e:??750;&0e=<6;=;0b>o8:3`8?j749l0;6)=n8;3004=i;h=1>n54o0127?6=,:k36<=;1:l0e2<5l21d=>?=:18'7d>=9:>:7c=n7;0f?>i6;?h1<7*;0b>o8:398k45103:1(>o7:0145>h4i>0876a>37594?"4i10:?:?4n2c4>1=5$2c;>45092d8m:4:;:m2733=83.8m54>3638j6g02?10c<=93;29 6g?289<=6`i6;?81<7*32e:?;>50;&0e=<6;>;0b>o8:`98k452n3:1(>o7:0145>h4i>0i76a>34g94?"4i10:?:?4n2c4>f=h7>5$2c;>45092d8m:4k;:m270e=83.8m54>3638j6g02l10c<=:b;29 6g?289<=6`i6;4;n301=<72-9j47?<709m7d1=9810c<=:7;29 6g?289<=6`=h9:?=6=4+3`:956163g9j;7?<;:m2703=83.8m54>3638j6g028>07b?<5583>!5f03;8;<5a3`5950=?7>5$2c;>45092d8m:4>6:9l5635290/?l651252?k5f?3;<76a>34394?"4i10:?:?4n2c4>4><3f;89=4?:%1bo7:0145>h4i>0:m65`126g>5<#;h21=>9>;o1b3?7e32e:?9m50;&0e=<6;>;0b>o8:0a8?j74:6:18'7d>=9:=:7c=n7;3e?>i6;=21<7*4;n3002<72-9j47?<709m7d1=:810c<=;6;29 6g?289<=6`=h9:>>6=4+3`:956163g9j;7<<;:m2712=83.8m54>3638j6g02;>07b?<7183>!5f03;8;<5a3`5960=5$2c;>45092d8m:4=6:9l560b290/?l651252?k5f?38<76a>37f94?"4i10:?:?4n2c4>7><3f;8:n4?:%1bo7:0145>h4i>09m65`127:>5<#;h21=>9>;o1b3?4e32e:?9k50;&0e=<6;>;0b>o8:3a8?j74<:0;6)=n8;3034=i;h=1>i54o0176?6=,:k36<=81:l0e2<5m21d=>7m:18'7d>=9:k:7c=n7;28?j741h0;6)=n8;30e4=i;h=1=65`12;:>5<#;h21=>o>;o1b3?4<3f;8554?:%1b07b?<9783>!5f03;8m<5a3`591>=h9:3>6=4+3`:956g63g9j;784;n30=6<72-9j47?7=:18'7d>=9:k:7c=n7;:8?j74180;6)=n8;30e4=i;h=1565`12;3>5<#;h21=>o>;o1b3?g<3f;84k4?:%1bb290/?l6512c2?k5f?3i07b?<8e83>!5f03;8m<5a3`59`>=h9:2h6=4+3`:956g63g9j;7k4;n306n:18'7d>=9:k:7c=n7;33?>i6;121<7*=h9:2>6=4+3`:956g63g9j;7?;;:m27=2=83.8m54>3`38j6g028?07b?<8283>!5f03;8m<5a3`5953=7>5$2c;>45f92d8m:4>7:9l56>6290/?l6512c2?k5f?3;376a>39294?"4i10:?l?4n2c4>4?<3f;8;k4?:%1bo7:01b5>h4i>0:n65`125`>5<#;h21=>o>;o1b3?7d32e:?:l50;&0e=<6;h;0b>o8:0f8?j74?h0;6)=n8;30e4=i;h=1=h54o014=?6=,:k36<=n1:l0e2<6n21d=>97:18'7d>=9:k:7c=n7;03?>i6;>=1<7*=h9:=?6=4+3`:956g63g9j;7<;;:m27d6=83.8m54>3`38j6g02;?07b?<9g83>!5f03;8m<5a3`5963=5$2c;>45f92d8m:4=7:9l56?c290/?l6512c2?k5f?38376a>38a94?"4i10:?l?4n2c4>7?<3f;8594?:%1bo7:01b5>h4i>09n65`125f>5<#;h21=>o>;o1b3?4d32e:?:=50;&0e=<6;h;0b>o8:3f8?j74?;0;6)=n8;30e4=i;h=1>h54o01`f?6=,:k36<=k1:l0e2<732e:?no50;&0e=<6;m;0b>o8:098k45d13:1(>o7:01g5>h4i>0976a>3b:94?"4i10:?i?4n2c4>6=5$2c;>45c92d8m:4;;:m27f0=83.8m54>3e38j6g02<10c<=l5;29 6g?289o=6`i6;j91<7*o8:898k45d83:1(>o7:01g5>h4i>0j76a>3cd94?"4i10:?i?4n2c4>g=5$2c;>45c92d8m:4l;:m27gb=83.8m54>3e38j6g02m10c<=mc;29 6g?289o=6`i6;kh1<7*l7:18'7d>=9:n:7c=n7;32?>i6;k=1<7*=h9:h?6=4+3`:956b63g9j;7?:;:m27g5=83.8m54>3e38j6g028<07b?!5f03;8h<5a3`5952=5$2c;>45c92d8m:4>8:9l56d7290/?l6512f2?k5f?3;276a>3`d94?"4i10:?i?4n2c4>4g<3f;8mi4?:%1bo7:01g5>h4i>0:o65`12ca>5<#;h21=>j>;o1b3?7c32e:?lo50;&0e=<6;m;0b>o8:0g8?j74i00;6)=n8;30`4=i;h=1=k54o01bo8:18'7d>=9:n:7c=n7;02?>i6;h<1<7*=h9:n;6=4+3`:956b63g9j;7<:;:m27f`=83.8m54>3e38j6g02;<07b?!5f03;8h<5a3`5962=5$2c;>45c92d8m:4=8:9l56ed290/?l6512f2?k5f?38276a>3b694?"4i10:?i?4n2c4>7g<3f;8n44?:%1bo7:01g5>h4i>09o65`12c0>5<#;h21=>j>;o1b3?4c32e:?l<50;&0e=<6;m;0b>o8:3g8?j74nk0;6)=n8;3744=i;h=1<65`12db>5<#;h21=9>>;o1b3?7<3f;8j44?:%1b!5f03;?<<5a3`590>=h9:l=6=4+3`:951663g9j;7;4;n30b0<72-9j47?;009m7d1=>21d=>h<:18'7d>=9=::7c=n7;58?j74n;0;6)=n8;3744=i;h=1465`12d2>5<#;h21=9>>;o1b3??<3f;8j=4?:%1b!5f03;?<<5a3`59g>=h9:oo6=4+3`:951663g9j;7j4;n30af<72-9j47?;009m7d1=m21d=>km:18'7d>=9=::7c=n7;d8?j74mh0;6)=n8;3744=i;h=1==54o01fk8:18'7d>=9=::7c=n7;31?>i6;l<1<7*;=6`=h9:o86=4+3`:951663g9j;7?9;:m27`4=83.8m54>4138j6g028=07b?!5f03;?<<5a3`595==5$2c;>42792d8m:4>9:9l56ba290/?l651522?k5f?3;j76a>3ef94?"4i10:8=?4n2c4>4d<3f;8hn4?:%1bo7:0635>h4i>0:h65`12fb>5<#;h21=9>>;o1b3?7b32e:?i750;&0e=<6<9;0b>o8:0d8?j74l10;6)=n8;3744=i;h=1>=54o01g3?6=,:k36<:?1:l0e2<5921d=>j9:18'7d>=9=::7c=n7;01?>i6;m?1<7*;=6`=h9:lm6=4+3`:951663g9j;7<9;:m27cc=83.8m54>4138j6g02;=07b?!5f03;?<<5a3`596==5$2c;>42792d8m:4=9:9l56`3290/?l651522?k5f?38j76a>3d;94?"4i10:8=?4n2c4>7d<3f;8hh4?:%1bo7:0635>h4i>09h65`12f1>5<#;h21=9>>;o1b3?4b32e:8?l50;&0e=<6<:;0b>o8:198k425i3:1(>o7:0605>h4i>0:76a>43;94?"4i10:8>?4n2c4>7=947>5$2c;>42492d8m:4<;:m2071=83.8m54>4238j6g02=10c<:=6;29 6g?28>8=6`i6<;?1<7*o8:998k42593:1(>o7:0605>h4i>0276a>43294?"4i10:8>?4n2c4>d=:j7>5$2c;>42492d8m:4m;:m204c=83.8m54>4238j6g02j10c<:>d;29 6g?28>8=6`i6<8i1<7*o8:028?j73910;6)=n8;3774=i;h=1=<54o0623?6=,:k36<:<1:l0e2<6:21d=9?9:18'7d>=9=9:7c=n7;30?>i6<8?1<7*3;29 6g?28>8=6`=h9=;96=4+3`:951563g9j;7?8;:m2047=83.8m54>4238j6g028207b?;1183>!5f03;??<5a3`595<=;j7>5$2c;>42492d8m:4>a:9l516c290/?l651512?k5f?3;i76a>41a94?"4i10:8>?4n2c4>4e<3f;?o7:0605>h4i>0:i65`152:>5<#;h21=9=>;o1b3?7a32e:8=650;&0e=<6<:;0b>o8:328?j738>0;6)=n8;3774=i;h=1><54o0632?6=,:k36<:<1:l0e2<5:21d=9>::18'7d>=9=9:7c=n7;00?>i6<9>1<7*8=6`=h9=8n6=4+3`:951563g9j;7<8;:m207b=83.8m54>4238j6g02;207b?;2b83>!5f03;??<5a3`596<=987>5$2c;>42492d8m:4=a:9l517>290/?l651512?k5f?38i76a>41g94?"4i10:8>?4n2c4>7e<3f;?<>4?:%1bo7:0605>h4i>09i65`157a>5<#;h21=98>;o1b3?6<3f;?9l4?:%1b81e?l951:9l513>290/?l651542?k5f?3807b?;5983>!5f03;?:<5a3`597>=h9=?<6=4+3`:951063g9j;7:4;n3713<72-9j47?;609m7d1==21d=9;::18'7d>=9=<:7c=n7;48?j73=:0;6)=n8;3724=i;h=1;65`1571>5<#;h21=98>;o1b3?><3f;?9<4?:%1b81e?l959:9l5137290/?l651542?k5f?3k07b?;4g83>!5f03;?:<5a3`59f>=h9=>n6=4+3`:951063g9j;7m4;n370a<72-9j47?;609m7d1=l21d=9:l:18'7d>=9=<:7c=n7;g8?j735<#;h21=98>;o1b3?7732e:89650;&0e=<6o8:038?j73<>0;6)=n8;3724=i;h=1=?54o0672?6=,:k36<:91:l0e2<6;21d=9:::18'7d>=9=<:7c=n7;37?>i6<=>1<7*==6`=h9=>:6=4+3`:951063g9j;7?7;:m2016=83.8m54>4738j6g028307b?;3g83>!5f03;?:<5a3`595d=8h7>5$2c;>42192d8m:4>b:9l515d290/?l651542?k5f?3;h76a>42`94?"4i10:8;?4n2c4>4b<3f;??l4?:%1b81e?l951d98k42413:1(>o7:0655>h4i>0:j65`151;>5<#;h21=98>;o1b3?4732e:8>950;&0e=<6o8:338?j73;?0;6)=n8;3724=i;h=1>?54o0601?6=,:k36<:91:l0e2<5;21d=9=;:18'7d>=9=<:7c=n7;07?>i6==6`=h9=?o6=4+3`:951063g9j;7<7;:m200e=83.8m54>4738j6g02;307b?;5583>!5f03;?:<5a3`596d=?57>5$2c;>42192d8m:4=b:9l515b290/?l651542?k5f?38h76a>42194?"4i10:8;?4n2c4>7b<3f;???4?:%1b81e?l952d98m46fi3:17b?98383>!5f03;=4l5a3`594>=h9?2:6=4+3`:953>f3g9j;7?4;n35<5<72-9j47?98`9m7d1=:21d=;9i:18'7d>=9?2j7c=n7;18?j71?m0;6)=n8;355<#;h21=;6n;o1b3?3<3f;=;o4?:%1b!5f03;=4l5a3`59<>=h9?=36=4+3`:953>f3g9j;774;n3532<72-9j47?98`9m7d1=i21d=;99:18'7d>=9?2j7c=n7;`8?j71?<0;6)=n8;355<#;h21=;6n;o1b3?b<3f;=444?:%1b?290/?l6517:b?k5f?3l07b?98683>!5f03;=4l5a3`5955=5$2c;>40?i2d8m:4>1:9l53>2290/?l6517:b?k5f?3;976a>69694?"4i10::5o4n2c4>45<3f;=4>4?:%1bo7:04;e>h4i>0:965`1750>5<#;h21=;6n;o1b3?7132e:::<50;&0e=<6>1k0b>o8:058?j711k0;6)=n8;35e6=i;h=1<65`17;b>5<#;h21=;o<;o1b3?7<3f;=544?:%1b!5f03;=m>5a3`590>=h9?3>6=4+3`:953g43g9j;7;4;n35=1<72-9j47?9a29m7d1=>21d=;7<:18'7d>=9?k87c=n7;58?j711;0;6)=n8;35e6=i;h=1465`17;2>5<#;h21=;o<;o1b3??<3f;=5=4?:%1ba290/?l6517c0?k5f?3h07b?98d83>!5f03;=m>5a3`59g>=h9?2o6=4+3`:953g43g9j;7j4;n35e7<72-9j47?9a29m7d1=m21d=;o>:18'7d>=9?k87c=n7;d8?j71i90;6)=n8;35e6=i;h=1==54o04:b?6=,:k36<8n3:l0e2<6921d=;7j:18'7d>=9?k87c=n7;31?>i6>0n1<7*=h9?2h6=4+3`:953g43g9j;7?9;:m22=d=83.8m54>6`18j6g028=07b?9b583>!5f03;=nn5a3`594>=h9?h86=4+3`:953dd3g9j;7?4;n35f7<72-9j47?9bb9m7d1=:21d=;l>:18'7d>=9?hh7c=n7;18?j71io0;6)=n8;35ff=i;h=1865`17cf>5<#;h21=;ll;o1b3?3<3f;=mi4?:%1b!5f03;=nn5a3`59<>=h9?kj6=4+3`:953dd3g9j;774;n35e<<72-9j47?9bb9m7d1=i21d=;o7:18'7d>=9?hh7c=n7;`8?j71i>0;6)=n8;35ff=i;h=1o65`17c5>5<#;h21=;ll;o1b3?b<3f;=no4?:%1b!5f03;=nn5a3`5955=5$2c;>40ek2d8m:4>1:9l53d0290/?l6517``?k5f?3;976a>6c494?"4i10::om4n2c4>45<3f;=n84?:%1bo7:04ag>h4i>0:965`17c6>5<#;h21=;ll;o1b3?7132e::l:50;&0e=<6>ki0b>o8:058?j71km0;6)=n8;35`0=i;h=1<65`17a`>5<#;h21=;j:;o1b3?7<3f;=oo4?:%1b!5f03;=h85a3`590>=h9?i<6=4+3`:953b23g9j;7;4;n35g3<72-9j47?9d49m7d1=>21d=;m::18'7d>=9?n>7c=n7;58?j71k=0;6)=n8;35`0=i;h=1465`17a0>5<#;h21=;j:;o1b3??<3f;=o?4?:%1b!5f03;=h85a3`59g>=h9?hm6=4+3`:953b23g9j;7j4;n35`1<72-9j47?9d49m7d1=m21d=;j<:18'7d>=9?n>7c=n7;d8?j71l;0;6)=n8;35`0=i;h=1==54o04g5?6=,:k36<8k5:l0e2<6921d=;j?:18'7d>=9?n>7c=n7;31?>i6>jl1<7*=h9?hn6=4+3`:953b23g9j;7?9;:m22gb=83.8m54>6e78j6g028=07b?9e783>!5f03;=ih5a3`594>=h9?o>6=4+3`:953cb3g9j;7?4;n35a1<72-9j47?9ed9m7d1=:21d=;k<:18'7d>=9?on7c=n7;18?j71m80;6)=n8;35a`=i;h=1865`17g3>5<#;h21=;kj;o1b3?3<3f;=hk4?:%1b!5f03;=ih5a3`59<>=h9?nh6=4+3`:953cb3g9j;774;n35`g<72-9j47?9ed9m7d1=i21d=;jn:18'7d>=9?on7c=n7;`8?j71l00;6)=n8;35a`=i;h=1o65`17f;>5<#;h21=;kj;o1b3?b<3f;=ii4?:%1b!5f03;=ih5a3`5955=5$2c;>40bm2d8m:4>1:9l53c>290/?l6517gf?k5f?3;976a>6d:94?"4i10::hk4n2c4>45<3f;=i:4?:%1bo7:04fa>h4i>0:965`17f4>5<#;h21=;kj;o1b3?7132e::i850;&0e=<6>lo0b>o8:058?j71no0;6)=n8;3442=i;h=1<65`17df>5<#;h21=:>8;o1b3?7<3f;=ji4?:%1b1e?l952:9l53`d290/?l651624?k5f?3907b?9f`83>!5f03;<<:5a3`590>=h9?l26=4+3`:952603g9j;7;4;n35b=<72-9j47?8069m7d1=>21d=;h8:18'7d>=9>:<7c=n7;58?j71n?0;6)=n8;3442=i;h=1465`17d6>5<#;h21=:>8;o1b3??<3f;=j94?:%1b1e?l95a:9l53`4290/?l651624?k5f?3h07b?9f383>!5f03;<<:5a3`59g>=h9?l:6=4+3`:952603g9j;7j4;n3443<72-9j47?8069m7d1=m21d=:>::18'7d>=9>:<7c=n7;d8?j708=0;6)=n8;3442=i;h=1==54o0537?6=,:k36<9?7:l0e2<6921d=:>=:18'7d>=9>:<7c=n7;31?>i6?9;1<7*=h9?l;6=4+3`:952603g9j;7?9;:m22``=83.8m54>7158j6g028=07b?81983>!5f03;<>=5a3`594>=h9>;<6=4+3`:952473g9j;7?4;n3453<72-9j47?8219m7d1=:21d=:?::18'7d>=9>8;7c=n7;18?j709:0;6)=n8;3465=i;h=1865`1631>5<#;h21=:!5f03;<>=5a3`59<>=h9>:n6=4+3`:952473g9j;774;n344a<72-9j47?8219m7d1=i21d=:>l:18'7d>=9>8;7c=n7;`8?j708k0;6)=n8;3465=i;h=1o65`162b>5<#;h21=:!5f03;<>=5a3`5955=5$2c;>41582d8m:4>1:9l527e290/?l651603?k5f?3;976a>70c94?"4i10:;?>4n2c4>45<3f;<=44?:%1bo7:0514>h4i>0:965`162:>5<#;h21=:o8:058?j7e:l0;66g>10`94?"4i10:=?=4n2c4>5=5$2c;>475;2d8m:4>;:k254?=83.8m54>1318j6g02;10e8;29 6g?28;9?6`o698<1<7*o8:798m476;3:1(>o7:0317>h4i>0<76g>10094?"4i10:=?=4n2c4>==5$2c;>475;2d8m:46;:k2546=83.8m54>1318j6g02h10eo699o1<7*o8:d98m47593:1(>o7:0317>h4i>0m76g>13294?"4i10:=?=4n2c4>46<3`;:=k4?:%1bo7:0317>h4i>0:>65f103g>5<#;h21=<<<;o1b3?7432c:=o8:068?l769>0;6)=n8;3266=i;h=1=854i033g?6=,:k3621b=<>m:18'7d>=98887c=n7;34?>o69:>1<7*<50;&0e=<69:i0b>o8:398m47493:1(>o7:030g>h4i>0876g>13d94?"4i10:=>m4n2c4>1=5$2c;>474k2d8m:4:;:k257b=83.8m54>12a8j6g02?10eo69;h1<7*32c:=?750;&0e=<69:i0b>o8:`98m47503:1(>o7:030g>h4i>0i76g>13594?"4i10:=>m4n2c4>f=5$2c;>474k2d8m:4k;:k256d=83.8m54>12a8j6g02l10eo69:31<7*4;h327=<72-9j47?>3b9m7d1=9810e=n989=6=4+3`:9545d3g9j;7?<;:k2563=83.8m54>12a8j6g028>07d?>3183>!5f03;:?n5a3`5950=5$2c;>474k2d8m:4>6:9j5443290/?l65101`?k5f?3;<76g>15f94?"4i10:=8;4n2c4>5=5$2c;>472=2d8m:4>;:k251d=83.8m54>1478j6g02;10e96`o69=21<7*o8:798m473=3:1(>o7:0361>h4i>0<76g>15694?"4i10:=8;4n2c4>==5$2c;>472=2d8m:46;:k2514=83.8m54>1478j6g02h10e96`o69=:1<7*o8:d98m472;3:1(>o7:0361>h4i>0m76g>14094?"4i10:=8;4n2c4>46<3`;:9<4?:%1bo7:0361>h4i>0:>65f106e>5<#;h21=<;:;o1b3?7432c:=9k50;&0e=<69o8:068?l76<00;6)=n8;3210=i;h=1=854i030a?6=,:k3621b=<=k:18'7d>=98?>7c=n7;34?>o69?<1<7*o8:398m471;3:1(>o7:035a>h4i>0876g>17394?"4i10:=;k4n2c4>1=5$2c;>471m2d8m:4:;:k250`=83.8m54>17g8j6g02?10eo6932c:=8l50;&0e=<69?o0b>o8:`98m472i3:1(>o7:035a>h4i>0i76g>14;94?"4i10:=;k4n2c4>f=47>5$2c;>471m2d8m:4k;:k253b=83.8m54>17g8j6g02l10eo69?h1<7*4;h322d<72-9j47?>6d9m7d1=9810e=n98<36=4+3`:9540b3g9j;7?<;:k2531=83.8m54>17g8j6g028>07d?>6383>!5f03;::h5a3`5950=;7>5$2c;>471m2d8m:4>6:9j5431290/?l65104f?k5f?3;<76g>16d94?"4i10:=594n2c4>5=5$2c;>47??2d8m:4>;:k252b=83.8m54>1958j6g02;10eo69>k1<7*o8:798m470?3:1(>o7:03;3>h4i>0<76g>16494?"4i10:=594n2c4>==5$2c;>47??2d8m:46;:k2522=83.8m54>1958j6g02h10eo69>81<7*o8:d98m47?=3:1(>o7:03;3>h4i>0m76g>19694?"4i10:=594n2c4>46<3`;:4>4?:%1b1e?l951098m47?:3:1(>o7:03;3>h4i>0:>65f10:2>5<#;h21=<68;o1b3?7432c:=5>50;&0e=<691=0b>o8:068?l76?k0;6)=n8;32<2=i;h=1=854i0344?6=,:k3621b=<8i:18'7d>=982<7c=n7;34?>i6j;n1<75f10;;>5<#;h21=9483>!5f03;:m=5a3`597>=n98386=4+3`:954g73g9j;7:4;h32=7<72-9j47?>a19m7d1==21b=<7>:18'7d>=98k;7c=n7;48?l76190;6)=n8;32e5=i;h=1;65f10:e>5<#;h21=<3`;:4h4?:%1bc290/?l6510c3?k5f?3k07d?>8b83>!5f03;:m=5a3`59f>=n982i6=4+3`:954g73g9j;7m4;h32a19m7d1=l21b=<7i:18'7d>=98k;7c=n7;g8?l761l0;6)=n8;32e5=i;h=1j65f10;g>5<#;h21=o8:038?l761k0;6)=n8;32e5=i;h=1=?54i03:e?6=,:k36=98k;7c=n7;37?>o690>1<7*a19m7d1=9?10e=n98h:6=4+3`:954d>3g9j;7>4;h32f5<72-9j47?>b89m7d1=921b==98h27c=n7;08?l76il0;6)=n8;32f<=i;h=1?65f10c`>5<#;h21=a883>!5f03;:n45a3`593>=n98k36=4+3`:954d>3g9j;764;h32e2<72-9j47?>b89m7d1=121b==98h27c=n7;c8?l76i<0;6)=n8;32f<=i;h=1n65f10c7>5<#;h21=4?:%1bb683>!5f03;:n45a3`59b>=n98h=6=4+3`:954d>3g9j;7??;:k25g3=83.8m54>1c;8j6g028;07d?>b583>!5f03;:n45a3`5957=5$2c;>47e12d8m:4>3:9j54d5290/?l6510`:?k5f?3;?76g>1`f94?"4i10:=o74n2c4>43<3`;:m?4?:%1bo7:03a=>h4i>0:;65f11f6>5<#;h21==j9;o1b3?6<3f;8!5f03;?4l5a3`596>=h9::26=4+3`:951>f3g9j;7=4;n304=<72-9j47?;8`9m7d1=<21d=>>8:18'7d>=9=2j7c=n7;78?j748?0;6)=n8;375<#;h21=96n;o1b3?1<3f;8<>4?:%1b!5f03;?4l5a3`59e>=h9::;6=4+3`:951>f3g9j;7l4;n31bc<72-9j47?;8`9m7d1=k21d=?hj:18'7d>=9=2j7c=n7;f8?j75nm0;6)=n8;375<#;h21=96n;o1b3?`<3f;9jo4?:%1bo7:06;e>h4i>0:=65`13d;>5<#;h21=96n;o1b3?7532e:>k950;&0e=<6<1k0b>o8:018?j75n?0;6)=n8;37=9=2j7c=n7;35?>i6:o91<7*3m6`=h9;l;6=4+3`:951>f3g9j;7?n;:m26`c=83.8m54>49c8j6g028h07b?=ee83>!5f03;?4l5a3`595f=5$2c;>42?i2d8m:4>d:9l57ce290/?l6515:b?k5f?3;n76a>2dc94?"4i10:85o4n2c4>4`<3f;9i44?:%1bo7:06;e>h4i>09=65`13g4>5<#;h21=96n;o1b3?4532e:>h850;&0e=<6<1k0b>o8:318?j75m<0;6)=n8;37954o0125?6=,:k36<:7a:l0e2<5=21d=>??:18'7d>=9=2j7c=n7;05?>i6;9l1<7*3m6`=h9::>6=4+3`:951>f3g9j;749c8j6g02;h07b?=eg83>!5f03;?4l5a3`596f=5$2c;>42?i2d8m:4=d:9l57c4290/?l6515:b?k5f?38n76a>4c`94?"4i10:8h74n2c4>5=im7>5$2c;>42b12d8m:4>;:m20g?=83.8m54>4d;8j6g02;10c<:m8;29 6g?28>n56`i6o8:798k42e;3:1(>o7:06f=>h4i>0<76a>4c094?"4i10:8h74n2c4>==i=7>5$2c;>42b12d8m:46;:m20g6=83.8m54>4d;8j6g02h10c<:nf;29 6g?28>n56`i6o8:d98k42fj3:1(>o7:06f=>h4i>0m76a>4`c94?"4i10:8h74n2c4>46<3f;?m54?:%1bo7:06f=>h4i>0:>65`15c5>5<#;h21=9k6;o1b3?7432e:8l;50;&0e=<6o8:068?j73i=0;6)=n8;37a<=i;h=1=854o06b7?6=,:k36<:j9:l0e2<6>21d=9o=:18'7d>=9=o27c=n7;34?>i6n56`=h9=3o6=4+3`:951c>3g9j;7?m;:m204d;8j6g028i07b?;9c83>!5f03;?i45a3`595a=2m7>5$2c;>42b12d8m:4>e:9l51?>290/?l6515g:?k5f?3;m76a>48:94?"4i10:8h74n2c4>76<3f;?5:4?:%1b>3:1(>o7:06f=>h4i>09>65`15;6>5<#;h21=9k6;o1b3?4432e:84:50;&0e=<6o8:368?j73k90;6)=n8;37a<=i;h=1>854o06ab?6=,:k36<:j9:l0e2<5>21d=9lj:18'7d>=9=o27c=n7;04?>i6n56`=h9=k26=4+3`:951c>3g9j;74d;8j6g02;i07b?;9283>!5f03;?i45a3`596a=2>7>5$2c;>42b12d8m:4=e:9l507f290/?l651403?k5f?3:07b?:1883>!5f03;>>=5a3`595>=h9<;36=4+3`:950473g9j;7<4;n3652<72-9j47?:219m7d1=;21d=8?9:18'7d>=9<8;7c=n7;68?j729<0;6)=n8;3665=i;h=1965`1437>5<#;h21=8=?4?:%1b!5f03;>>=5a3`59=>=h9<:m6=4+3`:950473g9j;7o4;n364`<72-9j47?:219m7d1=j21d=8>k:18'7d>=9<8;7c=n7;a8?j728j0;6)=n8;3665=i;h=1h65`142a>5<#;h21=8290/?l651403?k5f?3;;76a>51594?"4i10:9?>4n2c4>47<3f;><;4?:%1bo7:0714>h4i>0:?65`1427>5<#;h21=8o8:078?j728;0;6)=n8;3665=i;h=1=;54o0735?6=,:k36<;=0:l0e2<6?21d=8>?:18'7d>=9<8;7c=n7;3;?>i6=h9=li6=4+3`:950473g9j;7?l;:m20cg=83.8m54>5328j6g028n07b?;f883>!5f03;>>=5a3`595`=m47>5$2c;>43582d8m:4>f:9l51`0290/?l651403?k5f?38;76a>4g494?"4i10:9?>4n2c4>77<3f;?j84?:%1bo7:0714>h4i>09?65`15d0>5<#;h21=8o8:378?j729l0;6)=n8;3665=i;h=1>;54o072`?6=,:k36<;=0:l0e2<5?21d=8?l:18'7d>=9<8;7c=n7;0;?>i6=8h1<7*=h9=lo6=4+3`:950473g9j;75328j6g02;n07b?;f083>!5f03;>>=5a3`596`=5;n360d<72-9j47?:519m7d1=821d=8:6:18'7d>=965`1464>5<#;h21=8;?;o1b3?5<3f;>8;4?:%1b!5f03;>9=5a3`592>=h9<>96=4+3`:950373g9j;794;n3604<72-9j47?:519m7d1=021d=8:?:18'7d>=95<#;h21=8;?;o1b3?d<3f;>?i4?:%1b!5f03;>9=5a3`59a>=h9<9j6=4+3`:950373g9j;7h4;n367<<72-9j47?:519m7d1=9910c<;<7;29 6g?28?><6`=h9<9=6=4+3`:950373g9j;7?=;:m2163=83.8m54>5428j6g028907b?:3583>!5f03;>9=5a3`5951=5$2c;>43282d8m:4>5:9l5055290/?l651473?k5f?3;=76a>52394?"4i10:98>4n2c4>41<3f;>?=4?:%1bo7:0764>h4i>0:565`140f>5<#;h21=8;?;o1b3?7f32e:9?m50;&0e=<6=<:0b>o8:0`8?j72:k0;6)=n8;3615=i;h=1=n54o071e?6=,:k36<;:0:l0e2<6l21d=8<6:18'7d>=9i6=;21<7*<6`=h9<8>6=4+3`:950373g9j;7<=;:m2172=83.8m54>5428j6g02;907b?:2283>!5f03;>9=5a3`5961=5$2c;>43282d8m:4=5:9l502b290/?l651473?k5f?38=76a>55f94?"4i10:98>4n2c4>71<3f;>8n4?:%1bo7:0764>h4i>09565`1460>5<#;h21=8;?;o1b3?4f32e:9>650;&0e=<6=<:0b>o8:3`8?j72:m0;6)=n8;3615=i;h=1>n54o0716?6=,:k36<;:0:l0e2<5l21d=8<>:18'7d>=9o69991<7*o8:398m47783:1(>o7:03`=>h4i>0876g>0gg94?"4i10:=n74n2c4>1=5$2c;>47d12d8m:4:;:k24ce=83.8m54>1b;8j6g02?10e<>ib;29 6g?28;h56`o68ok1<7*32c:o8:`98m46a?3:1(>o7:03`=>h4i>0i76g>0g494?"4i10:=n74n2c4>f=5$2c;>47d12d8m:4k;:k255g=83.8m54>1b;8j6g02l10eo69921<7*4;h3242<72-9j47?>c89m7d1=9810e=n98:>6=4+3`:954e>3g9j;7?<;:k2552=83.8m54>1b;8j6g028>07d??fg83>!5f03;:o45a3`5950=5$2c;>47d12d8m:4>6:9j55`4290/?l6510a:?k5f?3;<76g>1d194?"4i10:=ko4n2c4>5=7>5$2c;>47ai2d8m:4>;:k25`7=83.8m54>1gc8j6g02;10eo69mo1<7*o8:798m47cj3:1(>o7:03ee>h4i>0<76g>1ec94?"4i10:=ko4n2c4>==5$2c;>47ai2d8m:46;:k25a>=83.8m54>1gc8j6g02h10eo69m<1<7*o8:d98m47b13:1(>o7:03ee>h4i>0m76g>1d:94?"4i10:=ko4n2c4>46<3`;:i:4?:%1b3:1(>o7:03ee>h4i>0:>65f10g6>5<#;h21=o8:068?l76lo0;6)=n8;32bd=i;h=1=854i03g0?6=,:k3621b==98lj7c=n7;34?>i6=>k1<7*o8:398k430?3:1(>o7:07;4>h4i>0876a>56494?"4i10:95>4n2c4>1=5$2c;>43?82d8m:4:;:m2122=83.8m54>5928j6g02?10c<;82;29 6g?28?3<6`i6=>;1<7*32e:9;h50;&0e=<6=1:0b>o8:`98k431m3:1(>o7:07;4>h4i>0i76a>57f94?"4i10:95>4n2c4>f=5$2c;>43?82d8m:4k;:m213d=83.8m54>5928j6g02l10c<;9a;29 6g?28?3<6`i6=?31<7*4;n3622<72-9j47?:819m7d1=9810c<;96;29 6g?28?3<6`=h9<<>6=4+3`:950>73g9j;7?<;:m2132=83.8m54>5928j6g028>07b?:6283>!5f03;>4=5a3`5950=7>5$2c;>43?82d8m:4>6:9l5006290/?l6514:3?k5f?3;<76a>57294?"4i10:95>4n2c4>4><3f;>9k4?:%1bo7:07;4>h4i>0:m65`147`>5<#;h21=86?;o1b3?7e32e:98l50;&0e=<6=1:0b>o8:0a8?j72=h0;6)=n8;36<5=i;h=1=i54o076=?6=,:k36<;70:l0e2<6m21d=8;7:18'7d>=9<2;7c=n7;3e?>i6=<=1<7*4;n3613<72-9j47?:819m7d1=:810c<;:5;29 6g?28?3<6`=h973g9j;7<<;:m2105=83.8m54>5928j6g02;>07b?:7g83>!5f03;>4=5a3`5960=5$2c;>43?82d8m:4=6:9l501c290/?l6514:3?k5f?38<76a>56a94?"4i10:95>4n2c4>7><3f;>;o4?:%1bo7:07;4>h4i>09m65`144;>5<#;h21=86?;o1b3?4e32e:98j50;&0e=<6=1:0b>o8:3a8?j72=;0;6)=n8;36<5=i;h=1>i54o0765?6=,:k36<;70:l0e2<5m21b=??;:18'7d>=9;;h7c=n7;28?l759:0;6)=n8;315f=i;h=1=65f1331>5<#;h21=??l;o1b3?4<3`;9=<4?:%1b07d?=0d83>!5f03;9=n5a3`591>=n9;:o6=4+3`:9577d3g9j;784;h314f<72-9j47?=1b9m7d1=?21b=?>m:18'7d>=9;;h7c=n7;:8?l758h0;6)=n8;315f=i;h=1565f132:>5<#;h21=??l;o1b3?g<3`;9<54?:%1b!5f03;9=n5a3`59`>=n9;;i6=4+3`:9577d3g9j;7k4;h315d<72-9j47?=1b9m7d1=n21b=??6:18'7d>=9;;h7c=n7;33?>o6:821<7*6;29 6g?288:o6`=n9;;>6=4+3`:9577d3g9j;7?;;:k2646=83.8m54>20a8j6g028?07d?=0483>!5f03;9=n5a3`5953=5$2c;>446k2d8m:4>7:9l50gf290/?l6514`3?k5f?3:07b?:a883>!5f03;>n=5a3`595>=h9=95<#;h21=8l?;o1b3?0<3f;>m?4?:%1b!5f03;>n=5a3`59=>=h9<3m6=4+3`:950d73g9j;7o4;n36=`<72-9j47?:b19m7d1=j21d=87k:18'7d>=95<#;h21=8l?;o1b3?c<3f;>5l4?:%1b290/?l6514`3?k5f?3;;76a>58594?"4i10:9o>4n2c4>47<3f;>5;4?:%1b=3:1(>o7:07a4>h4i>0:?65`14;7>5<#;h21=8l?;o1b3?7332e:94=50;&0e=<6=k:0b>o8:078?j721;0;6)=n8;36f5=i;h=1=;54o07:5?6=,:k36<;m0:l0e2<6?21d=87?:18'7d>=9i6=1l1<7*=h9<2i6=4+3`:950d73g9j;7?l;:m21=g=83.8m54>5c28j6g028n07b?:8883>!5f03;>n=5a3`595`=5$2c;>43e82d8m:4>f:9l50>0290/?l6514`3?k5f?38;76a>59494?"4i10:9o>4n2c4>77<3f;>484?:%1bo7:07a4>h4i>09?65`14:0>5<#;h21=8l?;o1b3?4332e:9lh50;&0e=<6=k:0b>o8:378?j72il0;6)=n8;36f5=i;h=1>;54o07b`?6=,:k36<;m0:l0e2<5?21d=8ol:18'7d>=9i6=hh1<7*=h9<2o6=4+3`:950d73g9j;75c28j6g02;n07b?:8083>!5f03;>n=5a3`596`=5$2c;>444=2d8m:4?;:k267e=83.8m54>2278j6g02810e<<=b;29 6g?288896`o6:;k1<7*54i001?950;&0e=<6::?0b>o8:498m445>3:1(>o7:0001>h4i>0=76g>23794?"4i10:>>;4n2c4>2=5$2c;>444=2d8m:47;:k2675=83.8m54>2278j6g02010e<<=2;29 6g?288896`o6:;;1<7*o8:e98m444<3:1(>o7:0001>h4i>0n76g>22194?"4i10:>>;4n2c4>c=7>5$2c;>444=2d8m:4>0:9j5756290/?l651316?k5f?3;:76g>22294?"4i10:>>;4n2c4>44<3`;9>k4?:%1bo7:0001>h4i>0:865f130:>5<#;h21=?=:;o1b3?7232c:>o8:048?l759m0;6)=n8;3170=i;h=1=:54o07ge?6=,:k36<;j0:l0e2<732e:9i750;&0e=<6=l:0b>o8:098k43c03:1(>o7:07f4>h4i>0976a>5e594?"4i10:9h>4n2c4>6=5$2c;>43b82d8m:4;;:m21a3=83.8m54>5d28j6g02<10c<;k4;29 6g?28?n<6`i6=m81<7*50;&0e=<6=l:0b>o8:898k43dn3:1(>o7:07f4>h4i>0j76a>5bg94?"4i10:9h>4n2c4>g=5$2c;>43b82d8m:4l;:m21fe=83.8m54>5d28j6g02m10c<;lb;29 6g?28?n<6`i6=jk1<7*=9i6=j<1<7*=h95d28j6g028<07b?:c083>!5f03;>i=5a3`5952=5$2c;>43b82d8m:4>8:9l50da290/?l6514g3?k5f?3;276a>5cg94?"4i10:9h>4n2c4>4g<3f;>nn4?:%1bo7:07f4>h4i>0:o65`14`b>5<#;h21=8k?;o1b3?7c32e:9o750;&0e=<6=l:0b>o8:0g8?j72j10;6)=n8;36a5=i;h=1=k54o07a3?6=,:k36<;j0:l0e2<5821d=8l9:18'7d>=9i6=k?1<7*=h95d28j6g02;<07b?:de83>!5f03;>i=5a3`5962=5$2c;>43b82d8m:4=8:9l50be290/?l6514g3?k5f?38276a>5e194?"4i10:9h>4n2c4>7g<3f;>o54?:%1bo7:07f4>h4i>09o65`14`1>5<#;h21=8k?;o1b3?4c32e:9o?50;&0e=<6=l:0b>o8:3g8?l755<#;h21=?:j;o1b3?7<3`;9894?:%1b!5f03;98h5a3`590>=n9;>;6=4+3`:9572b3g9j;7;4;h317c<72-9j47?=4d9m7d1=>21b=?=j:18'7d>=9;>n7c=n7;58?l75;m0;6)=n8;310`=i;h=1465f131`>5<#;h21=?:j;o1b3??<3`;9?o4?:%1b!5f03;98h5a3`59g>=n9;936=4+3`:9572b3g9j;7j4;h310a<72-9j47?=4d9m7d1=m21b=?:l:18'7d>=9;>n7c=n7;d8?l75=9;>n7c=n7;31?>o6:=21<7*=n9;9<6=4+3`:9572b3g9j;7?9;:k2660=83.8m54>25g8j6g028=07b?90`83>!5f03;===5a3`594>=h9?:26=4+3`:953773g9j;7?4;n354=<72-9j47?9119m7d1=:21d=;>8:18'7d>=9?;;7c=n7;18?j718?0;6)=n8;3555=i;h=1865`1726>5<#;h21=;??;o1b3?3<3f;=<94?:%1b!5f03;===5a3`59<>=h9?:;6=4+3`:953773g9j;774;n36bc<72-9j47?9119m7d1=i21d=8hj:18'7d>=9?;;7c=n7;`8?j72nm0;6)=n8;3555=i;h=1o65`14d`>5<#;h21=;??;o1b3?b<3f;>jo4?:%1b!5f03;===5a3`5955=5$2c;>40682d8m:4>1:9l50`1290/?l651733?k5f?3;976a>5g794?"4i10::<>4n2c4>45<3f;>j94?:%1bo7:0424>h4i>0:965`14d1>5<#;h21=;??;o1b3?7132e:9k?50;&0e=<6>8:0b>o8:058?j72n90;6)=n8;3555=i;h=1=554o07fb?6=,:k36<8>0:l0e2<6121d=8kj:18'7d>=9?;;7c=n7;3b?>i6=li1<7*=h9=83.8m54>6028j6g028l07b?:e683>!5f03;===5a3`5965=5$2c;>40682d8m:4=1:9l50c2290/?l651733?k5f?38976a>5d694?"4i10::<>4n2c4>75<3f;>i>4?:%1bo7:0424>h4i>09965`172f>5<#;h21=;??;o1b3?4132e::=j50;&0e=<6>8:0b>o8:358?j718j0;6)=n8;3555=i;h=1>554o043f?6=,:k36<8>0:l0e2<5121d=;><:18'7d>=9?;;7c=n7;0b?>i6=o21<7*=h92758j6g02910e<<:e;29 6g?288=;6`o6:8o50;&0e=<6:?=0b>o8:598m44213:1(>o7:0053>h4i>0>76g>24:94?"4i10:>;94n2c4>3=;7>5$2c;>441?2d8m:48;:k2600=83.8m54>2758j6g02110e<<:5;29 6g?288=;6`o6:<>1<7*8<50;&0e=<6:?=0b>o8:b98m44293:1(>o7:0053>h4i>0o76g>27494?"4i10:>;94n2c4>`=5$2c;>441?2d8m:4i;:k2632=83.8m54>2758j6g028:07d?=6283>!5f03;9::5a3`5954=7>5$2c;>441?2d8m:4>2:9j5706290/?l651344?k5f?3;876g>27294?"4i10:>;94n2c4>42<3`;99o4?:%1b>1e?l951498m44283:1(>o7:0053>h4i>0::65f136e>5<#;h21=?88;o1b3?7032e::>o50;&0e=<6>=:0b>o8:198k40413:1(>o7:0474>h4i>0:76a>62:94?"4i10::9>4n2c4>7=5$2c;>40382d8m:4<;:m2260=83.8m54>6528j6g02=10c<8<5;29 6g?28i6>:>1<7*?50;&0e=<6>=:0b>o8:998k40483:1(>o7:0474>h4i>0276a>63d94?"4i10::9>4n2c4>d=5$2c;>40382d8m:4m;:m227b=83.8m54>6528j6g02j10c<8=c;29 6g?28i6>;h1<7*=:0b>o8:028?j71:>0;6)=n8;3505=i;h=1=<54o0412?6=,:k36<8;0:l0e2<6:21d=;<::18'7d>=9?>;7c=n7;30?>i6>;>1<7*=h9?8:6=4+3`:953273g9j;7?8;:m2276=83.8m54>6528j6g028207b?91g83>!5f03;=8=5a3`595<=5$2c;>40382d8m:4>a:9l537d290/?l651763?k5f?3;i76a>60`94?"4i10::9>4n2c4>4e<3f;==l4?:%1bo7:0474>h4i>0:i65`173;>5<#;h21=;:?;o1b3?7a32e::<950;&0e=<6>=:0b>o8:328?j719?0;6)=n8;3505=i;h=1><54o0421?6=,:k36<8;0:l0e2<5:21d=;?;:18'7d>=9?>;7c=n7;00?>i6>891<7*=h9?9o6=4+3`:953273g9j;7<8;:m226e=83.8m54>6528j6g02;207b?93c83>!5f03;=8=5a3`596<=5$2c;>40382d8m:4=a:9l534?290/?l651763?k5f?38i76a>60f94?"4i10::9>4n2c4>7e<3f;==?4?:%1bo7:0474>h4i>09i65f135;>5<#;h21=?6?;o1b3?6<3`;9;:4?:%1b!5f03;94=5a3`597>=n9;=86=4+3`:957>73g9j;7:4;h3137<72-9j47?=819m7d1==21b=?9>:18'7d>=9;2;7c=n7;48?l75?90;6)=n8;31<5=i;h=1;65f134e>5<#;h21=?6?;o1b3?><3`;9:h4?:%1b!5f03;94=5a3`59f>=n9;73g9j;7m4;h312d<72-9j47?=819m7d1=l21b=?9i:18'7d>=9;2;7c=n7;g8?l75?l0;6)=n8;31<5=i;h=1j65f135g>5<#;h21=?6?;o1b3?7732c:>:m50;&0e=<6:1:0b>o8:038?l75?k0;6)=n8;31<5=i;h=1=?54i004e?6=,:k36<<70:l0e2<6;21b=?96:18'7d>=9;2;7c=n7;37?>o6:>>1<7*=n9;3:6=4+3`:957?>3g9j;7>4;h31=5<72-9j47?=989m7d1=921b=?6i:18'7d>=9;327c=n7;08?l750l0;6)=n8;31=<=i;h=1?65f13:`>5<#;h21=?76;o1b3?2<3`;94o4?:%1bf290/?l6513;:?k5f?3<07d?=8883>!5f03;9545a3`593>=n9;236=4+3`:957?>3g9j;764;h31<2<72-9j47?=989m7d1=121b=?69:18'7d>=9;327c=n7;c8?l750<0;6)=n8;31=<=i;h=1n65f13:7>5<#;h21=?76;o1b3?e<3`;94>4?:%1b!5f03;9545a3`59b>=n9;3=6=4+3`:957?>3g9j;7??;:k26<3=83.8m54>28;8j6g028;07d?=9583>!5f03;9545a3`5957=5$2c;>44>12d8m:4>3:9j57?5290/?l6513;:?k5f?3;?76g>29f94?"4i10:>474n2c4>43<3`;94?4?:%1bo7:00:=>h4i>0:;65f13cb>5<#;h21=?l=;o1b3?6<3`;9m44?:%1b!5f03;9n?5a3`597>=n9;k>6=4+3`:957d53g9j;7:4;h31e1<72-9j47?=b39m7d1==21b=?o<:18'7d>=9;h97c=n7;48?l75i;0;6)=n8;31f7=i;h=1;65f13c2>5<#;h21=?l=;o1b3?><3`;9m=4?:%1b!5f03;9n?5a3`59f>=n9;3o6=4+3`:957d53g9j;7m4;h31=f<72-9j47?=b39m7d1=l21b=?l>:18'7d>=9;h97c=n7;g8?l75j90;6)=n8;31f7=i;h=1j65f13ce>5<#;h21=?l=;o1b3?7732c:>lk50;&0e=<6:k80b>o8:038?l75im0;6)=n8;31f7=i;h=1=?54i00bg?6=,:k36<=9;h97c=n7;37?>o6:h<1<7*6`=h91=96=4+3`:95=1?3g9j;7>4;n3;34<72-9j47?7799m7d1=921d=59?:18'7d>=91=37c=n7;08?j7?>o0;6)=n8;3;3==i;h=1?65`194f>5<#;h21=597;o1b3?2<3f;3:i4?:%1b!5f03;3;55a3`593>=h91<26=4+3`:95=1?3g9j;764;n3;2=<72-9j47?7799m7d1=121d=588:18'7d>=91=37c=n7;c8?j7?>?0;6)=n8;3;3==i;h=1n65`1946>5<#;h21=597;o1b3?e<3f;3:94?:%1b!5f03;3;55a3`59b>=h91<:6=4+3`:95=1?3g9j;7??;:m2<0`=83.8m54>86:8j6g028;07b?75d83>!5f03;3;55a3`5957=h7>5$2c;>4>002d8m:4>3:9l5=3d290/?l65195;?k5f?3;?76a>84`94?"4i10:4:64n2c4>43<3f;39l4?:%1b213:1(>o7:0:4<>h4i>0:;65`197;>5<#;h21=597;o1b3?7?32e:48950;&0e=<60>20b>o8:0;8?j7?=?0;6)=n8;3;3==i;h=1=l54o0:60?6=,:k36<688:l0e2<6j21d=5;<:18'7d>=91=37c=n7;3`?>i60<81<7*=h91>m6=4+3`:95=1?3g9j;786:8j6g02;;07b?74e83>!5f03;3;55a3`5967=5$2c;>4>002d8m:4=3:9l5=2e290/?l65195;?k5f?38?76a>86594?"4i10:4:64n2c4>73<3f;3;;4?:%1b0=3:1(>o7:0:4<>h4i>09;65`1957>5<#;h21=597;o1b3?4?32e:4:=50;&0e=<60>20b>o8:3;8?j7?>k0;6)=n8;3;3==i;h=1>l54o0:54?6=,:k36<688:l0e2<5j21d=5;::18'7d>=91=37c=n7;0`?>i60=k1<7*i60h;1<7*o8:298k4>>m3:1(>o7:0:b<>h4i>0?76a>88f94?"4i10:4l64n2c4>0=5$2c;>4>f02d8m:49;:m2<8`:8j6g02>10c<669;29 6g?282j46`i60021<7*o8:c98k4>>=3:1(>o7:0:b<>h4i>0h76a>88694?"4i10:4l64n2c4>a=5$2c;>4>f02d8m:4j;:m2<<4=83.8m54>8`:8j6g02o10c<661;29 6g?282j46`=h912m6=4+3`:95=g?3g9j;7?>;:m2<=c=83.8m54>8`:8j6g028807b?78e83>!5f03;3m55a3`5956=5$2c;>4>f02d8m:4>4:9l5=>e290/?l6519c;?k5f?3;>76a>89c94?"4i10:4l64n2c4>40<3f;3444?:%1b?03:1(>o7:0:b<>h4i>0:465`19:4>5<#;h21=5o7;o1b3?7>32e:45850;&0e=<60h20b>o8:0c8?j7?0=0;6)=n8;3;e==i;h=1=o54o0:;7?6=,:k36<6n8:l0e2<6k21d=56=:18'7d>=91k37c=n7;3g?>i601;1<7*=h91=n6=4+3`:95=g?3g9j;7<>;:m2<2b=83.8m54>8`:8j6g02;807b?77b83>!5f03;3m55a3`5966=5$2c;>4>f02d8m:4=4:9l5=g0290/?l6519c;?k5f?38>76a>8`494?"4i10:4l64n2c4>70<3f;3m84?:%1bf<3:1(>o7:0:b<>h4i>09465`19c0>5<#;h21=5o7;o1b3?4>32e:44l50;&0e=<60h20b>o8:3c8?j7?190;6)=n8;3;e==i;h=1>o54o0:;1?6=,:k36<6n8:l0e2<5k21d=59n:18'7d>=91k37c=n7;0g?>i60>31<7*:18'7d>=91n37c=n7;38?j7?l90;6)=n8;3;`==i;h=1>65`19ae>5<#;h21=5j7;o1b3?5<3f;3oh4?:%1b!5f03;3h55a3`592>=h91ij6=4+3`:95=b?3g9j;794;n3;g<<72-9j47?7d99m7d1=021d=5m7:18'7d>=91n37c=n7;;8?j7?k>0;6)=n8;3;`==i;h=1m65`19a5>5<#;h21=5j7;o1b3?d<3f;3o84?:%1b!5f03;3h55a3`59a>=h91i96=4+3`:95=b?3g9j;7h4;n3;g4<72-9j47?7d99m7d1=9910c<6mf;29 6g?282o46`=h91hn6=4+3`:95=b?3g9j;7?=;:m28e:8j6g028907b?7bb83>!5f03;3h55a3`5951=5$2c;>4>c02d8m:4>5:9l5=df290/?l6519f;?k5f?3;=76a>8c;94?"4i10:4i64n2c4>41<3f;3n54?:%1be?3:1(>o7:0:g<>h4i>0:565`19`5>5<#;h21=5j7;o1b3?7f32e:4o:50;&0e=<60m20b>o8:0`8?j7?j:0;6)=n8;3;`==i;h=1=n54o0:a6?6=,:k36<6k8:l0e2<6l21d=5l>:18'7d>=91n37c=n7;3f?>i60k:1<7*=h91ko6=4+3`:95=b?3g9j;7<=;:m28e:8j6g02;907b?7ac83>!5f03;3h55a3`5961=5$2c;>4>c02d8m:4=5:9l5=b1290/?l6519f;?k5f?38=76a>8e794?"4i10:4i64n2c4>71<3f;3h94?:%1bc;3:1(>o7:0:g<>h4i>09565`19aa>5<#;h21=5j7;o1b3?4f32e:4n>50;&0e=<60m20b>o8:3`8?j7?j<0;6)=n8;3;`==i;h=1>n54o0:be?6=,:k36<6k8:l0e2<5l21d=5o6:18'7d>=91n37c=n7;0f?>i61981<7*50;&0e=<61920b>o8:398k4>an3:1(>o7:0;3<>h4i>0876a>8gg94?"4i10:5=64n2c4>1=5$2c;>4?702d8m:4:;:m291:8j6g02?10c<6ia;29 6g?283;46`i60o31<7*32e:4k950;&0e=<61920b>o8:`98k4>a>3:1(>o7:0;3<>h4i>0i76a>8g794?"4i10:5=64n2c4>f=5$2c;>4?702d8m:4k;:m291:8j6g02l10c<6i2;29 6g?283;46`i60o;1<7*4;n3;ac<72-9j47?6099m7d1=9810c<6je;29 6g?283;46`=h91oo6=4+3`:95<6?3g9j;7?<;:m2<`e=83.8m54>91:8j6g028>07b?7ec83>!5f03;2<55a3`5950=5$2c;>4?702d8m:4>6:9l5=c>290/?l65182;?k5f?3;<76a>8d:94?"4i10:5=64n2c4>4><3f;3i:4?:%1b811e?l951898k4>b>3:1(>o7:0;3<>h4i>0:m65`19g7>5<#;h21=4>7;o1b3?7e32e:4h=50;&0e=<61920b>o8:0a8?j7?m;0;6)=n8;3:4==i;h=1=i54o0:f5?6=,:k36<7?8:l0e2<6m21d=5k?:18'7d>=90:37c=n7;3e?>i60ml1<7*4;n3;``<72-9j47?6099m7d1=:810c<6kd;29 6g?283;46`=h91nh6=4+3`:95<6?3g9j;7<<;:m291:8j6g02;>07b?60683>!5f03;2<55a3`5960=5$2c;>4?702d8m:4=6:9l5<62290/?l65182;?k5f?38<76a>91694?"4i10:5=64n2c4>7><3f;2<>4?:%1b811e?l952898k4>aj3:1(>o7:0;3<>h4i>09m65`19d3>5<#;h21=4>7;o1b3?4e32e:4h;50;&0e=<61920b>o8:3a8?j7?lh0;6)=n8;3:4==i;h=1>i54o0:g=?6=,:k36<7?8:l0e2<5m21d=4==:18'7d>=90937c=n7;28?j7>;80;6)=n8;3:7==i;h=1=65`1813>5<#;h21=4=7;o1b3?4<3f;2>k4?:%1b;11e?l953:9l5<4b290/?l65181;?k5f?3>07b?62e83>!5f03;2?55a3`591>=h908h6=4+3`:95<5?3g9j;784;n3:6d<72-9j47?6399m7d1=?21d=4<6:18'7d>=90937c=n7;:8?j7>:10;6)=n8;3:7==i;h=1565`1804>5<#;h21=4=7;o1b3?g<3f;2>;4?:%1b;11e?l95b:9l5<42290/?l65181;?k5f?3i07b?62583>!5f03;2?55a3`59`>=h90886=4+3`:95<5?3g9j;7k4;n3:67<72-9j47?6399m7d1=n21d=4<>:18'7d>=90937c=n7;33?>i618l1<7*d;29 6g?283846`=h90;h6=4+3`:95<5?3g9j;7?;;:m2=4d=83.8m54>92:8j6g028?07b?61`83>!5f03;2?55a3`5953=5$2c;>4?402d8m:4>7:9l5<7?290/?l65181;?k5f?3;376a>90594?"4i10:5>64n2c4>4?<3f;2=;4?:%1b;11e?l951`98k4?6<3:1(>o7:0;0<>h4i>0:n65`1830>5<#;h21=4=7;o1b3?7d32e:5<<50;&0e=<61:20b>o8:0f8?j7>980;6)=n8;3:7==i;h=1=h54o0;24?6=,:k36<7<8:l0e2<6n21d=4>i:18'7d>=90937c=n7;03?>i619o1<7*=h90:i6=4+3`:95<5?3g9j;7<;;:m2=61=83.8m54>92:8j6g02;?07b?63783>!5f03;2?55a3`5963=5$2c;>4?402d8m:4=7:9l5<53290/?l65181;?k5f?38376a>92194?"4i10:5>64n2c4>7?<3f;2>o4?:%1b;11e?l952`98k4?583:1(>o7:0;0<>h4i>09n65`1836>5<#;h21=4=7;o1b3?4d32e:5=o50;&0e=<61:20b>o8:3f8?j7>800;6)=n8;3:7==i;h=1>h54i02g>11e?l950:9l5<06290/?l65184;?k5f?3;07b?66183>!5f03;2:55a3`596>=h90?m6=4+3`:95<0?3g9j;7=4;n3:1`<72-9j47?6699m7d1=<21d=4;k:18'7d>=90<37c=n7;78?j7>=j0;6)=n8;3:2==i;h=1:65`187b>5<#;h21=487;o1b3?1<3f;2944?:%1b>11e?l958:9l5<3?290/?l65184;?k5f?3307b?65683>!5f03;2:55a3`59e>=h90?=6=4+3`:95<0?3g9j;7l4;n3:10<72-9j47?6699m7d1=k21d=4;;:18'7d>=90<37c=n7;f8?j7>=:0;6)=n8;3:2==i;h=1i65`1871>5<#;h21=487;o1b3?`<3f;29<4?:%1b>11e?l951198k4?3n3:1(>o7:0;5<>h4i>0:=65`186f>5<#;h21=487;o1b3?7532e:59j50;&0e=<61?20b>o8:018?j7>=90<37c=n7;35?>i61=31<7*=h90>=6=4+3`:95<0?3g9j;7?n;:m2=12=83.8m54>97:8j6g028h07b?64283>!5f03;2:55a3`595f=7>5$2c;>4?102d8m:4>d:9l5<26290/?l65184;?k5f?3;n76a>95294?"4i10:5;64n2c4>4`<3f;2?k4?:%1b>11e?l952198k4?4m3:1(>o7:0;5<>h4i>09=65`181g>5<#;h21=487;o1b3?4532e:5>m50;&0e=<61?20b>o8:318?j7>;k0;6)=n8;3:2==i;h=1>954o0;53?6=,:k36<798:l0e2<5=21d=489:18'7d>=90<37c=n7;05?>i61??1<7*=h90?i6=4+3`:95<0?3g9j;797:8j6g02;h07b?64483>!5f03;2:55a3`596f=5$2c;>4?102d8m:4=d:9l5<5>290/?l65184;?k5f?38n76a>98094?"4i10:5464n2c4>5=5$2c;>4?>02d8m:4>;:m2=<6=83.8m54>98:8j6g02;10c<77f;29 6g?283246`i611o1<7*o8:798k4??i3:1(>o7:0;:<>h4i>0<76a>99;94?"4i10:5464n2c4>==5$2c;>4?>02d8m:46;:m2==1=83.8m54>98:8j6g02h10c<776;29 6g?283246`i611?1<7*o8:d98k4??:3:1(>o7:0;:<>h4i>0m76a>99394?"4i10:5464n2c4>46<3f;2;k4?:%1b111e?l951098k4?0m3:1(>o7:0;:<>h4i>0:>65`185g>5<#;h21=477;o1b3?7432e:5:m50;&0e=<61020b>o8:068?j7>?k0;6)=n8;3:===i;h=1=854o0;4e?6=,:k36<768:l0e2<6>21d=496:18'7d>=90337c=n7;34?>i61>21<7*=h90=?6=4+3`:9598:8j6g028i07b?67383>!5f03;2555a3`595a=5$2c;>4?>02d8m:4>e:9l5<17290/?l6518;;?k5f?3;m76a>97d94?"4i10:5464n2c4>76<3f;2:h4?:%1b111e?l952098k4?1l3:1(>o7:0;:<>h4i>09>65`184`>5<#;h21=477;o1b3?4432e:5;l50;&0e=<61020b>o8:368?j7>1>0;6)=n8;3:===i;h=1>854o0;:2?6=,:k36<768:l0e2<5>21d=47::18'7d>=90337c=n7;04?>i610>1<7*=h902;6=4+3`:9598:8j6g02;i07b?66`83>!5f03;2555a3`596a=5$2c;>4?>02d8m:4=e:9j55d>290/?l6511ab?k5f?3:07d??b983>!5f03;;ol5a3`595>=n99h<6=4+3`:955ef3g9j;7<4;h33f3<72-9j47??c`9m7d1=;21b==l::18'7d>=99ij7c=n7;68?l77j=0;6)=n8;33gd=i;h=1965f11`0>5<#;h21==mn;o1b3?0<3`;;n?4?:%1b!5f03;;ol5a3`59=>=n99hm6=4+3`:955ef3g9j;7o4;h33f`<72-9j47??c`9m7d1=j21b==lk:18'7d>=99ij7c=n7;a8?l77jj0;6)=n8;33gd=i;h=1h65f11`a>5<#;h21==mn;o1b3?c<3`;;nl4?:%1b0c294?"4i10:47<3`;i>l4?::m2207=83.8m54>64;8j6g02910c<8:0;29 6g?28<>56`i6>=l1<7*<30b>o8:598k403j3:1(>o7:046=>h4i>0>76a>65c94?"4i10::874n2c4>3=5$2c;>40212d8m:48;:m221>=83.8m54>64;8j6g02110c<8;7;29 6g?28<>56`i6>=<1<7*<30b>o8:b98k403;3:1(>o7:046=>h4i>0o76a>64:94?"4i10::874n2c4>`=;7>5$2c;>40212d8m:4i;:m2200=83.8m54>64;8j6g028:07b?95483>!5f03;=945a3`5954=87>5$2c;>40212d8m:4>2:9l5334290/?l65177:?k5f?3;876a>64094?"4i10::874n2c4>42<3f;=8i4?:%1bo7:046=>h4i>0::65`1762>5<#;h21=;;6;o1b3?7032e:4<=50;&0e=<60830b>o8:198k4>6:3:1(>o7:0:2=>h4i>0:76a>80394?"4i10:4<74n2c4>7=5$2c;>4>612d8m:4<;:m2<5`=83.8m54>80;8j6g02=10c<6?e;29 6g?282:56`i609n1<7*9:l0e2<032e:4=o50;&0e=<60830b>o8:998k4>713:1(>o7:0:2=>h4i>0276a>81:94?"4i10:4<74n2c4>d=5$2c;>4>612d8m:4m;:m2<50=83.8m54>80;8j6g02j10c<6?5;29 6g?282:56`i609>1<7*9:l0e2o8:028?j7?890;6)=n8;3;5<=i;h=1=<54o05eb?6=,:k36<6>9:l0e2<6:21d=:hj:18'7d>=91;27c=n7;30?>i6?on1<7*=h9>lj6=4+3`:95=7>3g9j;7?8;:m23c?=83.8m54>80;8j6g028207b?8f983>!5f03;3=45a3`595<=5$2c;>4>612d8m:4>a:9l52`2290/?l65193:?k5f?3;i76a>7g694?"4i10:4<74n2c4>4e<3f;4?:%1bo7:0:2=>h4i>0:i65`16d2>5<#;h21=5?6;o1b3?7a32e:;k>50;&0e=<60830b>o8:328?j70mo0;6)=n8;3;5<=i;h=1><54o05fa?6=,:k36<6>9:l0e2<5:21d=:kk:18'7d>=91;27c=n7;00?>i6?li1<7*7;29 6g?282:56`=h91;=6=4+3`:95=7>3g9j;7<8;:m2<43=83.8m54>80;8j6g02;207b?71583>!5f03;3=45a3`596<=5$2c;>4>612d8m:4=a:9l5=66290/?l65193:?k5f?38i76a>7g494?"4i10:4<74n2c4>7e<3f;o7:0:2=>h4i>09i65f11ce>5<5$2c;>41592d8m:4?;:m223?=83.8m54>7338j6g02810c<898;29 6g?28=9=6`i6>?=1<7*54o0451?6=,:k36<9=1:l0e2<332e::;:50;&0e=<6?;;0b>o8:498k401;3:1(>o7:0515>h4i>0=76a>67094?"4i10:;??4n2c4>2=5$2c;>41592d8m:47;:m2236=83.8m54>7338j6g02010c<8:f;29 6g?28=9=6`i6>o8:e98k40093:1(>o7:0515>h4i>0n76a>66294?"4i10:;??4n2c4>c=5$2c;>41592d8m:4>0:9l530b290/?l651602?k5f?3;:76a>67f94?"4i10:;??4n2c4>44<3f;=:n4?:%1bo7:0515>h4i>0:865`1745>5<#;h21=:<>;o1b3?7232e::8l50;&0e=<6?;;0b>o8:048?j71=h0;6)=n8;3464=i;h=1=:54o0506?6=,:k36<9?50;&0e=<6?:k0b>o8:098k41483:1(>o7:050e>h4i>0976a>73d94?"4i10:;>o4n2c4>6=5$2c;>414i2d8m:4;;:m237e=83.8m54>72c8j6g02<10c<9=b;29 6g?28=8m6`i6?;k1<7*o8:898k415?3:1(>o7:050e>h4i>0j76a>73494?"4i10:;>o4n2c4>g=5$2c;>414i2d8m:4l;:m2372=83.8m54>72c8j6g02m10c<9<9;29 6g?28=8m6`i6?:21<7*=9>9j7c=n7;32?>i6?:?1<7*=h9>8n6=4+3`:9525f3g9j;7?:;:m2375=83.8m54>72c8j6g028<07b?82383>!5f03;5$2c;>412;2d8m:4?;:m231g=83.8m54>7418j6g02810c<9;9;29 6g?28=>?6`i6?=21<7*54o0572?6=,:k36<9:3:l0e2<332e:;9;50;&0e=<6?<90b>o8:498k413<3:1(>o7:0567>h4i>0=76a>75194?"4i10:;8=4n2c4>2=7>5$2c;>412;2d8m:47;:m2317=83.8m54>7418j6g02010c<9;0;29 6g?28=>?6`i6?:l1<7*j50;&0e=<6?<90b>o8:e98k412:3:1(>o7:0567>h4i>0n76a>74394?"4i10:;8=4n2c4>c=<7>5$2c;>412;2d8m:4>0:9l522a290/?l651670?k5f?3;:76a>75g94?"4i10:;8=4n2c4>44<3f;<8i4?:%1bo7:0567>h4i>0:865`1664>5<#;h21=:;<;o1b3?7232e:;>m50;&0e=<6?<90b>o8:048?j70;k0;6)=n8;3416=i;h=1=:54o0550?6=,:k36<99c:l0e2<732e:;;=50;&0e=<6??i0b>o8:098k411:3:1(>o7:055g>h4i>0976a>77394?"4i10:;;m4n2c4>6=j7>5$2c;>411k2d8m:4;;:m230c=83.8m54>77a8j6g02<10c<9:d;29 6g?28==o6`i6?o8:898k41213:1(>o7:055g>h4i>0j76a>74:94?"4i10:;;m4n2c4>g=;7>5$2c;>411k2d8m:4l;:m2300=83.8m54>77a8j6g02m10c<99b;29 6g?28==o6`i6??k1<7*=9>i6??=1<7*=h9><;6=4+3`:9520d3g9j;7?:;:m2303=83.8m54>77a8j6g028<07b?85583>!5f03;<:n5a3`5952=5$2c;>41?=2d8m:4?;:m232e=83.8m54>7978j6g02810c<98b;29 6g?28=396`i6?>k1<7*54o054o8:498k410>3:1(>o7:05;1>h4i>0=76a>76794?"4i10:;5;4n2c4>2=5$2c;>41?=2d8m:47;:m2325=83.8m54>7978j6g02010c<982;29 6g?28=396`i6?>;1<7*o8:e98k41?<3:1(>o7:05;1>h4i>0n76a>79194?"4i10:;5;4n2c4>c=7>5$2c;>41?=2d8m:4>0:9l52>6290/?l6516:6?k5f?3;:76a>79294?"4i10:;5;4n2c4>44<3f;<;k4?:%1bo7:05;1>h4i>0:865`165:>5<#;h21=:6:;o1b3?7232e:;;k50;&0e=<6?1?0b>o8:048?j70>m0;6)=n8;34<0=i;h=1=:54o05:2?6=,:k36<96e:l0e2<732e:;4;50;&0e=<6?0o0b>o8:098k41><3:1(>o7:05:a>h4i>0976a>78194?"4i10:;4k4n2c4>6=5$2c;>41>m2d8m:4;;:m23<6=83.8m54>78g8j6g02<10c<97f;29 6g?28=2i6`i6?1o1<7*o8:898k41?j3:1(>o7:05:a>h4i>0j76a>79c94?"4i10:;4k4n2c4>g=5$2c;>41>m2d8m:4l;:m23=>=83.8m54>78g8j6g02m10c<96d;29 6g?28=2i6`i6?0i1<7*=9>3n7c=n7;32?>i6?031<7*=h9>396=4+3`:952?b3g9j;7?:;:m23=1=83.8m54>78g8j6g028<07b?88783>!5f03;<5h5a3`5952=5$2c;>4?>12d8m:4?;:m2<14=83.8m54>98;8j6g02810c<6;1;29 6g?283256`i60=:1<7*54o0:0b?6=,:k36<769:l0e2<332e:4>k50;&0e=<61030b>o8:498k4>4l3:1(>o7:0;:=>h4i>0=76a>82`94?"4i10:5474n2c4>2=5$2c;>4?>12d8m:47;:m2<6?=83.8m54>98;8j6g02010c<6<8;29 6g?283256`i60:=1<7*;50;&0e=<61030b>o8:e98k4>4<3:1(>o7:0;:=>h4i>0n76a>82194?"4i10:5474n2c4>c=7>5$2c;>4?>12d8m:4>0:9l5=57290/?l6518;:?k5f?3;:76a>83d94?"4i10:5474n2c4>44<3f;3>h4?:%1b101e?l951298k4>5l3:1(>o7:0;:=>h4i>0:865`190`>5<#;h21=476;o1b3?7232e:4?l50;&0e=<61030b>o8:048?j7?:h0;6)=n8;3:=<=i;h=1=:54o0:1=?6=,:k36<769:l0e2<6021d=5<7:18'7d>=90327c=n7;3:?>i60;=1<7*=h91886=4+3`:953g9j;7?k;:m2<74=83.8m54>98;8j6g028o07b?72083>!5f03;2545a3`595c=5$2c;>4?>12d8m:4=0:9l5=7a290/?l6518;:?k5f?38:76a>80g94?"4i10:5474n2c4>74<3f;3=i4?:%1b101e?l952298k4>6k3:1(>o7:0;:=>h4i>09865`196;>5<#;h21=476;o1b3?4232e:49950;&0e=<61030b>o8:348?j7?:54o0:71?6=,:k36<769:l0e2<5021d=5:;:18'7d>=90327c=n7;0:?>i60:i1<7*=h91;i6=4+3`:953g9j;798;8j6g02;o07b?8ag83>!5f03;=h9>kn6=4+3`:952d03g9j;7?4;n34ea<72-9j47?8b69m7d1=:21d=:ol:18'7d>=9>h<7c=n7;18?j70ih0;6)=n8;34f2=i;h=1865`16c:>5<#;h21=:l8;o1b3?3<3f;1e?l956:9l52g0290/?l6516`4?k5f?3=07b?8a783>!5f03;=h9>k>6=4+3`:952d03g9j;774;n34e1<72-9j47?8b69m7d1=i21d=:o<:18'7d>=9>h<7c=n7;`8?j70i;0;6)=n8;34f2=i;h=1o65`16c2>5<#;h21=:l8;o1b3?b<3f;1e?l95e:9l52d2290/?l6516`4?k5f?3l07b?8b583>!5f03;5$2c;>41e?2d8m:4>1:9l52d5290/?l6516`4?k5f?3;976a>7c394?"4i10:;o94n2c4>45<3f;1e?l951598k41fj3:1(>o7:05a3>h4i>0:965`16c3>5<#;h21=:l8;o1b3?7132e:;4h50;&0e=<6?k=0b>o8:058?j7>k:0;6)=n8;3:g<=i;h=1<65`18a1>5<#;h21=4m6;o1b3?7<3f;2o<4?:%1bk01e?l952:9l5!5f03;2o45a3`590>=h90hn6=4+3`:953g9j;7;4;n3:fa<72-9j47?6c89m7d1=>21d=4lm:18'7d>=90i27c=n7;58?j7>jh0;6)=n8;3:g<=i;h=1465`18`:>5<#;h21=4m6;o1b3??<3f;2n54?:%1bk01e?l95a:9l5!5f03;2o45a3`59g>=h90h>6=4+3`:953g9j;7j4;n3:f1<72-9j47?6c89m7d1=m21d=4l<:18'7d>=90i27c=n7;d8?j7>j;0;6)=n8;3:g<=i;h=1==54o0;a4?6=,:k36<7l9:l0e2<6921d=4oi:18'7d>=90i27c=n7;31?>i61ho1<7*=h90ki6=4+3`:953g9j;7?9;:m2=dg=83.8m54>9b;8j6g028=07b?6a883>!5f03;2o45a3`595==5$2c;>4?d12d8m:4>9:9l59`794?"4i10:5n74n2c4>4d<3f;2m94?:%1bk01e?l951b98k4?f;3:1(>o7:0;`=>h4i>0:h65`18c1>5<#;h21=4m6;o1b3?7b32e:5l?50;&0e=<61j30b>o8:0d8?j7>i90;6)=n8;3:g<=i;h=1>=54o0;:b?6=,:k36<7l9:l0e2<5921d=47j:18'7d>=90i27c=n7;01?>i610n1<7*=h90i<6=4+3`:953g9j;7<9;:m2=f0=83.8m54>9b;8j6g02;=07b?6c483>!5f03;2o45a3`596==5$2c;>4?d12d8m:4=9:9l59c394?"4i10:5n74n2c4>7d<3f;2m;4?:%1bk01e?l952b98k4?>j3:1(>o7:0;`=>h4i>09h65`18;b>5<#;h21=4m6;o1b3?4b32e:;n650;&0e=<6?m:0b>o8:198k41d?3:1(>o7:05g4>h4i>0:76a>7b494?"4i10:;i>4n2c4>7=5$2c;>41c82d8m:4<;:m23f5=83.8m54>7e28j6g02=10c<9l2;29 6g?28=o<6`i6?j;1<7*o8:998k41em3:1(>o7:05g4>h4i>0276a>7cf94?"4i10:;i>4n2c4>d=5$2c;>41c82d8m:4m;:m23gd=83.8m54>7e28j6g02j10c<9ma;29 6g?28=o<6`i6?jl1<7*o8:028?j70kj0;6)=n8;34`5=i;h=1=<54o05`f?6=,:k36<9k0:l0e2<6:21d=:mn:18'7d>=9>n;7c=n7;30?>i6?j31<7*=h9>h36=4+3`:952b73g9j;7?8;:m2=c5=83.8m54>9g;8j6g02910c<7i2;29 6g?283m56`i61o;1<7*o8:598k4?bm3:1(>o7:0;e=>h4i>0>76a>9df94?"4i10:5k74n2c4>3=5$2c;>4?a12d8m:48;:m2=`g=83.8m54>9g;8j6g02110c<7j9;29 6g?283m56`i61l21<7*o8:b98k4?b=3:1(>o7:0;e=>h4i>0o76a>9d694?"4i10:5k74n2c4>`=5$2c;>4?a12d8m:4i;:m2=`4=83.8m54>9g;8j6g028:07b?6e183>!5f03;2j45a3`5954=5$2c;>4?a12d8m:4>2:9l59ef94?"4i10:5k74n2c4>42<3f;2hn4?:%1bn01e?l951498k4?cj3:1(>o7:0;e=>h4i>0::65`18fb>5<#;h21=4h6;o1b3?7032e:5i750;&0e=<61o30b>o8:0:8?j7>l10;6)=n8;3:b<=i;h=1=454o0;g3?6=,:k36<7i9:l0e2<6i21d=4j::18'7d>=90l27c=n7;3a?>i61m>1<7*=h90n:6=4+3`:95<`>3g9j;7?i;:m2=a6=83.8m54>9g;8j6g02;:07b?6cg83>!5f03;2j45a3`5964=5$2c;>4?a12d8m:4=2:9l59ba94?"4i10:5k74n2c4>72<3f;2j54?:%1bn01e?l952498k4?a?3:1(>o7:0;e=>h4i>09:65`18d5>5<#;h21=4h6;o1b3?4032e:5k;50;&0e=<61o30b>o8:3:8?j7>n=0;6)=n8;3:b<=i;h=1>454o0;fg?6=,:k36<7i9:l0e2<5i21d=4k>:18'7d>=90l27c=n7;0a?>i61m<1<7*=h9>o:6=4+3`:952c>3g9j;7>4;n34a5<72-9j47?8e89m7d1=921d=:ji:18'7d>=9>o27c=n7;08?j70ll0;6)=n8;34a<=i;h=1?65`16f`>5<#;h21=:k6;o1b3?2<3f;!5f03;=h9>n36=4+3`:952c>3g9j;764;n34`2<72-9j47?8e89m7d1=121d=:j9:18'7d>=9>o27c=n7;c8?j70l<0;6)=n8;34a<=i;h=1n65`16f7>5<#;h21=:k6;o1b3?e<3f;4?:%1b!5f03;=h9>o=6=4+3`:952c>3g9j;7??;:m23`3=83.8m54>7d;8j6g028;07b?8e583>!5f03;5$2c;>41b12d8m:4>3:9l52c5290/?l6516g:?k5f?3;?76a>7ef94?"4i10:;h74n2c4>43<3f;o7:05f=>h4i>0:;65`1`00>5<#;h21=l<6;o1b3?6<3f;j>?4?:%1b!5f03;j>45a3`597>=h9h;m6=4+3`:95d4>3g9j;7:4;n3b5`<72-9j47?n289m7d1==21d=l?k:18'7d>=9h827c=n7;48?j7f9k0;6)=n8;3b6<=i;h=1;65`1`3b>5<#;h21=l<6;o1b3?><3f;j=44?:%1b!5f03;j>45a3`59f>=h9h;=6=4+3`:95d4>3g9j;7m4;n3b50<72-9j47?n289m7d1=l21d=l?;:18'7d>=9h827c=n7;g8?j7f9:0;6)=n8;3b6<=i;h=1j65`1`31>5<#;h21=l<6;o1b3?7732e:m<>50;&0e=<6i;30b>o8:038?j7f8o0;6)=n8;3b6<=i;h=1=?54o0c3a?6=,:k36k:18'7d>=9h827c=n7;37?>i6i9i1<7*=h9h:26=4+3`:95d4>3g9j;7?7;:m2e5>=83.8m54>a3;8j6g028307b?n0683>!5f03;j>45a3`595d=5$2c;>4g512d8m:4>b:9l5d63290/?l651`0:?k5f?3;h76a>a1194?"4i10:m?74n2c4>4b<3f;jo7:0c1=>h4i>0:j65`1`23>5<#;h21=l<6;o1b3?4732e:5kh50;&0e=<6i;30b>o8:338?j7>nl0;6)=n8;3b6<=i;h=1>?54o0;e`?6=,:k36=9h827c=n7;07?>i6i;21<7*=h9h8>6=4+3`:95d4>3g9j;7<7;:m2e72=83.8m54>a3;8j6g02;307b?n1b83>!5f03;j>45a3`596d=5$2c;>4g512d8m:4=b:9l5d61290/?l651`0:?k5f?38h76a>9g`94?"4i10:m?74n2c4>7b<3f;2jl4?:%1bo7:0c6=>h4i>0;76a>a4094?"4i10:m874n2c4>4==7>5$2c;>4g212d8m:4=;:m2e06=83.8m54>a4;8j6g02:10c56`i6i=o1<7*o8:698k4g3i3:1(>o7:0c6=>h4i>0376a>a5;94?"4i10:m874n2c4><=5$2c;>4g212d8m:4n;:m2e11=83.8m54>a4;8j6g02k10c56`i6i=?1<7*o8:g98k4g3:3:1(>o7:0c6=>h4i>0:<65`1`63>5<#;h21=l;6;o1b3?7632e:m>h50;&0e=<6i<30b>o8:008?j7f;l0;6)=n8;3b1<=i;h=1=>54o0c0`?6=,:k36=9h?27c=n7;36?>i6i:h1<7*10c56`=h9h936=4+3`:95d3>3g9j;7?6;:m2e61=83.8m54>a4;8j6g028k07b?n3483>!5f03;j945a3`595g=5$2c;>4g212d8m:4>c:9l5d54290/?l651`7:?k5f?3;o76a>a2094?"4i10:m874n2c4>4c<3f;j?<4?:%1bo7:0c6=>h4i>09<65`1`0e>5<#;h21=l;6;o1b3?4632e:m?k50;&0e=<6i<30b>o8:308?j7f:m0;6)=n8;3b1<=i;h=1>>54o0c1g?6=,:k36=9h?27c=n7;06?>i6i<=1<7*10c56`=h9h??6=4+3`:95d3>3g9j;7<6;:m2e1e=83.8m54>a4;8j6g02;k07b?n4083>!5f03;j945a3`596g=5$2c;>4g212d8m:4=c:9l5d4e290/?l651`7:?k5f?38o76a>a3c94?"4i10:m874n2c4>7c<3f;j4>4?:%1b5290/?l651`::?k5f?3;07b?n8083>!5f03;j445a3`596>=h9h2;6=4+3`:95d>>3g9j;7=4;n3b3c<72-9j47?n889m7d1=<21d=l9j:18'7d>=9h227c=n7;78?j7f?m0;6)=n8;3b<<=i;h=1:65`1`5a>5<#;h21=l66;o1b3?1<3f;j;l4?:%1b290/?l651`::?k5f?3307b?n7983>!5f03;j445a3`59e>=h9h=<6=4+3`:95d>>3g9j;7l4;n3b33<72-9j47?n889m7d1=k21d=l9::18'7d>=9h227c=n7;f8?j7f?=0;6)=n8;3b<<=i;h=1i65`1`50>5<#;h21=l66;o1b3?`<3f;j;?4?:%1bo7:0c;=>h4i>0:=65`1`4e>5<#;h21=l66;o1b3?7532e:m;k50;&0e=<6i130b>o8:018?j7f>m0;6)=n8;3b<<=i;h=1=954o0c5g?6=,:k36=9h227c=n7;35?>i6i?k1<7*=h9h<<6=4+3`:95d>>3g9j;7?n;:m2e33=83.8m54>a9;8j6g028h07b?n6583>!5f03;j445a3`595f=5$2c;>4g?12d8m:4>d:9l5d05290/?l651`::?k5f?3;n76a>a7394?"4i10:m574n2c4>4`<3f;j:=4?:%1bo7:0c;=>h4i>09=65`1`7f>5<#;h21=l66;o1b3?4532e:m8j50;&0e=<6i130b>o8:318?j7f=j0;6)=n8;3b<<=i;h=1>954o0c;=9h227c=n7;05?>i6i1<1<7*=h9h=h6=4+3`:95d>>3g9j;7a9;8j6g02;h07b?n6783>!5f03;j445a3`596f=n7>5$2c;>4g?12d8m:4=d:9l5d3f290/?l651`::?k5f?38n76a>ac194?"4i10:mo74n2c4>5=7>5$2c;>4ge12d8m:4>;:m2eg7=83.8m54>ac;8j6g02;10ci6ihl1<7*o8:798k4gfj3:1(>o7:0ca=>h4i>0<76a>a`c94?"4i10:mo74n2c4>==5$2c;>4ge12d8m:46;:m2ed>=83.8m54>ac;8j6g02h10ci6ih<1<7*o8:d98k4gf;3:1(>o7:0ca=>h4i>0m76a>a`094?"4i10:mo74n2c4>46<3f;jm=4?:%1bn3:1(>o7:0ca=>h4i>0:>65`1`;f>5<#;h21=ll6;o1b3?7432e:m4j50;&0e=<6ik30b>o8:068?j7f1j0;6)=n8;3bf<=i;h=1=854o0c:f?6=,:k3621d=l7n:18'7d>=9hh27c=n7;34?>i6i031<7*=h9h3>6=4+3`:95dd>3g9j;7?m;:m2e<2=83.8m54>ac;8j6g028i07b?n9283>!5f03;jn45a3`595a=7>5$2c;>4ge12d8m:4>e:9l5d?6290/?l651``:?k5f?3;m76a>a8294?"4i10:mo74n2c4>76<3f;j4k4?:%1bo7:0ca=>h4i>09>65`1`:g>5<#;h21=ll6;o1b3?4432e:m5m50;&0e=<6ik30b>o8:368?j7fj10;6)=n8;3bf<=i;h=1>854o0ca3?6=,:k3621d=ll9:18'7d>=9hh27c=n7;04?>i6ik?1<7*=h9hk:6=4+3`:95dd>3g9j;7ac;8j6g02;i07b?n8c83>!5f03;jn45a3`596a=5$2c;>4ge12d8m:4=e:9l5dc4290/?l651`g:?k5f?3:07b?ne383>!5f03;ji45a3`595>=h9ho:6=4+3`:95dc>3g9j;7<4;n3ba5<72-9j47?ne89m7d1=;21d=lji:18'7d>=9ho27c=n7;68?j7fll0;6)=n8;3ba<=i;h=1965`1`fg>5<#;h21=lk6;o1b3?0<3f;jho4?:%1b!5f03;ji45a3`59=>=h9hn36=4+3`:95dc>3g9j;7o4;n3b`2<72-9j47?ne89m7d1=j21d=lj9:18'7d>=9ho27c=n7;a8?j7fl<0;6)=n8;3ba<=i;h=1h65`1`f7>5<#;h21=lk6;o1b3?c<3f;jh>4?:%1bae294?"4i10:mh74n2c4>47<3f;jok4?:%1bo7:0cf=>h4i>0:?65`1`ag>5<#;h21=lk6;o1b3?7332e:mnm50;&0e=<6il30b>o8:078?j7fkk0;6)=n8;3ba<=i;h=1=;54o0c`e?6=,:k36=9ho27c=n7;3;?>i6ij21<7*=h9hi?6=4+3`:95dc>3g9j;7?l;:m2ef5=83.8m54>ad;8j6g028n07b?nc383>!5f03;ji45a3`595`=5$2c;>4gb12d8m:4>f:9l5de7290/?l651`g:?k5f?38;76a>acd94?"4i10:mh74n2c4>77<3f;jnh4?:%1bo7:0cf=>h4i>09?65`1```>5<#;h21=lk6;o1b3?4332e:mh650;&0e=<6il30b>o8:378?j7fm>0;6)=n8;3ba<=i;h=1>;54o0cf2?6=,:k36=9ho27c=n7;0;?>i6il>1<7*=h9hi=6=4+3`:95dc>3g9j;7ad;8j6g02;n07b?nb`83>!5f03;ji45a3`596`=5$2c;>4d612d8m:4?;:m2f44=83.8m54>b0;8j6g02810c1;29 6g?28h:56`i6j8:1<7*54o0`3b?6=,:k369:l0e2<332e:n=k50;&0e=<6j830b>o8:498k4d7l3:1(>o7:0`2=>h4i>0=76a>b1`94?"4i10:n<74n2c4>2=5$2c;>4d612d8m:47;:m2f5?=83.8m54>b0;8j6g02010ci6j9=1<7*9:l0e2o8:e98k4d7<3:1(>o7:0`2=>h4i>0n76a>b1194?"4i10:n<74n2c4>c=7>5$2c;>4d612d8m:4>0:9l5g67290/?l651c3:?k5f?3;:76a>agd94?"4i10:n<74n2c4>44<3f;jjh4?:%1bo7:0`2=>h4i>0:865`1`d`>5<#;h21=o?6;o1b3?7232e:mkl50;&0e=<6j830b>o8:048?j7fnh0;6)=n8;3a5<=i;h=1=:54o0ce=?6=,:k369:l0e2<6021d=lh7:18'7d>=9k;27c=n7;3:?>i6io=1<7*=h9hl86=4+3`:95g7>3g9j;7?k;:m2ec4=83.8m54>b0;8j6g028o07b?nf083>!5f03;i=45a3`595c=5$2c;>4d612d8m:4=0:9l5dca290/?l651c3:?k5f?38:76a>adg94?"4i10:n<74n2c4>74<3f;jii4?:%1bo7:0`2=>h4i>09865`1c3;>5<#;h21=o?6;o1b3?4232e:n<950;&0e=<6j830b>o8:348?j7e9?0;6)=n8;3a5<=i;h=1>:54o0`21?6=,:k369:l0e2<5021d=o?;:18'7d>=9k;27c=n7;0:?>i6j9i1<7*=h9hoi6=4+3`:95g7>3g9j;7b0;8j6g02;o07b?=db83>!5f03;9i?5a3`594>=h9;ni6=4+3`:957c53g9j;7?4;n31`d<72-9j47?=e39m7d1=:21d=?j6:18'7d>=9;o97c=n7;18?j75l10;6)=n8;31a7=i;h=1865`13f4>5<#;h21=?k=;o1b3?3<3f;9h;4?:%1b!5f03;9i?5a3`59<>=h9;n96=4+3`:957c53g9j;774;n31`4<72-9j47?=e39m7d1=i21d=?j?:18'7d>=9;o97c=n7;`8?j75ko0;6)=n8;31a7=i;h=1o65`13af>5<#;h21=?k=;o1b3?b<3f;9oi4?:%1b!5f03;9i?5a3`5955=5$2c;>44b:2d8m:4>1:9l57e?290/?l6513g1?k5f?3;976a>2b594?"4i10:>h<4n2c4>45<3f;9o;4?:%1bo7:00f6>h4i>0:965`13a7>5<#;h21=?k=;o1b3?7132e:>n=50;&0e=<6:l80b>o8:058?j75k;0;6)=n8;31a7=i;h=1=554o00`5?6=,:k36<=9;o97c=n7;3b?>i6:ko1<7*6`=h9;hi6=4+3`:957c53g9j;7?j;:m26gg=83.8m54>2d08j6g028l07b?=b883>!5f03;9i?5a3`5965=5$2c;>44b:2d8m:4=1:9l57d0290/?l6513g1?k5f?38976a>2c494?"4i10:>h<4n2c4>75<3f;9n84?:%1bo7:00f6>h4i>09965`13g3>5<#;h21=?k=;o1b3?4132e:>ih50;&0e=<6:l80b>o8:358?j75ll0;6)=n8;31a7=i;h=1>554o00g`?6=,:k36<=9;o97c=n7;0b?>i6:jk1<7*6`=h9;h86=4+3`:957c53g9j;70g08j6g02910e<>j9;29 6g?28:m>6`o68l21<7*i2:l0e2<432c:o8:598m46b<3:1(>o7:02e6>h4i>0>76g>0d194?"4i10:3=7>5$2c;>46a:2d8m:48;:k24`7=83.8m54>0g08j6g02110e<>j0;29 6g?28:m>6`o68ml1<7*i2:l0e2o8:b98m46ck3:1(>o7:02e6>h4i>0o76g>0g394?"4i10:`=5$2c;>46a:2d8m:4i;:k24``=83.8m54>0g08j6g028:07d??ed83>!5f03;;j?5a3`5954=5$2c;>46a:2d8m:4>2:9j55cd290/?l6511d1?k5f?3;876g>0d`94?"4i10:42<3`;;i;4?:%1bo7:02e6>h4i>0::65f11fb>5<#;h21==h=;o1b3?7032e:o8:198k46fl3:1(>o7:02ba>h4i>0;76g>b3194?"4i10:n?:4n2c4>5=5;c1f=?6=93:1m<;n02=?6=3th8il4?:083>5}#;j;1?n:4H2aa?M5d;2e8=?4?::a7`d=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<73gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f6cd290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:19m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl0<729q/?n?52418L6ee3A9h?6*<1182f7e5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vn>h?:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm3g394?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`0b7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f6`3290>6=4?{%1`5?42;2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66a=2583>>{e;o?1<7=50;2x 6e62:ij7E=lb:J0g6=#;8:1=o5;n137?6=3th8j;4?:283>5}#;j;1><4=;hg;>5<5<53;294~"4k809=<5G3b`8L6e43-89=7<4id:94?=n9:;1<75`3``94?=zj:l36=4<:183!5d938:=6F>o6;80;66a>{e;o31<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th8jl4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo=ib;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb2d`>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a7cb=83>1<7>t$2a2>7353A9hn6F6<729q/?n?53bc8L6ee3A9h?6*<1182f7e5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f167290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6<5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd38;0;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397?4n9595>hfj3;0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g82?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e<991<7?:a;294~"4k80924=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484>;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=:?6=4<:183!5d939hm6F>4?::m046<722wi8=;50;694?6|,:i:6?;=;I1`f>N4k:1/?<>51c0`?l75j3:17dmm;I1`7>"4990:n?m4i00a>5<5<5<;;7>55;294~"4k809=;5G3b`8L6e43-9:<7?m2b9'677=i2cn47>5;h314?6=3`;8=7>5;h1a5;|`74=<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8=750;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f16f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8=l50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f16d290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qo:?d;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd38l0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm41d94?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=;;6=4::183!5d938:96F5<5<m<;hg;>5<5<5<:>7>55;294~"4k809=85G3b`8L6e43`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=;>6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e<8<1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd39>0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg2603:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f17>290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a04g=833j6;<59cyK7f5<,:i:6a:Xf3?3|<10=h7:6:7d9351<7*h4i>0;76gn2;29 6g?2h90b>o8:098md6=83.8m54n3:l0e2<532c:5:4?:%1b>2d8m:4?;:k2=0<72-9j47?66:l0e2<632c:594?:%1b>2d8m:4=;:k2=6<72-9j47?66:l0e2<432c:5?4?:%1b>2d8m:4;;:k2=4<72-9j47?66:l0e2<232c:5=4?:%1b>2d8m:49;:k2>2d8m:47;:k232c:4o4?:%1b>2d8m:4n;:k2>2d8m:4l;:k2<=<72-9j47?66:l0e2>2d8m:4j;:k2<3<72-9j47?66:l0e2>2d8m:4>0:9j5=2=83.8m54>979m7d1=9810e<6=:18'7d>=90<0b>o8:008?l7?93:1(>o7:0;5?k5f?3;876g>8183>!5f03;2:6`=n9>l1<7*5<#;h21=484n2c4>40<3`;5$2c;>4?13g9j;7?8;:k23f<72-9j47?66:l0e2<6021b=:l50;&0e=<61?1e?l951898m41f290/?l651848j6g028k07d?89;29 6g?283=7c=n7;3a?>o6?>0;6)=n8;3:2>h4i>0:o65f16494?"4i10:5;5a3`595a=6=4+3`:95<0>2d8m:4=0:9j527=83.8m54>979m7d1=:810e<9?:18'7d>=90<0b>o8:308?l71n3:1(>o7:0;5?k5f?38876g>6d83>!5f03;2:6`=n9?n1<7*854i0;g>5<#;h21=484n2c4>70<3`;2o7>5$2c;>4?13g9j;7<8;:k2=g<72-9j47?66:l0e2<5021b=4o50;&0e=<61?1e?l952898m4?>290/?l651848j6g02;k07d?68;29 6g?283=7c=n7;0a?>o60l0;6)=n8;3:2>h4i>09o65f19194?"4i10:5;5a3`596a=5$2c;>d?of>3:1(>o7:`;8j6g02;10e>m7:188m4472900e>l6:188m44d2900c=9j20b>o8:198k4e0290/?l651b:8j6g02810c=9j20b>o8:398k4e2290/?l651b:8j6g02:10c=9j20b>o8:598k4e4290/?l651b:8j6g02<10c=9j20b>o8:798k4e6290/?l651b:8j6g02>10c=9j20b>o8:998k4db290/?l651b:8j6g02010c=9j20b>o8:`98k4dd290/?l651b:8j6g02k10c=9j20b>o8:b98k4df290/?l651b:8j6g02m10c=9j20b>o8:d98k4d?290/?l651b:8j6g02o10c=9j20b>o8:028?j7e>3:1(>o7:0a;?k5f?3;:76a>b583>!5f03;h46`=h9k91<7*54o0`1>5<#;h21=n64n2c4>42<3f;i=7>5$2c;>4e?3g9j;7?:;:m2f5<72-9j47?l8:l0e2<6>21d=lh50;&0e=<6k11e?l951698k4gb290/?l651b:8j6g028207b?nd;29 6g?28i37c=n7;3:?>i6ij0;6)=n8;3`<>h4i>0:m65`1``94?"4i10:o55a3`595g=e:9l5d3=83.8m54>c99m7d1=9o10c=9j20b>o8:328?j7f;3:1(>o7:0a;?k5f?38:76a>a383>!5f03;h46`=h9h;1<7*>54o0c3>5<#;h21=n64n2c4>72<3f;2j7>5$2c;>4e?3g9j;7<:;:m2gc<72-9j47?l8:l0e2<5>21d=nk50;&0e=<6k11e?l952698k4ec290/?l651b:8j6g02;207b?lc;29 6g?28i37c=n7;0:?>i6kk0;6)=n8;3`<>h4i>09m65`1bc94?"4i10:o55a3`596g=c99m7d1=:o10c=9ok0b>o8:198k4`>290/?l651gc8j6g02810c=9ok0b>o8:398k4`0290/?l651gc8j6g02:10c=9ok0b>o8:598k4`2290/?l651gc8j6g02<10c=9ok0b>o8:798k4`4290/?l651gc8j6g02>10c:18'7d>=9ok0b>o8:998k4`7290/?l651gc8j6g02010c=9ok0b>o8:`98k4cb290/?l651gc8j6g02k10c=9ok0b>o8:b98k4cd290/?l651gc8j6g02m10c=9ok0b>o8:d98k4cf290/?l651gc8j6g02o10c=9ok0b>o8:028?j7b03:1(>o7:0db?k5f?3;:76a>e783>!5f03;mm6`=h9l?1<7*54o0g7>5<#;h21=ko4n2c4>42<3f;n?7>5$2c;>4`f3g9j;7?:;:m2a7<72-9j47?ia:l0e2<6>21d=h?50;&0e=<6nh1e?l951698k4c7290/?l651gc8j6g028207b?kf;29 6g?28lj7c=n7;3:?>i6ll0;6)=n8;3ee>h4i>0:m65`1ef94?"4i10:jl5a3`595g=e:9l5a1=83.8m54>f`9m7d1=9o10c=9ok0b>o8:328?j7c=3:1(>o7:0db?k5f?38:76a>d583>!5f03;mm6`=h9m91<7*>54o0f1>5<#;h21=ko4n2c4>72<3f;o=7>5$2c;>4`f3g9j;7<:;:m144<72-9j47?ia:l0e2<5>21d>=>50;&0e=<6nh1e?l952698k4`a290/?l651gc8j6g02;207b?ie;29 6g?28lj7c=n7;0:?>i6nm0;6)=n8;3ee>h4i>09m65`1ga94?"4i10:jl5a3`596g=f`9m7d1=:o10n9?m:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188yg26k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a04c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?=k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm43094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3::0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:=4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f142290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8?850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`762<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<947>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb50:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<;k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;2c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg25k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn95<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a07c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?>k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm42094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3;:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:<4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f152290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8>850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`772<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<847>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb51:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<:k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;3c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg24k3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a06c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th??k4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4:6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm45094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3<:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:;4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f122290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi89850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`702<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<?47>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb56:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e<=k1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl;4c83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg23k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:;e;29`?6=8r.8o<45<6=44i325>5<5<5<5<?j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2283:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::2;29`?6=8r.8o<45<6=44i325>5<5<5<5<>?7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg22<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::6;29`?6=8r.8o<45<6=44i325>5<5<5<5<>;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2203:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::a;29`?6=8r.8o<45<6=44i325>5<5<5<5<>n7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg22k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo::e;29`?6=8r.8o<45<6=44i325>5<5<5<5<>j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2183:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:92;29`?6=8r.8o<45<6=44i325>5<5<5<5<=?7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg21<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:96;29`?6=8r.8o<45<6=44i325>5<5<5<5<=;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2103:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:9a;29`?6=8r.8o<45<6=44i325>5<5<5<5<=n7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg21k3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:9e;29`?6=8r.8o<45<6=44i325>5<5<5<5<=j7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2083:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:82;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg20<3:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:86;29`?6=8r.8o<45<6=44i325>5<5<5<5<<;7>5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg2003:1h7>50z&0g4<4il1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4562900e?>::188m7612900e?>8:188m76?2900e>m9:188m6e02900c>lj:188k7632900qo:8a;29`?6=8r.8o<45<6=44i325>5<5<5<5<5d;294~"4k808mh5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<=>:188m7622900e?>9:188m7602900e?>7:188m6e12900e>m8:188k6db2900c?>;:188yg20k3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu51ko1<7=>{_c7?823k32370:;d;:;?823m32370:;f;:;?822832370::1;:;?822:32370::3;:;?822<32370::5;:;?822>32370::7;:;?822032370::9;:;?822i32370::b;:;?822k32370::d;:;?822m32370::f;:;?821832370:91;:;?821:32370:93;:;?821<32370:95;:;?821>32370:97;:;?821032370:99;:;?821i32370:9b;:;?821k32370:9d;:;?821m32370:9f;:;?820832370:81;:;?820:32370:83;:;?820<32370:85;:;?820>32370:87;:;?820032370:89;:;?820i32370:8b;:;?xu51kl1<7=>{_c1?823k32=70:;d;:5?823m32=70:;f;:5?822832=70::1;:5?822:32=70::3;:5?822<32=70::5;:5?822>32=70::7;:5?822032=70::9;:5?822i32=70::b;:5?822k32=70::d;:5?822m32=70::f;:5?821832=70:91;:5?821:32=70:93;:5?821<32=70:95;:5?821>32=70:97;:5?821032=70:99;:5?821i32=70:9b;:5?821k32=70:9d;:5?821m32=70:9f;:5?820832=70:81;:5?820:32=70:83;:5?820<32=70:85;:5?820>32=70:87;:5?820032=70:89;:5?820i32=70:8b;:5?xu51j:1<7=>{_c3?823k32?70:;d;:7?823m32?70:;f;:7?822832?70::1;:7?822:32?70::3;:7?822<32?70::5;:7?822>32?70::7;:7?822032?70::9;:7?822i32?70::b;:7?822k32?70::d;:7?822m32?70::f;:7?821832?70:91;:7?821:32?70:93;:7?821<32?70:95;:7?821>32?70:97;:7?821032?70:99;:7?821i32?70:9b;:7?821k32?70:9d;:7?821m32?70:9f;:7?820832?70:81;:7?820:32?70:83;:7?820<32?70:85;:7?820>32?70:87;:7?820032?70:89;:7?820i32?70:8b;:7?xu51j;1<75<5sW;2963;7c8274=z{;3h?7>52z\2=1=:<>k1=>?4}r0:g1<72;qU=4=4=555>4563ty95n;50;0xZ4?534><47?<1:p6k10;6?uQ19d89112289:7p}=9b;94?4|V82o70:84;305>{t:0ij6=4={_3;g>;3?90:?<5rs3;`f?6=:rT:4o524609567;|q1=fb=838pR<66;<65`?7492wx>4mj:181[7?027?:k4>309~w7?dn3:1>vP>869>03c=9:;0q~<6d183>7}Y91<0198n:012?xu51m;1<75<5sW;3863;6c8274=z{;3o?7>52z\2<7=:?4}r0:`1<72;qU=5?4=54:>4563ty95i;50;0xZ4>734>=47?<1:p6l10;6?uQ16f89102289:7p}=9e;94?4|V8=h70:91;305>{t:0nj6=4={_34f>;3>:0:?<5rs3;gf?6=:rT:;l524709567;|q1=ab=838pR<98;<654?7492wx>4jj:181[70>27?9k4>309~w7?cn3:1>vP>749>00d=9:;0q~<6e183>7}Y9>9019;k:012?xu51l;1<75<5sW;<=63;598274=z{;3n?7>52z\235=:<?4}r0:a1<72;qU=;h4=57:>4563ty95h;50;0xZ40b34>>97?<1:p6m10;6?uQ18a89135289:7p}=9d;94?4|V83i70::4;305>{t:0oj6=4={_3:e>;3=:0:?<5rs3;ff?6=:rT:545245d9567<5=?:6<=>;|q1=`b=838pR<6j;<664?7492wx>4kj:181[7?;27?8n4>309~w7?bn3:1>vP>799>01c=9:;0q~<6f183>7}Y9?i019:k:012?xu51o;1<7=>{_cb?823k38;;63;4e8142=:<=o1>=94=56e>76034>><71688<5215891342;:<70::4;033>;3=<09<:524449651<5=?<6?>8;<6600g=:9=019;m:324?822k38;;63;5e8142=:<=94=57e>76034>=<7168;<5215891042;:<70:94;033>;3><09<:524749651<5=<<6?>8;<6503g=:9=0198m:324?821k38;;63;6e8142=:=94=54e>76034><<7168:<5215891142;:<70:84;033>;3?<09<:524649651<5==<6?>8;<6402g=:9=0199m:324?xu51o81<7=>{_c;?823k38;:63;4e8143=:<=o1>=84=56e>76134>><7;3=<09<;524449650<5=?<6?>9;<6627?944=079>00g=:9<019;m:325?822k38;:63;5e8143=:<=84=57e>76134>=<7;3><09<;524749650<5=<<6?>9;<6527?:44=079>03g=:9<0198m:325?821k38;:63;6e8143=:=84=54e>76134><<7;3?<09<;524649650<5==<6?>9;<6427?;44=079>02g=:9<0199m:325?xu51o91<7=>{_c5?823k38;963;4e8140=:<=o1>=;4=56e>76234>><770::4;031>;3=<09<8524449653<5=?<6?>:;<6600g=:9?019;m:326?822k38;963;5e8140=:<=;4=57e>76234>=<770:94;031>;3><09<8524749653<5=<<6?>:;<6503g=:9?0198m:326?821k38;963;6e8140=:=;4=54e>76234><<770:84;031>;3?<09<8524649653<5==<6?>:;<6402g=:9?0199m:326?xu51o>1<75<4:rT:>=5240a9576<5=;o6<219>076=9;:019<>:003?825:3;9<63;228265=:<;>1=?>4=506>44734>9:7?=0:?762<6:9168?651328914>288;70:=a;314>;3:k0:>=5243a9576<5=8o6<k4>219>066=9;:019=>:003?824:3;9<63;328265=:<:>1=?>4=516>44734>8:7?=0:?772<6:9168>651328915>288;70:;3;k0:>=5242a9576<5=9o6<219>016=9;:019:>:003?823:3;9<63;428265=:<=>1=?>4=566>44734>?:7?=0:?702<6:91689651328912>288;70:;a;314>;3=5246a964b:o7k7;<62`?c?34>:i7k7;<62b?c?34>9<7k7;<615?c?34>9>7k7;<617?c?34>987k7;<611?c?34>9:7k7;<613?c?34>947k7;<61=?c?34>9m7k7;<61f?c?34>9o7k7;<61`?c?34>9i7k7;<61b?c?34>8<7k7;<605?c?34>8>7k7;<607?c?34>887k7;<601?c?34>8:7k7;<603?c?34>847k7;<60=?c?34>8m7k7;<60f?c?34>8o7k7;<60`?c?34>8i7k7;<60b?c?34>?<7k7;<675?c?34>?>7k7;<677?c?34>?87k7;<671?c?34>?:7k7;<673?c?34>?47k7;<67=?c?34>?m7k7;<67f?c?34>?o7=l6:?70a<4k?1689k53b48912a2:i=70::0;1`2>;3=808o;5244097f0<5=?86>m9;<660?5d>27?984000=;j<019;8:2a5?822039h:63;5880g3=:<6e134>>o7=l6:?71a<4k?1688k53b48913a2:i=70:90;1`2>;3>808o;5247097f0<5=<86>m9;<650?5d>27?:84030=;j<01988:2a5?821039h:63;6880g3=:6e134>=o7=l6:?72a<4k?168;k53b48910a2:i=70:80;1`2>;3?808o;5246097f0<5==86>m9;<640?5d>27?;84020=;j<01998:2a5?820039h:63;7880g3=:<>k1?n84=55a>6e13ty95k950;0xZ4`e34>?n7=nb:p6<`?2909wS?i9:?70d<4ik1v?7i9;296~X6n11689753``8yv4>nh0;6?uQ1g58912?2:ki7p}=9g`94?4|V8l=70:;7;1bf>{t:0lh6=4={_3e1>;3?6>om;|q1=c`=838pR;<677?5fj2wx>l>?:181[7a827?8?4vP>eg9>017=;hh0q~7}Y9lo019:?:2ca?xu5i991<75<5sW;no63;3d80eg=z{;k;97>52z\2ag=:<:n1?ll4}r0b43<72;qU=ho4=51`>6ge3ty9m=950;0xZ4c>34>8n7=nb:p6d6?2909wS?j8:?77d<4ik1v?o?9;296~X6m?168>753``8yv4f8h0;6?uQ1d78915?2:ki7p}=a1`94?4|V8o?70:<7;1bf>{t:h:h6=4={_3f7>;3;?08mo5rs3c3`?6=:rT:i?5242797ddom;|q1e5`=838pRl??:181[7cn27???4vP>dd9>067=;hh0q~7}Y9mn019=?:2ca?xu5i891<75<5sW;om63;2d80eg=z{;k:97>52z\2`<=:<;n1?ll4}r0b53<72;qU=i94=50`>6ge3ty9m<950;0xZ4b134>9n7=nb:p6d7?2909wS?k5:?76d<4ik1v?o>9;296~X6l=168?753``8yv4f9h0;6?uQ1e18914?2:ki7p}=a0`94?4|V8n970:=7;1bf>{t:h;h6=4={_3g5>;3:?08mo5rs3c2`?6=:rT9<<5243797ddom;|q1e4`=838pRl?4vP>fe9>077=;hh0q~7}Y9oi0195<5sW;n;63;1d80eg=z{;k997>52z\2`f=:<8n1?ll4}r0b63<72;qU=i>4=53`>6ge3ty9m?950;42826j38:563;4b8;=>;3=64=56f>=?<5=>n6?>7;<67b?>>34>?j7007=001688?521:89135213019;=:32;?822;32270::3;03<>;3==03563;55814==:<<5=?=6574=575>76?34>>;766;<663?47027?95479:?71=<581168875889>00?=:92019;n:9;8913f2;:370::b;::?822j38;463;5b8;=>;3=j09<55244f9<<=:<=64=57f>=?<5=?n6?>7;<66b?>>34>>j7037=00168;?521:891052130198=:32;?821;32270:93;03<>;3>=03563;65814==:<5=<=6574=545>76?34>=;766;<653?47027?:5479:?72=<581168;75889>03?=:920198n:9;8910f2;:370:9b;::?821j38;463;6b8;=>;3>j09<55247f9<<=:=64=54f>=?<5=7;<65b?>>34>=j7027=00168:?521:891152130199=:32;?820;32270:83;03<>;3?=03563;75814==:<>?14452467965><5===6574=555>76?34><;766;<643?47027?;5479:?73=<581168:75889>02?=:920199n:9;8911f2;:370:8b;::?820j38;46s|2`0;>5<5s4>:o7?<1:?70a<58=1v?o=9;296~;39m0:?<5245g9652l4?:3y>04c=9:;019:l:327?xu5i;h1<745634>><7;3=809<95rs3c1`?6=:r7?><4>309>01`=:9>0q~7}:<;81=>?4=570>7633ty9m?h50;0x9144289:70::4;030>{t:h9;6=4={<610?74927?9?4=059~w7g493:1>v3;248274=:<<<1>=:4}r0b77<72;q68?85123891302;:?7p}=a2194?4|5=8<6<=>;<661?47<2wx>l=;:18182503;8=63;588141=z{;k897>52z?76<<6;81688o52168yv4f;?0;6?u243c9567<5=?36?>;;|q1e61=838p195<5s4>9o7?<1:?71a<58=1v?o<9;296~;3:m0:?<5244`965207c=9:;019;i:327?xu5i:h1<745634>=<7;3=l09<95rs3c0`?6=:r7??<4>309>034=:9>0q~7}:<:81=>?4=540>7633ty9m>h50;0x9154289:70:91;030>{t:h>;6=4={<600?74927?:84=059~w7g393:1>v3;348274=:=:4}r0b07<72;q68>85123891032;:?7p}=a5194?4|5=9<6<=>;<65l:;:18182403;8=63;688141=z{;k?97>52z?77<<6;8168;952168yv4f;;|q1e11=838p19=m:012?821k38;86s|2`6;>5<5s4>8o7?<1:?72d<58=1v?o;9;296~;3;m0:?<5247g965206c=9:;0198i:327?xu5i=h1<745634>=h7;3?809<95rs3c7`?6=:r7?8<4>309>024=:9>0q~7}:<=81=>?4=553>7633ty9m9h50;0x9124289:70:84;030>{t:h?;6=4={<670?74927?;84=059~w7g293:1>v3;448274=:<>91>=:4}r0b17<72;q68985123891102;:?7p}=a4194?4|5=><6<=>;<64l;;:18182303;8=63;778141=z{;k>97>52z?70<<6;8168:o52168yv4f=?0;6?u245c9567<5==i6?>;;|q1e01=838p19:m:012?820138;86s|2`7;>5<49r7?8n401b=;j=019:j:2a4?823n39h;63;5180g2=:<<;1?n94=571>6e034>>?7=l7:?711<4k>1688;53b5891312:i<70::7;1`3>;3=108o:5244;97f1<5=?j6>m8;<66f?5d?27?9n400b=;j=019;j:2a4?822n39h;63;6180g2=:6e034>=?7=l7:?721<4k>168;;53b5891012:i<70:97;1`3>;3>108o:5247;97f1<5=m8;<65f?5d?27?:n403b=;j=0198j:2a4?821n39h;63;7180g2=:<>;1?n94=551>6e034>168:;53b5891112:i<70:87;1`3>;3?108o:5246;97f1<5==j6>m8;<64f?5d?27?;n4<029~yg20l3:197>50z&0g4<59?1C?nl4H2a0?!5683;i>n5+2339e>ob03:17d?=0;29?l7493:17d=m8;29?j5fj3:17pl;7d83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<>l1<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th?4=4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:71;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5:1>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0=5=8391<7>t$2a2>6ef3A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<397>54;294~"4k8099?5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9~f1>129086=4?{%1`5?5di2B8oo5G3b18 67728h9o6g>2c83>>o5::0;66a<0283>>{e<1=1<7;50;2x 6e62;?87E=lb:J0g6=#;8:1=o5;h1a5;n010?6=3th?454?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:79;290?6=8r.8o<4=539K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=zj=2j6=4<:183!5d939hm6F>4?::m046<722wi85l50;794?6|,:i:6?;<;I1`f>N4k:1/?<>51c0`?l75j3:17d0<729q/?n?52418L6ee3A9h?6*<1182f7e5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vn96j:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm49d94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7=5<72=0;6=u+3b39604<@:ii7E=l3:&055<6j;i0e<l7:188k6642900qo:61;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5;1>5<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm48194?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;9583>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{e<0?1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm48594?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj=336=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?5l4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a01<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th?5n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm48d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd3i90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo:n1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f1g5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi8l=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`7e1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<j97>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb5c5>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e6gj8;29?l7583:17d?<1;29?j5fj3:17pl;a983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg2f13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9on:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm4``94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`7ef<72:0;6=u+3b397fg<@:ii7E=l3:&055<6j;i0e<><:188yg2fl3:187>50z&0g4<5=;1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h;991<75rb5cf>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0d`=83>1<7>t$2a2>7333A9hn6F1<729q/?n?52468L6ee3A9h?6*<1182f7e5<5<i=7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9l=:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66sm4c194?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;b583>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo:m5;290?6=8r.8o<4=129K7fd<@:i87dk7:188m4472900e<=>:188k6ge2900qo:m6;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5`4>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0g>=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<im7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9lm:186>5<7s-9h=7<:3:J0gg=O;j90(>??:0`1g>o6:k0;66g=0283>>o4j10;66a<0283>>i5:=0;66sm4ca94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7fa<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2em3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;bg83>0<729q/?n?52048L6ee3A9h?6*<1182f7e<,;8:6l5fe983>>o6:90;66g>3083>>o4j10;66a>{e5;n137?6=3th?o<4?:483>5}#;j;1>8=4H2aa?M5d;2.8==4>b3a8m44e2900e?><:188m6d?2900c>><:188k7432900qo:l2;291?6=8r.8o<4=529K7fd<@:i87)=>0;3a6f=n9;h1<75f21194?=n;k21<75`31194?=h:;>1<75rb5a0>5<2290;w)=l1;067>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m046<722e9>94?::a0f2=83?1<7>t$2a2>7343A9hn6Fmm;I1`7>"4990:n?m4i00a>5<5<5<h:7>55;294~"4k8099>5G3b`8L6e43-9:<7?m2b9j57d=831b>==50;9j7g>=831d?==50;9l672=831vn9m8:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg2d03:197>50z&0g4<59?1C?nl4H2a0?!5683;i>n5+2339e>ob03:17d?=0;29?l7493:17d=m8;29?j5fj3:17pl;c883>6<729q/?n?53bc8L6ee3A9h?6*<1182f7e5<m<;%124?7e:j1b=?l50;9j675=831d?==50;9~f1ee290?6=4?{%1`5?42:2B8oo5G3b18 67728h9o6g>2c83>>o58:0;66g>i48:0;66sm4ba94?3=83:p(>m>:370?M5dj2B8o>5+30295g4d3`;9n7>5;h037?6=3`9i47>5;n137?6=3f8987>5;|`7ga<72=0;6=u+3b39602<@:ii7E=l3:&055<6j;i0e<l7:188k7432900qo:le;297?6=8r.8o<40;3a6f=n9;h1<75f23194?=h;991<75rb5ae>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::a0a6=83?1<7>t$2a2>7343A9hn6F0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831d>?:50;9~f1b429086=4?{%1`5?5di2B8oo5G3b18 67728h9o6g>2c83>>o5::0;66a<0283>>{e1<7:50;2x 6e62;?97E=lb:J0g6=#;8:1=o5;h1a5;|`7`0<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yg2c>3:1?7>50z&0g4<4kh1C?nl4H2a0?!5683;i>n5f13`94?=n:;91<75`31194?=zj=n<6=4;:183!5d938:?6F?6F4?::k0f=<722e8<>4?::m161<722wi8i750;194?6|,:i:6>mn;I1`f>N4k:1/?<>51c0`?l75j3:17d<=3;29?j57;3:17pl;d`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg2cj3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn9jl:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8ij50;36e?6=8r.8o<4=0`9K7fd<@:i87Wk8:cy;5?712>81:h4>2;32>3e=?80:?7??:559y!5683;i>n5a8483?k>0281emo4>;oc`>4=i98h1<6*>1b8040=i98l1<6`>3182?k4713;0b?;>:09m75`=92d8ml4>;%1a3?5d82c:>94?::k0f4<722c8n?4?::k260<722c8n>4?::k26=<722c:>>4?::m25`<722c8n84?::k0f1<722c:>n4?::k0f5<722c:>:4?::k267<722c:=l4?::k26<<722c:><4?::m25a<722c8mk4?::k0f3<722ci:7>5$2c;>g3oe;3:1(>o7:c78j6g02;10eo<50;&0e=1=h4i>0>76gnf;29 6g?2k?0b>o8:798mdc=83.8m54m5:l0e2<032cij7>5$2c;>g3oel3:1(>o7:c78j6g02h10eom50;&0e=f=h4i>0o76gm9;29 6g?2k?0b>o8:d98mg>=83.8m54m5:l0e25$2c;>g34;hcg>5<#;h21n85a3`5954=h4i>0;76aka;29 6g?2mh0b>o8:098ka?=83.8m54kb:l0e2<532eo47>5$2c;>ad54oe594?"4i10on6`ic>3:1(>o7:e`8j6g02<10ci;50;&0e=2=h4i>0376aj4;29 6g?2mh0b>o8:898k`5=83.8m54kb:l0e27>5$2c;>adib83:1(>o7:e`8j6g02m10cih50;&0e=c=h4i>0:<65`d283>!5f03ni7c=n7;32?>o4>o0;6)=n8;15a>h4i>0;76g<6e83>!5f039=i6`o4>j0;6)=n8;15a>h4i>0976g<6c83>!5f039=i6`o4>h0;6)=n8;15a>h4i>0?76g<6883>!5f039=i6`o4>10;6)=n8;15a>h4i>0=76g<6683>!5f039=i6`o4><0;6)=n8;15a>h4i>0376g<6583>!5f039=i6`o4>:0;6)=n8;15a>h4i>0j76g<6383>!5f039=i6`o4>80;6)=n8;15a>h4i>0h76g<6183>!5f039=i6`o4=o0;6)=n8;15a>h4i>0n76g<5d83>!5f039=i6`o4=m0;6)=n8;15a>h4i>0:<65f34a94?"4i108:h5a3`5954=8j;o1b3?7432c8954?:%1b4:9j701=83.8m54<6d9m7d1=9<10e>;9:18'7d>=;?o0b>o8:048?l52=3:1(>o7:24f?k5f?3;<76g<5583>!5f039=i6`=n;<91<7*5<#;h21?;k4n2c4>4g<3`9>=7>5$2c;>60b3g9j;7?m;:k00c<72-9j47=9e:l0e2<6k21b?9k50;&0e=<4>l1e?l951e98m62c290/?l6537g8j6g028o07d=;c;29 6g?2:o4h4i>09<65f35c94?"4i108:h5a3`5964=26=4+3`:973c8j;o1b3?4432c88:4?:%1b9::18'7d>=;?o0b>o8:348?l50<3:1(>o7:24f?k5f?38<76g<7283>!5f039=i6`=n;>81<7*454i252>5<#;h21?;k4n2c4>7g<3`9<<7>5$2c;>60b3g9j;7l1e?l952e98m637290/?l6537g8j6g02;o07d=;5;29 6g?2:o69:0;6)=n8;326>h4i>0;76g>1083>!5f03;:>6`o6990;6)=n8;326>h4i>0976g>0g83>!5f03;:>6`o68l0;6)=n8;326>h4i>0?76g>0e83>!5f03;:>6`o68j0;6)=n8;326>h4i>0=76g>0c83>!5f03;:>6`o6800;6)=n8;326>h4i>0376g>0983>!5f03;:>6`o68>0;6)=n8;326>h4i>0j76g>0783>!5f03;:>6`o68<0;6)=n8;326>h4i>0h76g>0583>!5f03;:>6`o68:0;6)=n8;326>h4i>0n76g>0383>!5f03;:>6`o6880;6)=n8;326>h4i>0:<65f11294?"4i10:=?5a3`5954==54idg94?"4i10:=?5a3`5964=?54ida94?"4i10:=?5a3`5966=954idc94?"4i10:=?5a3`5960=139m7d1=:010e=9880b>o8:3c8?l76<3:1(>o7:031?k5f?38i76g>0`83>!5f03;:>6`=nno0;6)=n8;326>h4i>09h65ff583>!5f03;:>6`=nm00;6)=n8;326>h4i>09j65`32f94?"4i108?n5a3`594>=h;:h1<7*=h;:31<7*=h;:=1<7*=h;:?1<7*=h;:81<7*=h;::1<7*=h;;o1<7*=h;;i1<7*=l;o1b3?7532e8>:4?:%1b3:9l770=83.8m54<3b9m7d1=9=10c><::18'7d>=;:i0b>o8:078?j55<3:1(>o7:21`?k5f?3;=76a<2283>!5f0398o6`=h;;81<7*5<#;h21?>m4n2c4>4?<3f99<7>5$2c;>65d3g9j;7?n;:m05c<72-9j47=b;29 6g?2:9h7c=n7;3f?>i49h0;6)=n8;10g>h4i>0:j65`30;94?"4i108?n5a3`5965==l;o1b3?4532e8=;4?:%1b?;:18'7d>=;:i0b>o8:378?j53;3:1(>o7:21`?k5f?38=76a<4383>!5f0398o6`=h;=;1<7*554o263>5<#;h21?>m4n2c4>7?<3f98j7>5$2c;>65d3g9j;7:50;&0e=<4;j1e?l952b98k64>290/?l6532a8j6g02;n07b=>e;29 6g?2:9h7c=n7;0f?>i49:0;6)=n8;10g>h4i>09j65f31g94?"4i108=n;9i1<7*=n;9k1<7*=n;921<7*=n;9<1<7*=n9:k1<7*=n9:21<7*=n9:<1<7*=n9:>1<7*=n9=>1<7*=n9=81<7*=n9=:1<7*=n9:o1<7*7i;o1b3?6<3f92i7>5$2c;>6?a3g9j;7?4;n1:`?6=,:k36>7i;o1b3?4<3f92o7>5$2c;>6?a3g9j;7=4;n1:f?6=,:k36>7i;o1b3?2<3f92m7>5$2c;>6?a3g9j;7;4;n1:=?6=,:k36>7i;o1b3?0<3f9247>5$2c;>6?a3g9j;794;n1:2?6=,:k36>7i;o1b3?><3f9297>5$2c;>6?a3g9j;774;n1:0?6=,:k36>7i;o1b3?g<3f92?7>5$2c;>6?a3g9j;7l4;n1:6?6=,:k36>7i;o1b3?e<3f92=7>5$2c;>6?a3g9j;7j4;n1:4?6=,:k36>7i;o1b3?c<3f93j7>5$2c;>6?a3g9j;7h4;n1;a?6=,:k36>7i;o1b3?7732e84i4?:%1bn2d8m:4>1:9l7=d=83.8m54<9g9m7d1=9;10c>6n:18'7d>=;0l0b>o8:018?j5?13:1(>o7:2;e?k5f?3;?76a<8983>!5f0392j6`=h;1=1<7*5<#;h21?4h4n2c4>41<3f9397>5$2c;>6?a3g9j;7?7;:m0<1<72-9j47=6f:l0e2<6121d?5=50;&0e=<41o1e?l951`98k6>5290/?l6538d8j6g028h07b=70;29 6g?2:3m7c=n7;3`?>i4?o0;6)=n8;1:b>h4i>0:h65`36g94?"4i1085k5a3`595`=7i;o1b3?4732e8;o4?:%1bn2d8m:4=1:9l72g=83.8m54<9g9m7d1=:;10c>96:18'7d>=;0l0b>o8:318?j5003:1(>o7:2;e?k5f?38?76a<7683>!5f0392j6`=h;h<1<7*;54o2c6>5<#;h21?4h4n2c4>71<3f9j87>5$2c;>6?a3g9j;7<7;:m0e6<72-9j47=6f:l0e2<5121d?l<50;&0e=<41o1e?l952`98k6g6290/?l6538d8j6g02;h07b=67;29 6g?2:3m7c=n7;0`?>i40j0;6)=n8;1:b>h4i>09h65`39394?"4i1085k5a3`596`=5<#;h215?5a3`594>=n180;6)=n8;;1?k5f?3;07d7?:18'7d>=1;1e?l952:9j!5f03397c=n7;78?l>d290/?l65939m7d1=>21b4o4?:%1b5<#;h215?5a3`59<>=n1k0;6)=n8;;1?k5f?3307d7n:18'7d>=1;1e?l95a:9j=<<72-9j477=;o1b3?d<3`336=4+3`:9=7=i;h=1o65f9683>!5f03397c=n7;f8?l?1290/?l65939m7d1=m21b584?:%1b5<#;h215?5a3`5955=h4i>0:=65`25;94?"4i109855a3`594>=h:==1<7*=h:=?1<7*=h:=91<7*=h:=:1<7*=h::o1<7*=h::i1<7*=h::k1<7*=h::21<7*6=4+3`:961>4?:%1b3:9l664=83.8m54=499m7d1=9=10c?=>:18'7d>=:=20b>o8:078?j4483:1(>o7:36;?k5f?3;=76a=2g83>!5f038?46`=h:;o1<7*5<#;h21>964n2c4>4?<3f8><7>5$2c;>72?3g9j;7?n;:m10c<72-9j47<;8:l0e2<6j21d>9k50;&0e=<5<11e?l951b98k72c290/?l6525:8j6g028n07b<;c;29 6g?2;>37c=n7;3f?>i5h4i>0:j65`25c94?"4i109855a3`5965=96=4+3`:961>n4?:%1bo8:09K7ge<3`i=6=4+3`:9g==i;h=1>6F!5f03i37c=n7;68L6dd32ch?7>5$2c;>f>2B8nn54ib394?"4i10h46`oc93:1(>o7:b:8j6g0201C?om4;hf3>5<#;h21o55a3`59e>N4jj10enh50;&0e==nkl0;6)=n8;a;?k5f?3i0D>ll;:k``?6=,:k36n64n2c4>a=O;ki07dml:18'7d>=k11e?l95e:J0ff=h4i>0m7E=mc:9jgd<72-9j47m7;o1b3?773A9io65fc183>!5f03i37c=n7;32?M5ek21vn9jj:1821d<729q/?n?521c8L6ee3A9h?6Tj7;`x<4<6>3=96;k513825?0d2>;1=>4>0;64>x"4990:n?m4n9794>h??3;0bll51:lbg?7h5800:7c<:1;38j66a281e?lo51:&0f2<4k91b=?:50;9j7g7=831b?o<50;9j573=831b?o=50;9j57>=831b=?=50;9l54c=831b?o;50;9j7g2=831b=?m50;9j7g6=831b=?950;9j574=831b=4;h`7>5<#;h21n85a3`595>=nj:0;6)=n8;`6?k5f?3807dl=:18'7d>=j<1e?l953:9jf4<72-9j47l:;o1b3?2<3`h;6=4+3`:9f0=i;h=1965fag83>!5f03h>7c=n7;48?lgb290/?l65b49m7d1=?21bnk4?:%1b5<#;h21n85a3`59=>=njm0;6)=n8;`6?k5f?3k07dll:18'7d>=j<1e?l95b:9jfg<72-9j47l:;o1b3?e<3`hj6=4+3`:9f0=i;h=1h65fb883>!5f03h>7c=n7;g8?ld?290/?l65b49m7d1=n21bn:4?:%1b47<3fnh6=4+3`:9`g=i;h=1<65`d`83>!5f03ni7c=n7;38?jb>290/?l65dc9m7d1=:21dh54?:%1b5<#;h21ho5a3`590>=hl?0;6)=n8;fa?k5f?3?07bj::18'7d>=lk1e?l956:9l`1<72-9j47jm;o1b3?1<3fo>6=4+3`:9`g=i;h=1465`e583>!5f03ni7c=n7;;8?jc4290/?l65dc9m7d1=i21di?4?:%1b5<#;h21ho5a3`59g>=hm90;6)=n8;fa?k5f?3n07bji:18'7d>=lk1e?l95e:9l``<72-9j47jm;o1b3?`<3fno6=4+3`:9`g=i;h=1==54oe194?"4i10on6`=n;?l1<7*=n;?i1<7*65f37`94?"4i108:h5a3`597>=n;?k1<7*=n;?21<7*=n;??1<7*=n;?91<7*=n;?;1<7*=n;=n;5<#;h21?;k4n2c4>47<3`9>m7>5$2c;>60b3g9j;7?=;:k01<<72-9j47=9e:l0e2<6;21b?8650;&0e=<4>l1e?l951598m630290/?l6537g8j6g028?07d=:6;29 6g?2:o4=<0;6)=n8;15a>h4i>0:;65f34694?"4i108:h5a3`595==8j;o1b3?7f32c89<4?:%1bb:9j71`=83.8m54<6d9m7d1=9j10e>:j:18'7d>=;?o0b>o8:0f8?l53l3:1(>o7:24f?k5f?3;n76g<4b83>!5f039=i6`=n;=h1<7*=54i26b>5<#;h21?;k4n2c4>77<3`9?57>5$2c;>60b3g9j;7<=;:k00=<72-9j47=9e:l0e2<5;21b?9950;&0e=<4>l1e?l952598m621290/?l6537g8j6g02;?07d=85;29 6g?2:o4?=0;6)=n8;15a>h4i>09;65f36194?"4i108:h5a3`596==8j;o1b3?4f32c8;=4?:%1b;m:18'7d>=;?o0b>o8:3f8?l5283:1(>o7:24f?k5f?38n76g<4483>!5f039=i6`=n9891<7*=n98:1<7*65f11d94?"4i10:=?5a3`597>=n99o1<7*=n99i1<7*=n9931<7*=n99=1<7*=n99?1<7*=n9991<7*=n99;1<7*5<#;h21=<<4n2c4>47<3`ln6=4+3`:95445<#;h21=<<4n2c4>45<3`lh6=4+3`:95445<#;h21=<<4n2c4>43<3`lj6=4+3`:95445<#;h21=<<4n2c4>41<3`l36=4+3`:95445<#;h21=<<4n2c4>4?<3`l=6=4+3`:95445<#;h21=<<4n2c4>4d<3`l86=4+3`:95445<#;h21=<<4n2c4>4b<3`l:6=4+3`:95445<#;h21=<<4n2c4>4`<3`om6=4+3`:95444;hgf>5<#;h21=<<4n2c4>77<3`oo6=4+3`:95445<#;h21=<<4n2c4>75<3`oi6=4+3`:95445<#;h21=<<4n2c4>73<3`;:57>5$2c;>4753g9j;7<9;:k25=<72-9j47?>2:l0e2<5?21b=<950;&0e=<69;1e?l952998m471290/?l651008j6g02;307d?>5;29 6g?28;97c=n7;0b?>o69=0;6)=n8;326>h4i>09n65f11c94?"4i10:=?5a3`596f=i54ig694?"4i10:=?5a3`596`=k54o21g>5<#;h21?>m4n2c4>5=5<#;h21?>m4n2c4>7=54o21;>5<#;h21?>m4n2c4>1=5<#;h21?>m4n2c4>3=6=4+3`:976e5<#;h21?>m4n2c4>==5<#;h21?>m4n2c4>d=5<#;h21?>m4n2c4>f=5<#;h21?>m4n2c4>`=5<#;h21?>m4n2c4>46<3f99m7>5$2c;>65d3g9j;7?>;:m06=<72-9j47=07b==5;29 6g?2:9h7c=n7;36?>i4:=0;6)=n8;10g>h4i>0::65`33194?"4i108?n5a3`5952==l;o1b3?7>32e8>=4?:%1ba:9l74`=83.8m54<3b9m7d1=9k10c>?k:18'7d>=;:i0b>o8:0a8?j56k3:1(>o7:21`?k5f?3;o76a<1c83>!5f0398o6`=h;8k1<7*5<#;h21?>m4n2c4>76<3f9:47>5$2c;>65d3g9j;7<>;:m052<72-9j47=07b=>4;29 6g?2:9h7c=n7;06?>i4<:0;6)=n8;10g>h4i>09:65`35094?"4i108?n5a3`5962=:6=4+3`:976e=l;o1b3?4>32e8?k4?:%1b=;:18'7d>=;:i0b>o8:3a8?j5513:1(>o7:21`?k5f?38o76a<1d83>!5f0398o6`=h;891<7*k54i22f>5<#;h21?=j4n2c4>5=5<#;h21?=j4n2c4>7=54i22:>5<#;h21?=j4n2c4>1=5<#;h21?=j4n2c4>3=5<#;h21=>l4n2c4>5=5<#;h21=>l4n2c4>7=54i014>5<#;h21=>l4n2c4>1=5<#;h21=>l4n2c4>3=5<#;h21=>l4n2c4>==?6=4+3`:956d5<#;h21=>l4n2c4>d=96=4+3`:956d5<#;h21=>l4n2c4>f=;6=4+3`:956d5<#;h21=>l4n2c4>`=5<#;h21=>l4n2c4>46<3`;8?7>5$2c;>45e3g9j;7?>;:m0e5<72-9j47=6f:l0e2<732e85h4?:%1bn2d8m:4>;:m0=a<72-9j47=6f:l0e2<532e85n4?:%1bn2d8m:4<;:m0=g<72-9j47=6f:l0e2<332e85l4?:%1bn2d8m:4:;:m0=<<72-9j47=6f:l0e2<132e8554?:%1bn2d8m:48;:m0=3<72-9j47=6f:l0e2n2d8m:46;:m0=1<72-9j47=6f:l0e24?:%1bn2d8m:4m;:m0=7<72-9j47=6f:l0e2n2d8m:4k;:m0=5<72-9j47=6f:l0e2n2d8m:4i;:m0<`<72-9j47=6f:l0e2<6821d?5j50;&0e=<41o1e?l951098k6>e290/?l6538d8j6g028807b=7a;29 6g?2:3m7c=n7;30?>i4000;6)=n8;1:b>h4i>0:865`39:94?"4i1085k5a3`5950=7i;o1b3?7032e8484?:%1bn2d8m:4>8:9l7=2=83.8m54<9g9m7d1=9010c>6<:18'7d>=;0l0b>o8:0c8?j5?:3:1(>o7:2;e?k5f?3;i76a<8183>!5f0392j6`=h;>l1<7*5<#;h21?4h4n2c4>4c<3f95$2c;>6?a3g9j;7?i;:m03f<72-9j47=6f:l0e2<5821d?:l50;&0e=<41o1e?l952098k61f290/?l6538d8j6g02;807b=89;29 6g?2:3m7c=n7;00?>i4?10;6)=n8;1:b>h4i>09865`36594?"4i1085k5a3`5960=7i;o1b3?4032e8m94?:%1bn2d8m:4=8:9l7d5=83.8m54<9g9m7d1=:010c>o=:18'7d>=;0l0b>o8:3c8?j5f93:1(>o7:2;e?k5f?38i76a<9683>!5f0392j6`=h;1i1<7*i54o2:2>5<#;h21?4h4n2c4>7c<3f9<:7>5$2c;>6?a3g9j;75=h4i>0:76g60;29 6g?2080b>o8:398m=`=83.8m5462:l0e2<432c3i7>5$2c;><46`o?k3:1(>o7:808j6g02?10e5l50;&0e=<>:2d8m:48;:k:g?6=,:k364<4n2c4>==h4i>0276g6a;29 6g?2080b>o8:`98m5$2c;><46`o>>3:1(>o7:808j6g02l10e4;50;&0e=<>:2d8m:4i;:k:0?6=,:k364<4n2c4>46<3`2j6=4+3`:9=7=i;h=1=<54o36:>5<#;h21>964n2c4>5=<6=4+3`:961>5<#;h21>964n2c4>7=>6=4+3`:961>54o367>5<#;h21>964n2c4>1=86=4+3`:961>5<#;h21>964n2c4>3=;6=4+3`:961>5<#;h21>964n2c4>==5<#;h21>964n2c4>d=5<#;h21>964n2c4>f=5<#;h21>964n2c4>`=5<#;h21>964n2c4>46<3f8897>5$2c;>72?3g9j;7?>;:m171<72-9j47<;8:l0e2<6:21d>>=50;&0e=<5<11e?l951298k755290/?l6525:8j6g028>07b<<1;29 6g?2;>37c=n7;36?>i5;90;6)=n8;07<>h4i>0::65`23d94?"4i109855a3`5952=32e99=4?:%1ba:9l61`=83.8m54=499m7d1=9k10c?:j:18'7d>=:=20b>o8:0a8?j43l3:1(>o7:36;?k5f?3;o76a=4b83>!5f038?46`=h:=h1<7*5<#;h21>964n2c4>76<3f8?>7>5$2c;>72?3g9j;7<>;:m172<72-9j47<;8:l0e2<5:21d>?m50;&0e=<5<11e?l952298mf?=83.8m54l8:l0e2<73A9io65fc683>!5f03i37c=n7;38L6dd32ch:7>5$2c;>f>4?:%1bod:3:1(>o7:b:8j6g02?1C?om4;ha2>5<#;h21o55a3`593>N4jj10ei<50;&0e==nl80;6)=n8;a;?k5f?330D>ll;:kg4?6=,:k36n64n2c4>d=O;ki07dmi:18'7d>=k11e?l95b:J0ff=h4i>0h7E=mc:9jga<72-9j47m7;o1b3?b<@:hh76glc;29 6g?2j20b>o8:d9K7ge<3`ii6=4+3`:9g==i;h=1j6FN4jj10qo:kf;2950g=83:p(>m>:32b?M5dj2B8o>5Ue68a=7=9?0<>78j:00954<1k3=:6<=511873?{#;8:1=o5=i0>0:7com:09mef<63g;:n7>4$03`>6623g;:j7>4n013>4=i:931=6`=5082?k57n3;0b>on:09'7g1=;j:0e<<;:188m6d62900e>l=:188m4422900e>l<:188m44?2900e<<<:188k47b2900e>l::188m6d32900e<2900e<<>:188k47c2900e>oi:188m6d12900eo850;&0e=4=h4i>0976gm2;29 6g?2k?0b>o8:298mg7=83.8m54m5:l0e2<332ci<7>5$2c;>g3ofm3:1(>o7:c78j6g02>10eoh50;&0e=<=h4i>0j76gmc;29 6g?2k?0b>o8:c98mgd=83.8m54m5:l0e25$2c;>g3oe03:1(>o7:c78j6g02o10eo950;&0e=0:9jea<72-9j47l:;o1b3?7632eoo7>5$2c;>adic13:1(>o7:e`8j6g02;10ci650;&0e=1=h4i>0>76ak5;29 6g?2mh0b>o8:798ka2=83.8m54kb:l0e2<032en97>5$2c;>adib;3:1(>o7:e`8j6g02h10ch<50;&0e=f=h4i>0o76akf;29 6g?2mh0b>o8:d98kac=83.8m54kb:l0e25$2c;>ad4;nf0>5<#;h21ho5a3`5954=5<#;h21?;k4n2c4>4=5<#;h21?;k4n2c4>6=5<#;h21?;k4n2c4>0=5<#;h21?;k4n2c4>2=6=4+3`:973c5<#;h21?;k4n2c4><=5<#;h21?;k4n2c4>g=5<#;h21?;k4n2c4>a=5<#;h21?;k4n2c4>c=4;h16g?6=,:k36>8j;o1b3?7632c89l4?:%1b2:9j70?=83.8m54<6d9m7d1=9:10e>;7:18'7d>=;?o0b>o8:068?l52?3:1(>o7:24f?k5f?3;>76g<5783>!5f039=i6`=n;5<#;h21?;k4n2c4>4><3`9>?7>5$2c;>60b3g9j;7?6;:k017<72-9j47=9e:l0e2<6i21b?8?50;&0e=<4>l1e?l951c98m62a290/?l6537g8j6g028i07d=;e;29 6g?2:o4h4i>0:i65f35a94?"4i108:h5a3`595c=i6=4+3`:973c4;h17e?6=,:k36>8j;o1b3?4632c8844?:%1b=83.8m54<6d9m7d1=::10e>:8:18'7d>=;?o0b>o8:368?l53>3:1(>o7:24f?k5f?38>76g<7483>!5f039=i6`=n;>>1<7*:54i250>5<#;h21?;k4n2c4>7><3`9<>7>5$2c;>60b3g9j;7<6;:k034<72-9j47=9e:l0e2<5i21b?:>50;&0e=<4>l1e?l952c98m601290/?l6537g8j6g02;i07d=:b;29 6g?2:o4=90;6)=n8;15a>h4i>09i65f35794?"4i108:h5a3`596c=5<#;h21=<<4n2c4>4=5<#;h21=<<4n2c4>6=5<#;h21=<<4n2c4>0=5<#;h21=<<4n2c4>2=5<#;h21=<<4n2c4><=5<#;h21=<<4n2c4>g=6=4+3`:95445<#;h21=<<4n2c4>a=5<#;h21=<<4n2c4>c=4;h334?6=,:k365$2c;>4753g9j;7?=;:ke`?6=,:k365$2c;>4753g9j;7?;;:kef?6=,:k365$2c;>4753g9j;7?9;:ke=?6=,:k365$2c;>4753g9j;7?7;:ke3?6=,:k3632cm:7>5$2c;>4753g9j;7?n;:ke1?6=,:k365$2c;>4753g9j;7?l;:ke6?6=,:k365$2c;>4753g9j;7?j;:ke4?6=,:k365$2c;>4753g9j;75$2c;>4753g9j;7<=;:kfg?6=,:k365$2c;>4753g9j;7<;;:kfe?6=,:k36=83.8m54>139m7d1=:>10e=9880b>o8:3:8?l76>3:1(>o7:031?k5f?38276g>1483>!5f03;:>6`=n98>1<7*o54i02b>5<#;h21=<<4n2c4>7e<3`lm6=4+3`:95445<#;h21=<<4n2c4>7c<3`o26=4+3`:9544=l;o1b3?6<3f98n7>5$2c;>65d3g9j;7?4;n10e?6=,:k36>=l;o1b3?4<3f9857>5$2c;>65d3g9j;7=4;n10=l;o1b3?2<3f98;7>5$2c;>65d3g9j;7;4;n102?6=,:k36>=l;o1b3?0<3f9897>5$2c;>65d3g9j;794;n107?6=,:k36>=l;o1b3?><3f98>7>5$2c;>65d3g9j;774;n105?6=,:k36>=l;o1b3?g<3f98<7>5$2c;>65d3g9j;7l4;n11b?6=,:k36>=l;o1b3?e<3f99i7>5$2c;>65d3g9j;7j4;n11`?6=,:k36>=l;o1b3?c<3f99o7>5$2c;>65d3g9j;7h4;n11f?6=,:k36>=l;o1b3?7732e8>l4?:%1b1:9l77>=83.8m54<3b9m7d1=9;10c><8:18'7d>=;:i0b>o8:018?j55>3:1(>o7:21`?k5f?3;?76a<2483>!5f0398o6`=h;;>1<7*5<#;h21?>m4n2c4>41<3f99>7>5$2c;>65d3g9j;7?7;:m064<72-9j47=50;&0e=<4;j1e?l951`98k67a290/?l6532a8j6g028h07b=>d;29 6g?2:9h7c=n7;3`?>i49j0;6)=n8;10g>h4i>0:h65`30`94?"4i108?n5a3`595`==l;o1b3?4732e8=54?:%1b?9:18'7d>=;:i0b>o8:318?j56=3:1(>o7:21`?k5f?38?76a<1583>!5f0398o6`=h;=91<7*;54o261>5<#;h21?>m4n2c4>71<3f9?=7>5$2c;>65d3g9j;7<7;:m005<72-9j47=h50;&0e=<4;j1e?l952`98k65b290/?l6532a8j6g02;h07b=<4;29 6g?2:9h7c=n7;0`?>i4:00;6)=n8;10g>h4i>09h65`30g94?"4i108?n5a3`596`=>k;o1b3?6<3`9;o7>5$2c;>66c3g9j;7?4;h13f?6=,:k36>>k;o1b3?4<3`9;m7>5$2c;>66c3g9j;7=4;h13=?6=,:k36>>k;o1b3?2<3`9;47>5$2c;>66c3g9j;7;4;h133?6=,:k36>>k;o1b3?0<3`9;:7>5$2c;>66c3g9j;794;h30g?6=,:k36<=m;o1b3?6<3`;8m7>5$2c;>45e3g9j;7?4;h30=?6=,:k36<=m;o1b3?4<3`;847>5$2c;>45e3g9j;7=4;h303?6=,:k36<=m;o1b3?2<3`;8:7>5$2c;>45e3g9j;7;4;h301?6=,:k36<=m;o1b3?0<3`;887>5$2c;>45e3g9j;794;h371?6=,:k36<=m;o1b3?><3`;?87>5$2c;>45e3g9j;774;h377?6=,:k36<=m;o1b3?g<3`;?>7>5$2c;>45e3g9j;7l4;h375?6=,:k36<=m;o1b3?e<3`;?<7>5$2c;>45e3g9j;7j4;h30b?6=,:k36<=m;o1b3?c<3`;8i7>5$2c;>45e3g9j;7h4;h30`?6=,:k36<=m;o1b3?7732c:?>4?:%1b1:9l7d6=83.8m54<9g9m7d1=821d?4k50;&0e=<41o1e?l951:9l721d?4650;&0e=<41o1e?l957:9l7<0=83.8m54<9g9m7d1=021d?4;50;&0e=<41o1e?l959:9l7<2=83.8m54<9g9m7d1=i21d?4=50;&0e=<41o1e?l95b:9l7<4=83.8m54<9g9m7d1=k21d?4?50;&0e=<41o1e?l95d:9l7<6=83.8m54<9g9m7d1=m21d?5h50;&0e=<41o1e?l95f:9l7=c=83.8m54<9g9m7d1=9910c>6k:18'7d>=;0l0b>o8:038?j5?j3:1(>o7:2;e?k5f?3;976a<8`83>!5f0392j6`=h;131<7*5<#;h21?4h4n2c4>43<3f93;7>5$2c;>6?a3g9j;7?9;:m0<3<72-9j47=6f:l0e2<6?21d?5;50;&0e=<41o1e?l951998k6>3290/?l6538d8j6g028307b=73;29 6g?2:3m7c=n7;3b?>i40;0;6)=n8;1:b>h4i>0:n65`39294?"4i1085k5a3`595f=7i;o1b3?7b32e8;i4?:%1bn2d8m:4>f:9l72e=83.8m54<9g9m7d1=:910c>9m:18'7d>=;0l0b>o8:338?j50i3:1(>o7:2;e?k5f?38976a<7883>!5f0392j6`=h;>21<7*954o254>5<#;h21?4h4n2c4>73<3f9j:7>5$2c;>6?a3g9j;7<9;:m0e0<72-9j47=6f:l0e2<5?21d?l:50;&0e=<41o1e?l952998k6g4290/?l6538d8j6g02;307b=n2;29 6g?2:3m7c=n7;0b?>i4i80;6)=n8;1:b>h4i>09n65`38594?"4i1085k5a3`596f=7i;o1b3?4b32e8;;4?:%1bn2d8m:4=f:9j=6<72-9j477=;o1b3?6<3`3:6=4+3`:9=7=i;h=1=65f9183>!5f03397c=n7;08?l>a290/?l65939m7d1=;21b4h4?:%1b5<#;h215?5a3`591>=n0j0;6)=n8;;1?k5f?3<07d6m:18'7d>=1;1e?l957:9j=f<72-9j477=;o1b3?><3`3i6=4+3`:9=7=i;h=1565f9`83>!5f03397c=n7;c8?l?>290/?l65939m7d1=j21b554?:%1b5<#;h215?5a3`59`>=n1?0;6)=n8;;1?k5f?3o07d7::18'7d>=1;1e?l95f:9j=1<72-9j477=;o1b3?7732c3m7>5$2c;><45$2c;>72?3g9j;7?4;n072?6=,:k36?:7;o1b3?4<3f8?97>5$2c;>72?3g9j;7=4;n070?6=,:k36?:7;o1b3?2<3f8??7>5$2c;>72?3g9j;7;4;n075?6=,:k36?:7;o1b3?0<3f8?<7>5$2c;>72?3g9j;794;n00b?6=,:k36?:7;o1b3?><3f88i7>5$2c;>72?3g9j;774;n00`?6=,:k36?:7;o1b3?g<3f88o7>5$2c;>72?3g9j;7l4;n00f?6=,:k36?:7;o1b3?e<3f88m7>5$2c;>72?3g9j;7j4;n00=?6=,:k36?:7;o1b3?c<3f8847>5$2c;>72?3g9j;7h4;n002?6=,:k36?:7;o1b3?7732e9?84?:%1b1:9l662=83.8m54=499m7d1=9;10c?=<:18'7d>=:=20b>o8:018?j44:3:1(>o7:36;?k5f?3;?76a=3083>!5f038?46`=h:::1<7*5<#;h21>964n2c4>41<3f89i7>5$2c;>72?3g9j;7?7;:m16a<72-9j47<;8:l0e2<6121d>8>50;&0e=<5<11e?l951`98k72a290/?l6525:8j6g028h07b<;e;29 6g?2;>37c=n7;3`?>i5h4i>0:h65`25a94?"4i109855a3`595`=i6=4+3`:961>=:=20b>o8:318?le>290/?l65c99m7d1=82B8nn54ib594?"4i10h46`od=3:1(>o7:b:8j6g02:1C?om4;ha7>5<#;h21o55a3`590>N4jj10en=50;&0e==nk;0;6)=n8;a;?k5f?3<0D>ll;:k`5?6=,:k36n64n2c4>2=O;ki07dj=:18'7d>=k11e?l958:J0ff=h4i>027E=mc:9j`5<72-9j47m7;o1b3?g<@:hh76glf;29 6g?2j20b>o8:c9K7ge<3`in6=4+3`:9g==i;h=1o6F!5f03i37c=n7;g8L6dd32chn7>5$2c;>f>5<#;h21o55a3`5954=O;ki07pl;e183>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4d394?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5g1>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7a1<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0`3=83;>m7>50z&0g4<58h1C?nl4H2a0?_c02kq3=7?9:6092`<6:3;:6;m570827?772==1q)=>0;3a6f=i0<0;7c68:09meg<63gkh6<5a10`94>"69j08<85a10d94>h6;90:7c84?::k0f6<722c:>54?::k266<722e:=h4?::k0f0<722c8n94?::k26f<722c8n=4?::k262<722c:>?4?::k25d<722c:>44?::k264<722e:=i4?::k0ec<722c8n;4?::ka2?6=,:k36o;4n2c4>5=1<7*h4i>0:76gm3;29 6g?2k?0b>o8:398mg4=83.8m54m5:l0e2<432ci=7>5$2c;>g3ofn3:1(>o7:c78j6g02?10elk50;&0e===h4i>0276gmd;29 6g?2k?0b>o8:`98mge=83.8m54m5:l0e25$2c;>g3oe13:1(>o7:c78j6g02l10eo650;&0e=46<3`ko6=4+3`:9f0=i;h=1=<54oea94?"4i10on6`ici3:1(>o7:e`8j6g02810ci750;&0e=6=h4i>0?76ak6;29 6g?2mh0b>o8:498ka3=83.8m54kb:l0e2<132eo87>5$2c;>adib<3:1(>o7:e`8j6g02010ch=50;&0e=g=h4i>0h76aj0;29 6g?2mh0b>o8:e98ka`=83.8m54kb:l0e25$2c;>ad=hl:0;6)=n8;fa?k5f?3;:76g<6g83>!5f039=i6`o4>m0;6)=n8;15a>h4i>0:76g<6b83>!5f039=i6`o4>k0;6)=n8;15a>h4i>0876g<6`83>!5f039=i6`o4>00;6)=n8;15a>h4i>0>76g<6983>!5f039=i6`o4>>0;6)=n8;15a>h4i>0<76g<6483>!5f039=i6`o4>=0;6)=n8;15a>h4i>0276g<6283>!5f039=i6`o4>;0;6)=n8;15a>h4i>0i76g<6083>!5f039=i6`o4>90;6)=n8;15a>h4i>0o76g<5g83>!5f039=i6`o4=l0;6)=n8;15a>h4i>0m76g<5e83>!5f039=i6`=n;5<#;h21?;k4n2c4>44<3`9>57>5$2c;>60b3g9j;7?<;:k01=<72-9j47=9e:l0e2<6<21b?8950;&0e=<4>l1e?l951498m631290/?l6537g8j6g028<07d=:5;29 6g?2:o4==0;6)=n8;15a>h4i>0:465f34194?"4i108:h5a3`595<=8j;o1b3?7e32c88k4?:%1bc:9j71c=83.8m54<6d9m7d1=9m10e>:k:18'7d>=;?o0b>o8:0g8?l53k3:1(>o7:24f?k5f?3;m76g<4c83>!5f039=i6`=n;=k1<7*<54i26:>5<#;h21?;k4n2c4>74<3`9?47>5$2c;>60b3g9j;7<<;:k002<72-9j47=9e:l0e2<5<21b?9850;&0e=<4>l1e?l952498m612290/?l6537g8j6g02;<07d=84;29 6g?2:o4?:0;6)=n8;15a>h4i>09465f36094?"4i108:h5a3`596<=8j;o1b3?4e32c8:;4?:%1b;?:18'7d>=;?o0b>o8:3g8?l53=3:1(>o7:24f?k5f?38m76g>1283>!5f03;:>6`o6980;6)=n8;326>h4i>0:76g>1183>!5f03;:>6`o68o0;6)=n8;326>h4i>0876g>0d83>!5f03;:>6`o68m0;6)=n8;326>h4i>0>76g>0b83>!5f03;:>6`o68k0;6)=n8;326>h4i>0<76g>0883>!5f03;:>6`o6810;6)=n8;326>h4i>0276g>0683>!5f03;:>6`o68?0;6)=n8;326>h4i>0i76g>0483>!5f03;:>6`o68=0;6)=n8;326>h4i>0o76g>0283>!5f03;:>6`o68;0;6)=n8;326>h4i>0m76g>0083>!5f03;:>6`=n99:1<7*54iga94?"4i10:=?5a3`5951=<54idf94?"4i10:=?5a3`5967=>54id`94?"4i10:=?5a3`5961=854i03:>5<#;h21=<<4n2c4>70<3`;:47>5$2c;>4753g9j;7<8;:k252<72-9j47?>2:l0e2<5021b=<850;&0e=<69;1e?l952898m472290/?l651008j6g02;k07d?>4;29 6g?28;97c=n7;0a?>o68h0;6)=n8;326>h4i>09o65ffg83>!5f03;:>6`=nn=0;6)=n8;326>h4i>09i65fe883>!5f03;:>6`=h;:n1<7*=h;:k1<7*65`32;94?"4i108?n5a3`597>=h;:21<7*=h;:<1<7*=h;:91<7*=h;:;1<7*=h;;l1<7*=h;;n1<7*=h;;h1<7*5<#;h21?>m4n2c4>47<3f9947>5$2c;>65d3g9j;7?=;:m062<72-9j47=i4::0;6)=n8;10g>h4i>0:;65`33094?"4i108?n5a3`595===l;o1b3?7f32e8=k4?:%1bb:9l74b=83.8m54<3b9m7d1=9j10c>?l:18'7d>=;:i0b>o8:0f8?j56j3:1(>o7:21`?k5f?3;n76a<1`83>!5f0398o6`=h;831<7*=54o23;>5<#;h21?>m4n2c4>77<3f9:;7>5$2c;>65d3g9j;7<=;:m053<72-9j47=i4<;0;6)=n8;10g>h4i>09;65`35394?"4i108?n5a3`596==;6=4+3`:976e=l;o1b3?4f32e8?h4?:%1b<6:18'7d>=;:i0b>o8:3f8?j56m3:1(>o7:21`?k5f?38n76a<1283>!5f0398o6`=n;9o1<7*=n;9h1<7*65f31c94?"4i108=n;931<7*=n;9=1<7*=n9:i1<7*=n9:31<7*65f12:94?"4i10:?o5a3`597>=n9:=1<7*=n9:?1<7*=n9=?1<7*=n9=91<7*=n9=;1<7*=n9:l1<7*=n9:n1<7*5<#;h21=>l4n2c4>47<3f9j<7>5$2c;>6?a3g9j;7>4;n1:a?6=,:k36>7i;o1b3?7<3f92h7>5$2c;>6?a3g9j;7<4;n1:g?6=,:k36>7i;o1b3?5<3f92n7>5$2c;>6?a3g9j;7:4;n1:e?6=,:k36>7i;o1b3?3<3f9257>5$2c;>6?a3g9j;784;n1:7i;o1b3?1<3f92:7>5$2c;>6?a3g9j;764;n1:1?6=,:k36>7i;o1b3??<3f9287>5$2c;>6?a3g9j;7o4;n1:7?6=,:k36>7i;o1b3?d<3f92>7>5$2c;>6?a3g9j;7m4;n1:5?6=,:k36>7i;o1b3?b<3f92<7>5$2c;>6?a3g9j;7k4;n1;b?6=,:k36>7i;o1b3?`<3f93i7>5$2c;>6?a3g9j;7??;:m0f290/?l6538d8j6g028907b=79;29 6g?2:3m7c=n7;37?>i4010;6)=n8;1:b>h4i>0:965`39594?"4i1085k5a3`5953=7i;o1b3?7?32e8494?:%1bn2d8m:4>9:9l7=5=83.8m54<9g9m7d1=9h10c>6=:18'7d>=;0l0b>o8:0`8?j5?83:1(>o7:2;e?k5f?3;h76a<7g83>!5f0392j6`=h;>o1<7*5<#;h21?4h4n2c4>4`<3f95$2c;>6?a3g9j;7290/?l6538d8j6g02;907b=88;29 6g?2:3m7c=n7;07?>i4?>0;6)=n8;1:b>h4i>09965`3`494?"4i1085k5a3`5963=6=4+3`:97<`7i;o1b3?4?32e8m>4?:%1bn2d8m:4=9:9l7d4=83.8m54<9g9m7d1=:h10c>o>:18'7d>=;0l0b>o8:3`8?j5>?3:1(>o7:2;e?k5f?38h76a<8b83>!5f0392j6`=h;1;1<7*h54o255>5<#;h21?4h4n2c4>7`<3`386=4+3`:9=7=i;h=1<65f9083>!5f03397c=n7;38?l?7290/?l65939m7d1=:21b4k4?:%1b5<#;h215?5a3`590>=n0m0;6)=n8;;1?k5f?3?07d6l:18'7d>=1;1e?l956:9j!5f03397c=n7;;8?l?f290/?l65939m7d1=i21b544?:%1b5<#;h215?5a3`59g>=n1>0;6)=n8;;1?k5f?3n07d79:18'7d>=1;1e?l95e:9j=0<72-9j477=;o1b3?`<3`3?6=4+3`:9=7=i;h=1==54i9c94?"4i102>6`=h:=31<7*=h:=<1<7*65`25794?"4i109855a3`597>=h:=>1<7*=h:=;1<7*=h::l1<7*=h::n1<7*=h::h1<7*=h::31<7*=h::<1<7*5<#;h21>964n2c4>47<3f8887>5$2c;>72?3g9j;7?=;:m176<72-9j47<;8:l0e2<6;21d>><50;&0e=<5<11e?l951598k756290/?l6525:8j6g028?07b<<0;29 6g?2;>37c=n7;35?>i5:o0;6)=n8;07<>h4i>0:;65`23g94?"4i109855a3`595==b:9l61c=83.8m54=499m7d1=9j10c?:k:18'7d>=:=20b>o8:0f8?j43k3:1(>o7:36;?k5f?3;n76a=4c83>!5f038?46`=h:=k1<7*=54o361>5<#;h21>964n2c4>77<3f88;7>5$2c;>72?3g9j;7<=;:m16f<72-9j47<;8:l0e2<5;21bo44?:%1b4H2``?>od?3:1(>o7:b:8j6g0281C?om4;ha5>5<#;h21o55a3`596>N4jj10en;50;&0e==nk=0;6)=n8;a;?k5f?3>0D>ll;:k`7?6=,:k36n64n2c4>0=O;ki07dm=:18'7d>=k11e?l956:J0ff=h4i>0<7E=mc:9j`7<72-9j47m7;o1b3?><@:hh76gk1;29 6g?2j20b>o8:89K7ge<3`n;6=4+3`:9g==i;h=1m6F!5f03i37c=n7;a8L6dd32chh7>5$2c;>f>=nk90;6)=n8;a;?k5f?3;:7E=mc:9~f1c1290:9l4?:1y'7f7=:9k0D>mm;I1`7>\b?3hp4<4>6;51>3c=9;0:=78l:63956<683><6p*<1182f7e5=#98i1?=;4n03e>5=i9::1=6`=0882?k4293;0b>>i:09m7dg=92.8n:450;9j571=831b=?<50;9j54g=831b=?750;9j577=831d=!5f03h>7c=n7;08?ld5290/?l65b49m7d1=;21bn<4?:%1b5<#;h21n85a3`591>=nio0;6)=n8;`6?k5f?3<07doj:18'7d>=j<1e?l957:9jfc<72-9j47l:;o1b3?><3`hn6=4+3`:9f0=i;h=1565fbe83>!5f03h>7c=n7;c8?ldd290/?l65b49m7d1=j21bno4?:%1b5<#;h21n85a3`59`>=nj00;6)=n8;`6?k5f?3o07dl7:18'7d>=j<1e?l95f:9jf2<72-9j47l:;o1b3?7732cjh7>5$2c;>g35<#;h21ho5a3`594>=hlh0;6)=n8;fa?k5f?3;07bj6:18'7d>=lk1e?l952:9l`=<72-9j47jm;o1b3?5<3fn<6=4+3`:9`g=i;h=1865`d783>!5f03ni7c=n7;78?jb2290/?l65dc9m7d1=>21dh94?:%1b5<#;h21ho5a3`59<>=hm=0;6)=n8;fa?k5f?3307bk<:18'7d>=lk1e?l95a:9la7<72-9j47jm;o1b3?d<3fo:6=4+3`:9`g=i;h=1o65`e183>!5f03ni7c=n7;f8?jba290/?l65dc9m7d1=m21dhh4?:%1b5<#;h21ho5a3`5955=h4i>0:=65f37d94?"4i108:h5a3`594>=n;?n1<7*=n;?h1<7*=n;?31<7*=n;?=1<7*=n;?>1<7*=n;?81<7*=n;?:1<7*=n;8j;o1b3?7532c8944?:%1b3:9j70>=83.8m54<6d9m7d1=9=10e>;8:18'7d>=;?o0b>o8:078?l52>3:1(>o7:24f?k5f?3;=76g<5483>!5f039=i6`=n;<>1<7*5<#;h21?;k4n2c4>4?<3`9>>7>5$2c;>60b3g9j;7?n;:k014<72-9j47=9e:l0e2<6j21b?9h50;&0e=<4>l1e?l951b98m62b290/?l6537g8j6g028n07d=;d;29 6g?2:o4h4i>0:j65f35`94?"4i108:h5a3`5965=j6=4+3`:973c8j;o1b3?4532c8854?:%1b:9:18'7d>=;?o0b>o8:378?l50=3:1(>o7:24f?k5f?38=76g<7583>!5f039=i6`=n;>91<7*554i251>5<#;h21?;k4n2c4>7?<3`9<=7>5$2c;>60b3g9j;7l1e?l952b98m63e290/?l6537g8j6g02;n07d=:0;29 6g?2:o4<<0;6)=n8;15a>h4i>09j65f10194?"4i10:=?5a3`594>=n98;1<7*=n99l1<7*=n99n1<7*=n99h1<7*=n9921<7*=n99<1<7*=n99>1<7*=n9981<7*5<#;h21=<<4n2c4>44<3`lo6=4+3`:95445<#;h21=<<4n2c4>42<3`li6=4+3`:95445<#;h21=<<4n2c4>40<3`l26=4+3`:95445<#;h21=<<4n2c4>4><3`l<6=4+3`:95445<#;h21=<<4n2c4>4g<3`l>6=4+3`:95445<#;h21=<<4n2c4>4e<3`l96=4+3`:95445<#;h21=<<4n2c4>4c<3`l;6=4+3`:95445<#;h21=<<4n2c4>76<3`on6=4+3`:95445<#;h21=<<4n2c4>74<3`oh6=4+3`:95445<#;h21=<<4n2c4>72<3`oj6=4+3`:9544139m7d1=:110e=9880b>o8:3;8?l76=3:1(>o7:031?k5f?38j76g>1583>!5f03;:>6`=n99k1<7*n54igd94?"4i10:=?5a3`596a=1<7*h54id;94?"4i10:=?5a3`596c=5<#;h21?>m4n2c4>4=5<#;h21?>m4n2c4>6=5<#;h21?>m4n2c4>0=5<#;h21?>m4n2c4>2=5<#;h21?>m4n2c4><=5<#;h21?>m4n2c4>g=5<#;h21?>m4n2c4>a=5<#;h21?>m4n2c4>c=4;n11e?6=,:k36>=l;o1b3?7632e8>54?:%1b2:9l771=83.8m54<3b9m7d1=9:10c><9:18'7d>=;:i0b>o8:068?j55=3:1(>o7:21`?k5f?3;>76a<2583>!5f0398o6`=h;;91<7*5<#;h21?>m4n2c4>4><3f99=7>5$2c;>65d3g9j;7?6;:m065<72-9j47=c;29 6g?2:9h7c=n7;3g?>i49k0;6)=n8;10g>h4i>0:i65`30c94?"4i108?n5a3`595c=4;n12=l;o1b3?4632e8=:4?:%1b?::18'7d>=;:i0b>o8:368?j56<3:1(>o7:21`?k5f?38>76a<4283>!5f0398o6`=h;=81<7*:54o262>5<#;h21?>m4n2c4>7><3f9?<7>5$2c;>65d3g9j;7<6;:m07c<72-9j47=k50;&0e=<4;j1e?l952c98k653290/?l6532a8j6g02;i07b==9;29 6g?2:9h7c=n7;0g?>i49l0;6)=n8;10g>h4i>09i65`30194?"4i108?n5a3`596c=5<#;h21?=j4n2c4>4=5<#;h21?=j4n2c4>6=5<#;h21?=j4n2c4>0=5<#;h21?=j4n2c4>2=5<#;h21=>l4n2c4>4=5<#;h21=>l4n2c4>6=5<#;h21=>l4n2c4>0=6=4+3`:956d5<#;h21=>l4n2c4>2=>6=4+3`:956d5<#;h21=>l4n2c4><=86=4+3`:956d5<#;h21=>l4n2c4>g=:6=4+3`:956d5<#;h21=>l4n2c4>a=5<#;h21=>l4n2c4>c=4;h307?6=,:k36<=m;o1b3?7632e8m=4?:%1bn2d8m:4?;:m0=`<72-9j47=6f:l0e2<632e85i4?:%1bn2d8m:4=;:m0=f<72-9j47=6f:l0e2<432e85o4?:%1bn2d8m:4;;:m0=d<72-9j47=6f:l0e2<232e8544?:%1bn2d8m:49;:m0==<72-9j47=6f:l0e2<032e85;4?:%1bn2d8m:47;:m0=0<72-9j47=6f:l0e2<>32e8594?:%1bn2d8m:4n;:m0=6<72-9j47=6f:l0e2n2d8m:4l;:m0=4<72-9j47=6f:l0e2n2d8m:4j;:m0n2d8m:4>0:9l7=b=83.8m54<9g9m7d1=9810c>6m:18'7d>=;0l0b>o8:008?j5?i3:1(>o7:2;e?k5f?3;876a<8883>!5f0392j6`=h;121<7*5<#;h21?4h4n2c4>40<3f93:7>5$2c;>6?a3g9j;7?8;:m0<0<72-9j47=6f:l0e2<6021d?5:50;&0e=<41o1e?l951898k6>4290/?l6538d8j6g028k07b=72;29 6g?2:3m7c=n7;3a?>i4090;6)=n8;1:b>h4i>0:o65`36d94?"4i1085k5a3`595a=7i;o1b3?7a32e8;n4?:%1bn2d8m:4=0:9l72d=83.8m54<9g9m7d1=:810c>9n:18'7d>=;0l0b>o8:308?j5013:1(>o7:2;e?k5f?38876a<7983>!5f0392j6`=h;>=1<7*854o2c5>5<#;h21?4h4n2c4>70<3f9j97>5$2c;>6?a3g9j;7<8;:m0e1<72-9j47=6f:l0e2<5021d?l=50;&0e=<41o1e?l952898k6g5290/?l6538d8j6g02;k07b=n1;29 6g?2:3m7c=n7;0a?>i41>0;6)=n8;1:b>h4i>09o65`39a94?"4i1085k5a3`596a=7i;o1b3?4a32c2?7>5$2c;><46`o>83:1(>o7:808j6g02;10e5h50;&0e=<>:2d8m:4<;:k;a?6=,:k364<4n2c4>1=h4i>0>76g7c;29 6g?2080b>o8:798m=d=83.8m5462:l0e2<032c2o7>5$2c;><46`o>i3:1(>o7:808j6g02h10e4750;&0e=<>:2d8m:4m;:k:f=h4i>0o76g66;29 6g?2080b>o8:d98m<3=83.8m5462:l0e25$2c;><44;h:b>5<#;h215?5a3`5954=26=4+3`:961>5<#;h21>964n2c4>4==6=4+3`:961>5<#;h21>964n2c4>6=?6=4+3`:961>5<#;h21>964n2c4>0=:6=4+3`:961>5<#;h21>964n2c4>2=5<#;h21>964n2c4><=5<#;h21>964n2c4>g=5<#;h21>964n2c4>a=5<#;h21>964n2c4>c=4;n001?6=,:k36?:7;o1b3?7632e9?94?:%1b2:9l665=83.8m54=499m7d1=9:10c?==:18'7d>=:=20b>o8:068?j4493:1(>o7:36;?k5f?3;>76a=3183>!5f038?46`=h:;l1<7*5<#;h21>964n2c4>4><3f89h7>5$2c;>72?3g9j;7?6;:m115<72-9j47<;8:l0e2<6i21d>9h50;&0e=<5<11e?l951c98k72b290/?l6525:8j6g028i07b<;d;29 6g?2;>37c=n7;3g?>i5h4i>0:i65`25`94?"4i109855a3`595c=j6=4+3`:961>4;n076?6=,:k36?:7;o1b3?4632e9?:4?:%1b=nk>0;6)=n8;a;?k5f?3;0D>ll;:k`2?6=,:k36n64n2c4>7=O;ki07dm::18'7d>=k11e?l953:J0ff=1<7*h4i>0?7E=mc:9jg6<72-9j47m7;o1b3?3<@:hh76gl2;29 6g?2j20b>o8:79K7ge<3`i:6=4+3`:9g==i;h=1;6F!5f03i37c=n7;;8L6dd32co<7>5$2c;>f>odk3:1(>o7:b:8j6g02l1C?om4;haa>5<#;h21o55a3`59b>N4jj10eno50;&0e=0:J0ff=h4i>0:=6F0iw5?517846?0b2881=<49c;52>45=990?;7s+30295g4d3g2>6=5a8682?kge281emn4>;o32f?6<,8;h6>>:;o32b?6h5=80:7c=?f;38j6gf281/?o953b28m4432900e>l>:188m6d52900e<<::188m6d42900e<<7:188m4442900cl;:188m44d2900e>l?:188m4402900e<<=:188m47f2900e<<6:188m4462900cl9:188mg0=83.8m54m5:l0e2<732ci87>5$2c;>g3oe:3:1(>o7:c78j6g02:10eo?50;&0e=0=h4i>0=76gne;29 6g?2k?0b>o8:698mg`=83.8m54m5:l0e25$2c;>g3oek3:1(>o7:c78j6g02k10eol50;&0e=a=h4i>0n76gm8;29 6g?2k?0b>o8:g98mg1=83.8m54m5:l0e2<6821bmi4?:%1b;:mgg?6=,:k36il4n2c4>5=h4i>0:76ak9;29 6g?2mh0b>o8:398ka>=83.8m54kb:l0e2<432eo;7>5$2c;>adic=3:1(>o7:e`8j6g02?10ci:50;&0e===1<7*h4i>0276aj3;29 6g?2mh0b>o8:`98k`4=83.8m54kb:l0e25$2c;>adicn3:1(>o7:e`8j6g02l10cik50;&0e=46<3fn86=4+3`:9`g=i;h=1=<54i24e>5<#;h21?;k4n2c4>5=5<#;h21?;k4n2c4>7=54i24b>5<#;h21?;k4n2c4>1=5<#;h21?;k4n2c4>3=5<#;h21?;k4n2c4>==5<#;h21?;k4n2c4>d=5<#;h21?;k4n2c4>f=5<#;h21?;k4n2c4>`=5<#;h21?;k4n2c4>46<3`9>o7>5$2c;>60b3g9j;7?>;:k01d<72-9j47=9e:l0e2<6:21b?8750;&0e=<4>l1e?l951298m63?290/?l6537g8j6g028>07d=:7;29 6g?2:o4=?0;6)=n8;15a>h4i>0::65f34794?"4i108:h5a3`5952=8j;o1b3?7>32c89?4?:%1ba:9j707=83.8m54<6d9m7d1=9k10e>:i:18'7d>=;?o0b>o8:0a8?l53m3:1(>o7:24f?k5f?3;o76g<4e83>!5f039=i6`=n;=i1<7*5<#;h21?;k4n2c4>76<3`9?m7>5$2c;>60b3g9j;7<>;:k00<<72-9j47=9e:l0e2<5:21b?9650;&0e=<4>l1e?l952298m620290/?l6537g8j6g02;>07d=;6;29 6g?2:o4?<0;6)=n8;15a>h4i>09:65f36694?"4i108:h5a3`5962=8j;o1b3?4>32c8;<4?:%1b89:18'7d>=;?o0b>o8:3a8?l52j3:1(>o7:24f?k5f?38o76g<5183>!5f039=i6`=n;=?1<7*k54i030>5<#;h21=<<4n2c4>5=5<#;h21=<<4n2c4>7=54i02f>5<#;h21=<<4n2c4>1=5<#;h21=<<4n2c4>3=5<#;h21=<<4n2c4>==5<#;h21=<<4n2c4>d=5<#;h21=<<4n2c4>f=5<#;h21=<<4n2c4>`=5<#;h21=<<4n2c4>46<3`;;<7>5$2c;>4753g9j;7?>;:kea?6=,:k365$2c;>4753g9j;7?<;:keg?6=,:k365$2c;>4753g9j;7?:;:kee?6=,:k365$2c;>4753g9j;7?8;:ke5$2c;>4753g9j;7?6;:ke2?6=,:k365$2c;>4753g9j;7?m;:ke7?6=,:k367>5$2c;>4753g9j;7?k;:ke5?6=,:k365$2c;>4753g9j;7?i;:kfb?6=,:k365$2c;>4753g9j;7<>;:kf`?6=,:k365$2c;>4753g9j;7<<;:kff?6=,:k365$2c;>4753g9j;7<:;:k25<<72-9j47?>2:l0e2<5>21b=<650;&0e=<69;1e?l952698m470290/?l651008j6g02;207d?>6;29 6g?28;97c=n7;0:?>o69<0;6)=n8;326>h4i>09m65f10694?"4i10:=?5a3`596g=5<#;h21=<<4n2c4>7b<3`l?6=4+3`:95445<#;h21=<<4n2c4>7`<3f98h7>5$2c;>65d3g9j;7>4;n10f?6=,:k36>=l;o1b3?7<3f98m7>5$2c;>65d3g9j;7<4;n10=?6=,:k36>=l;o1b3?5<3f9847>5$2c;>65d3g9j;7:4;n103?6=,:k36>=l;o1b3?3<3f98:7>5$2c;>65d3g9j;784;n101?6=,:k36>=l;o1b3?1<3f98?7>5$2c;>65d3g9j;764;n106?6=,:k36>=l;o1b3??<3f98=7>5$2c;>65d3g9j;7o4;n104?6=,:k36>=l;o1b3?d<3f99j7>5$2c;>65d3g9j;7m4;n11a?6=,:k36>=l;o1b3?b<3f99h7>5$2c;>65d3g9j;7k4;n11g?6=,:k36>=l;o1b3?`<3f99n7>5$2c;>65d3g9j;7??;:m06d<72-9j47=i4:<0;6)=n8;10g>h4i>0:965`33694?"4i108?n5a3`5953==l;o1b3?7?32e8><4?:%1b9:9l776=83.8m54<3b9m7d1=9h10c>?i:18'7d>=;:i0b>o8:0`8?j56l3:1(>o7:21`?k5f?3;h76a<1b83>!5f0398o6`=h;8h1<7*5<#;h21?>m4n2c4>4`<3f9:57>5$2c;>65d3g9j;75;29 6g?2:9h7c=n7;07?>i49=0;6)=n8;10g>h4i>09965`35194?"4i108?n5a3`5963=96=4+3`:976e=l;o1b3?4?32e88=4?:%1b=j:18'7d>=;:i0b>o8:3`8?j54<3:1(>o7:21`?k5f?38h76a<2883>!5f0398o6`=h;8o1<7*h54o230>5<#;h21?>m4n2c4>7`<3`9;i7>5$2c;>66c3g9j;7>4;h13g?6=,:k36>>k;o1b3?7<3`9;n7>5$2c;>66c3g9j;7<4;h13e?6=,:k36>>k;o1b3?5<3`9;57>5$2c;>66c3g9j;7:4;h13>k;o1b3?3<3`9;;7>5$2c;>66c3g9j;784;h132?6=,:k36>>k;o1b3?1<3`;8o7>5$2c;>45e3g9j;7>4;h30e?6=,:k36<=m;o1b3?7<3`;857>5$2c;>45e3g9j;7<4;h305$2c;>45e3g9j;7:4;h302?6=,:k36<=m;o1b3?3<3`;897>5$2c;>45e3g9j;784;h300?6=,:k36<=m;o1b3?1<3`;?97>5$2c;>45e3g9j;764;h370?6=,:k36<=m;o1b3??<3`;??7>5$2c;>45e3g9j;7o4;h376?6=,:k36<=m;o1b3?d<3`;?=7>5$2c;>45e3g9j;7m4;h374?6=,:k36<=m;o1b3?b<3`;8j7>5$2c;>45e3g9j;7k4;h30a?6=,:k36<=m;o1b3?`<3`;8h7>5$2c;>45e3g9j;7??;:k276<72-9j47?50;&0e=<41o1e?l950:9l7=83.8m54<9g9m7d1=?21d?4850;&0e=<41o1e?l958:9l7<3=83.8m54<9g9m7d1=121d?4:50;&0e=<41o1e?l95a:9l7<5=83.8m54<9g9m7d1=j21d?4<50;&0e=<41o1e?l95c:9l7<7=83.8m54<9g9m7d1=l21d?4>50;&0e=<41o1e?l95e:9l7=`=83.8m54<9g9m7d1=n21d?5k50;&0e=<41o1e?l951198k6>c290/?l6538d8j6g028;07b=7b;29 6g?2:3m7c=n7;31?>i40h0;6)=n8;1:b>h4i>0:?65`39;94?"4i1085k5a3`5951=7i;o1b3?7132e84;4?:%1bn2d8m:4>7:9l7=3=83.8m54<9g9m7d1=9110c>6;:18'7d>=;0l0b>o8:0;8?j5?;3:1(>o7:2;e?k5f?3;j76a<8383>!5f0392j6`=h;1:1<7*5<#;h21?4h4n2c4>4b<3f95$2c;>6?a3g9j;7?j;:m03a<72-9j47=6f:l0e2<6n21d?:m50;&0e=<41o1e?l952198k61e290/?l6538d8j6g02;;07b=8a;29 6g?2:3m7c=n7;01?>i4?00;6)=n8;1:b>h4i>09?65`36:94?"4i1085k5a3`5961=7i;o1b3?4132e8m84?:%1bn2d8m:4=7:9l7d2=83.8m54<9g9m7d1=:110c>o<:18'7d>=;0l0b>o8:3;8?j5f:3:1(>o7:2;e?k5f?38j76a!5f0392j6`=h;0=1<7*n54o2:`>5<#;h21?4h4n2c4>7b<3f93=7>5$2c;>6?a3g9j;74?:%1b4;h;2>5<#;h215?5a3`595>=n190;6)=n8;;1?k5f?3807d6i:18'7d>=1;1e?l953:9j<`<72-9j477=;o1b3?2<3`2o6=4+3`:9=7=i;h=1965f8b83>!5f03397c=n7;48?l>e290/?l65939m7d1=?21b5n4?:%1b5<#;h215?5a3`59=>=n1h0;6)=n8;;1?k5f?3k07d76:18'7d>=1;1e?l95b:9j==<72-9j477=;o1b3?e<3`3<6=4+3`:9=7=i;h=1h65f9783>!5f03397c=n7;g8?l?2290/?l65939m7d1=n21b594?:%1b47<3f8?57>5$2c;>72?3g9j;7>4;n073?6=,:k36?:7;o1b3?7<3f8?:7>5$2c;>72?3g9j;7<4;n071?6=,:k36?:7;o1b3?5<3f8?87>5$2c;>72?3g9j;7:4;n077?6=,:k36?:7;o1b3?3<3f8?=7>5$2c;>72?3g9j;784;n074?6=,:k36?:7;o1b3?1<3f88j7>5$2c;>72?3g9j;764;n00a?6=,:k36?:7;o1b3??<3f88h7>5$2c;>72?3g9j;7o4;n00g?6=,:k36?:7;o1b3?d<3f88n7>5$2c;>72?3g9j;7m4;n00e?6=,:k36?:7;o1b3?b<3f8857>5$2c;>72?3g9j;7k4;n005$2c;>72?3g9j;7??;:m170<72-9j47<;8:l0e2<6921d>>:50;&0e=<5<11e?l951398k754290/?l6525:8j6g028907b<<2;29 6g?2;>37c=n7;37?>i5;80;6)=n8;07<>h4i>0:965`22294?"4i109855a3`5953=i4?:%1b9:9l606=83.8m54=499m7d1=9h10c?:i:18'7d>=:=20b>o8:0`8?j43m3:1(>o7:36;?k5f?3;h76a=4e83>!5f038?46`=h:=i1<7*5<#;h21>964n2c4>4`<3f8?m7>5$2c;>72?3g9j;7>950;&0e=<5<11e?l952398k74d290/?l6525:8j6g02;907dm6:18'7d>=k11e?l950:J0ff=h4i>0:7E=mc:9jg3<72-9j47m7;o1b3?4<@:hh76gl5;29 6g?2j20b>o8:29K7ge<3`i?6=4+3`:9g==i;h=186F!5f03i37c=n7;48L6dd32ch=7>5$2c;>f>odn3:1(>o7:b:8j6g02k1C?om4;haf>5<#;h21o55a3`59g>N4jj10enj50;&0e==nkj0;6)=n8;a;?k5f?3o0D>ll;:k`f?6=,:k36n64n2c4>c=O;ki07dmn:18'7d>=k11e?l95119K7ge<3`i;6=4+3`:9g==i;h=1=<5G3ca8?xd3m10;6<;n:183!5d938;m6F:04937<1m3;964d5k2d397>4n9595>hfj3;0blm51:l25g<73-;:o7=?5:l25c<73g;8<7?4n32:>4=i:<;1=6`<0g82?k5fi3;0(>l8:2a3?l75<3:17d=m1;29?l5e:3:17d?=5;29?l5e;3:17d?=8;29?l75;3:17b?>e;29?l5e=3:17d=m4;29?l75k3:17d=m0;29?l75?3:17d?=2;29?l76i3:17d?=9;29?l7593:17b?>d;29?l5fn3:17d=m6;29?ld1290/?l65b49m7d1=821bn94?:%1b5<#;h21n85a3`596>=nj;0;6)=n8;`6?k5f?3907dl>:18'7d>=j<1e?l954:9jf5<72-9j47l:;o1b3?3<3`km6=4+3`:9f0=i;h=1:65fad83>!5f03h>7c=n7;58?lda290/?l65b49m7d1=021bnh4?:%1b5<#;h21n85a3`59e>=njj0;6)=n8;`6?k5f?3h07dlm:18'7d>=j<1e?l95c:9jfd<72-9j47l:;o1b3?b<3`h26=4+3`:9f0=i;h=1i65fb983>!5f03h>7c=n7;d8?ld0290/?l65b49m7d1=9910elj50;&0e=1:9l`f<72-9j47jm;o1b3?6<3fnj6=4+3`:9`g=i;h=1=65`d883>!5f03ni7c=n7;08?jb?290/?l65dc9m7d1=;21dh:4?:%1b5<#;h21ho5a3`591>=hl<0;6)=n8;fa?k5f?3<07bj;:18'7d>=lk1e?l957:9la0<72-9j47jm;o1b3?><3fo?6=4+3`:9`g=i;h=1565`e283>!5f03ni7c=n7;c8?jc5290/?l65dc9m7d1=j21di<4?:%1b5<#;h21ho5a3`59`>=hlo0;6)=n8;fa?k5f?3o07bjj:18'7d>=lk1e?l95f:9l`a<72-9j47jm;o1b3?7732eo?7>5$2c;>ad8j;o1b3?6<3`9=h7>5$2c;>60b3g9j;7?4;h15g?6=,:k36>8j;o1b3?4<3`9=n7>5$2c;>60b3g9j;7=4;h15e?6=,:k36>8j;o1b3?2<3`9=57>5$2c;>60b3g9j;7;4;h158j;o1b3?0<3`9=;7>5$2c;>60b3g9j;794;h151?6=,:k36>8j;o1b3?><3`9=87>5$2c;>60b3g9j;774;h157?6=,:k36>8j;o1b3?g<3`9=>7>5$2c;>60b3g9j;7l4;h155?6=,:k36>8j;o1b3?e<3`9=<7>5$2c;>60b3g9j;7j4;h16b?6=,:k36>8j;o1b3?c<3`9>i7>5$2c;>60b3g9j;7h4;h16`?6=,:k36>8j;o1b3?7732c89n4?:%1b1:9j70g=83.8m54<6d9m7d1=9;10e>;6:18'7d>=;?o0b>o8:018?l5203:1(>o7:24f?k5f?3;?76g<5683>!5f039=i6`=n;<<1<7*5<#;h21?;k4n2c4>41<3`9>87>5$2c;>60b3g9j;7?7;:k016<72-9j47=9e:l0e2<6121b?8<50;&0e=<4>l1e?l951`98m636290/?l6537g8j6g028h07d=;f;29 6g?2:o4h4i>0:h65f35f94?"4i108:h5a3`595`=h6=4+3`:973c8j;o1b3?4732c88l4?:%1b:7:18'7d>=;?o0b>o8:318?l53?3:1(>o7:24f?k5f?38?76g<4783>!5f039=i6`=n;>?1<7*;54i257>5<#;h21?;k4n2c4>71<3`95$2c;>60b3g9j;7<7;:k037<72-9j47=9e:l0e2<5121b?:?50;&0e=<4>l1e?l952`98m617290/?l6537g8j6g02;h07d=96;29 6g?2:o4=k0;6)=n8;15a>h4i>09h65f34294?"4i108:h5a3`596`=>6=4+3`:973c5$2c;>4753g9j;7?4;h324?6=,:k365$2c;>4753g9j;7=4;h33a?6=,:k365$2c;>4753g9j;7;4;h33g?6=,:k365$2c;>4753g9j;794;h33=?6=,:k36<3`;;47>5$2c;>4753g9j;774;h333?6=,:k365$2c;>4753g9j;7l4;h331?6=,:k365$2c;>4753g9j;7j4;h337?6=,:k367>5$2c;>4753g9j;7h4;h335?6=,:k361:9jb`<72-9j47?>2:l0e2<6:21bji4?:%1b3:9jbf<72-9j47?>2:l0e2<6<21bjo4?:%1b5:9jbd<72-9j47?>2:l0e2<6>21bj44?:%1b7:9jb=<72-9j47?>2:l0e2<6021bj:4?:%1b9:9jb3<72-9j47?>2:l0e2<6i21bj84?:%1bb:9jb6<72-9j47?>2:l0e2<6k21bj?4?:%1bd:9jb4<72-9j47?>2:l0e2<6m21bj=4?:%1bf:9jac<72-9j47?>2:l0e2<5821bih4?:%1b2:l0e2<5:21bin4?:%1b2:l0e2<5<21bil4?:%1b139m7d1=:?10e=9880b>o8:358?l76?3:1(>o7:031?k5f?38376g>1783>!5f03;:>6`=n98?1<7*l54i037>5<#;h21=<<4n2c4>7d<3`;;m7>5$2c;>4753g9j;75$2c;>4753g9j;74?:%1b32e8?<4?:%1bk4?:%1bi4?:%1bo4?:%1b0:9l77g=83.8m54<3b9m7d1=9810c><7:18'7d>=;:i0b>o8:008?j55?3:1(>o7:21`?k5f?3;876a<2783>!5f0398o6`=h;;?1<7*5<#;h21?>m4n2c4>40<3f99?7>5$2c;>65d3g9j;7?8;:m067<72-9j47=f;29 6g?2:9h7c=n7;3a?>i49m0;6)=n8;10g>h4i>0:o65`30a94?"4i108?n5a3`595a==l;o1b3?7a32e8=44?:%1b=83.8m54<3b9m7d1=:810c>?8:18'7d>=;:i0b>o8:308?j56>3:1(>o7:21`?k5f?38876a<1483>!5f0398o6`=h;8>1<7*854o260>5<#;h21?>m4n2c4>70<3f9?>7>5$2c;>65d3g9j;7<8;:m004<72-9j47=50;&0e=<4;j1e?l952898k65a290/?l6532a8j6g02;k07b=i4;=0;6)=n8;10g>h4i>09o65`33;94?"4i108?n5a3`596a==l;o1b3?4a32c832c:8>4?:%1b0:9j565=83.8m54>3c9m7d1=9810c>o?:18'7d>=;0l0b>o8:198k6?b290/?l6538d8j6g02810c>7k:18'7d>=;0l0b>o8:398k6?d290/?l6538d8j6g02:10c>7m:18'7d>=;0l0b>o8:598k6?f290/?l6538d8j6g02<10c>76:18'7d>=;0l0b>o8:798k6??290/?l6538d8j6g02>10c>79:18'7d>=;0l0b>o8:998k6?2290/?l6538d8j6g02010c>7;:18'7d>=;0l0b>o8:`98k6?4290/?l6538d8j6g02k10c>7=:18'7d>=;0l0b>o8:b98k6?6290/?l6538d8j6g02m10c>7?:18'7d>=;0l0b>o8:d98k6>a290/?l6538d8j6g02o10c>6j:18'7d>=;0l0b>o8:028?j5?l3:1(>o7:2;e?k5f?3;:76a<8c83>!5f0392j6`=h;1k1<7*54o2::>5<#;h21?4h4n2c4>42<3f9347>5$2c;>6?a3g9j;7?:;:m0<2<72-9j47=6f:l0e2<6>21d?5850;&0e=<41o1e?l951698k6>2290/?l6538d8j6g028207b=74;29 6g?2:3m7c=n7;3:?>i40:0;6)=n8;1:b>h4i>0:m65`39094?"4i1085k5a3`595g=7i;o1b3?7c32e8;h4?:%1bn2d8m:4>e:9l72b=83.8m54<9g9m7d1=9o10c>9l:18'7d>=;0l0b>o8:328?j50j3:1(>o7:2;e?k5f?38:76a<7`83>!5f0392j6`=h;>31<7*>54o25;>5<#;h21?4h4n2c4>72<3f9<;7>5$2c;>6?a3g9j;7<:;:m0e3<72-9j47=6f:l0e2<5>21d?l;50;&0e=<41o1e?l952698k6g3290/?l6538d8j6g02;207b=n3;29 6g?2:3m7c=n7;0:?>i4i;0;6)=n8;1:b>h4i>09m65`3`394?"4i1085k5a3`596g=7i;o1b3?4c32e84<4?:%1bn2d8m:4=e:9l720=83.8m54<9g9m7d1=:o10e4=50;&0e=<>:2d8m:4?;:k:5?6=,:k364<4n2c4>4=h4i>0976g7f;29 6g?2080b>o8:298m=c=83.8m5462:l0e2<332c3h7>5$2c;><46`o?j3:1(>o7:808j6g02>10e4m50;&0e=<>:2d8m:47;:k:f?6=,:k364<4n2c4><=h4i>0j76g69;29 6g?2080b>o8:c98m<>=83.8m5462:l0e25$2c;><46`o>=3:1(>o7:808j6g02o10e4:50;&0e=<>:2d8m:4>0:9j32e9?i4?:%1b0:9l663=83.8m54=499m7d1=9810c?=;:18'7d>=:=20b>o8:008?j44;3:1(>o7:36;?k5f?3;876a=3383>!5f038?46`=h::;1<7*5<#;h21>964n2c4>40<3f89j7>5$2c;>72?3g9j;7?8;:m16`<72-9j47<;8:l0e2<6021d>?j50;&0e=<5<11e?l951898k737290/?l6525:8j6g028k07b<;f;29 6g?2;>37c=n7;3a?>i5h4i>0:o65`25f94?"4i109855a3`595a=h6=4+3`:961>=:=20b>o8:308?j45k3:1(>o7:36;?k5f?38876gl9;29 6g?2j20b>o8:19K7ge<3`i<6=4+3`:9g==i;h=1=6F!5f03i37c=n7;18L6dd32ch87>5$2c;>f>oc:3:1(>o7:b:8j6g0211C?om4;hf2>5<#;h21o55a3`59=>N4jj10ei>50;&0e==nko0;6)=n8;a;?k5f?3h0D>ll;:k`a?6=,:k36n64n2c4>f=O;ki07dmk:18'7d>=k11e?l95d:J0ff=h4i>0n7E=mc:9jgg<72-9j47m7;o1b3?`<@:hh76gla;29 6g?2j20b>o8:028L6dd32ch<7>5$2c;>f>{e24=>l0:>7?>:7a934<6;3;;6995}%124?7e:j1e484?;o:4>4=iik0:7col:09m54d=82.:=n4<049m54`=82d:?=4>;o03=?7h4ih0:7)=m7;1`4>o6:=0;66g>o4j;0;66g>2483>>o4j:0;66g>2983>>o6::0;66a>1d83>>o4j<0;66g>o6:j0;66g>o6:>0;66g>2383>>o69h0;66g>2883>>o6:80;66a>1e83>>o4io0;66g>oe>3:1(>o7:c78j6g02910eo:50;&0e=;:ka7?6=,:k36o;4n2c4>7=h4i>0876gm1;29 6g?2k?0b>o8:598mg6=83.8m54m5:l0e2<232cjj7>5$2c;>g3oen3:1(>o7:c78j6g02110eok50;&0e=d=h4i>0i76gmb;29 6g?2k?0b>o8:b98mgg=83.8m54m5:l0e25$2c;>g3oe?3:1(>o7:c78j6g028:07dok:18'7d>=j<1e?l951098kae=83.8m54kb:l0e2<732eom7>5$2c;>adic03:1(>o7:e`8j6g02:10ci950;&0e=0=h4i>0=76ak4;29 6g?2mh0b>o8:698k`3=83.8m54kb:l0e25$2c;>adib:3:1(>o7:e`8j6g02k10ch?50;&0e=a=h4i>0n76ake;29 6g?2mh0b>o8:g98kab=83.8m54kb:l0e2<6821dh>4?:%1b;:k02c<72-9j47=9e:l0e2<732c8:i4?:%1b;:k02f<72-9j47=9e:l0e2<532c8:o4?:%1bl1e?l951098m63f290/?l6537g8j6g028807d=:9;29 6g?2:o4=10;6)=n8;15a>h4i>0:865f34594?"4i108:h5a3`5950=8j;o1b3?7032c8994?:%1b8:9j705=83.8m54<6d9m7d1=9010e>;=:18'7d>=;?o0b>o8:0c8?l5293:1(>o7:24f?k5f?3;i76g<4g83>!5f039=i6`=n;=o1<7*5<#;h21?;k4n2c4>4c<3`9?o7>5$2c;>60b3g9j;7?i;:k00g<72-9j47=9e:l0e2<5821b?9o50;&0e=<4>l1e?l952098m62>290/?l6537g8j6g02;807d=;8;29 6g?2:o4<>0;6)=n8;15a>h4i>09865f35494?"4i108:h5a3`5960=6=4+3`:973c8j;o1b3?4032c8;>4?:%1b9>:18'7d>=;?o0b>o8:3c8?l5083:1(>o7:24f?k5f?38i76g<6783>!5f039=i6`=n;i54i273>5<#;h21?;k4n2c4>7c<3`9?97>5$2c;>60b3g9j;72:l0e2<732c:=<4?:%1b;:k255<72-9j47?>2:l0e2<532c:2:l0e2<332c:2:l0e2<132c:2:l0e22:l0e22:l0e22:l0e22:l0e2<6821b==>50;&0e=<69;1e?l951098mcc=83.8m54>139m7d1=9;10ekj50;&0e=<69;1e?l951298mce=83.8m54>139m7d1=9=10ekl50;&0e=<69;1e?l951498mcg=83.8m54>139m7d1=9?10ek750;&0e=<69;1e?l951698mc>=83.8m54>139m7d1=9110ek950;&0e=<69;1e?l951898mc0=83.8m54>139m7d1=9h10ek;50;&0e=<69;1e?l951c98mc5=83.8m54>139m7d1=9j10ek<50;&0e=<69;1e?l951e98mc7=83.8m54>139m7d1=9l10ek>50;&0e=<69;1e?l951g98m``=83.8m54>139m7d1=:910ehk50;&0e=<69;1e?l952098m`b=83.8m54>139m7d1=:;10ehm50;&0e=<69;1e?l952298m`d=83.8m54>139m7d1=:=10eho50;&0e=<69;1e?l952498m47>290/?l651008j6g02;<07d?>8;29 6g?28;97c=n7;04?>o69>0;6)=n8;326>h4i>09465f10494?"4i10:=?5a3`596<=6=4+3`:95442:l0e2<5l21bj94?:%1b2:l0e2<5n21d?>j50;&0e=<4;j1e?l950:9l76d=83.8m54<3b9m7d1=921d?>o50;&0e=<4;j1e?l952:9l76?=83.8m54<3b9m7d1=;21d?>650;&0e=<4;j1e?l954:9l761=83.8m54<3b9m7d1==21d?>850;&0e=<4;j1e?l956:9l763=83.8m54<3b9m7d1=?21d?>=50;&0e=<4;j1e?l958:9l764=83.8m54<3b9m7d1=121d?>?50;&0e=<4;j1e?l95a:9l766=83.8m54<3b9m7d1=j21d??h50;&0e=<4;j1e?l95c:9l77c=83.8m54<3b9m7d1=l21d??j50;&0e=<4;j1e?l95e:9l77e=83.8m54<3b9m7d1=n21d??l50;&0e=<4;j1e?l951198k64f290/?l6532a8j6g028;07b==8;29 6g?2:9h7c=n7;31?>i4:>0;6)=n8;10g>h4i>0:?65`33494?"4i108?n5a3`5951=6=4+3`:976e=l;o1b3?7132e8>>4?:%1b7:9l774=83.8m54<3b9m7d1=9110c><>:18'7d>=;:i0b>o8:0;8?j5583:1(>o7:21`?k5f?3;j76a<1g83>!5f0398o6`=h;8n1<7*5<#;h21?>m4n2c4>4b<3f9:n7>5$2c;>65d3g9j;7?j;:m05d<72-9j47=7;29 6g?2:9h7c=n7;01?>i49?0;6)=n8;10g>h4i>09?65`30794?"4i108?n5a3`5961==l;o1b3?4132e88?4?:%1b:?:18'7d>=;:i0b>o8:3;8?j54n3:1(>o7:21`?k5f?38j76a<3d83>!5f0398o6`=h;:>1<7*n54o20:>5<#;h21?>m4n2c4>7b<3f9:i7>5$2c;>65d3g9j;7=83.8m54<0e9m7d1==21b?=950;&0e=<48m1e?l956:9j750=83.8m54<0e9m7d1=?21b=>m50;&0e=<6;k1e?l950:9j56g=83.8m54>3c9m7d1=921b=>750;&0e=<6;k1e?l952:9j56>=83.8m54>3c9m7d1=;21b=>950;&0e=<6;k1e?l954:9j560=83.8m54>3c9m7d1==21b=>;50;&0e=<6;k1e?l956:9j562=83.8m54>3c9m7d1=?21b=9;50;&0e=<6;k1e?l958:9j512=83.8m54>3c9m7d1=121b=9=50;&0e=<6;k1e?l95a:9j514=83.8m54>3c9m7d1=j21b=9?50;&0e=<6;k1e?l95c:9j516=83.8m54>3c9m7d1=l21b=>h50;&0e=<6;k1e?l95e:9j56c=83.8m54>3c9m7d1=n21b=>j50;&0e=<6;k1e?l951198m454290/?l6512`8j6g028;07b=n0;29 6g?2:3m7c=n7;28?j5>m3:1(>o7:2;e?k5f?3;07b=6d;29 6g?2:3m7c=n7;08?j5>k3:1(>o7:2;e?k5f?3907b=6b;29 6g?2:3m7c=n7;68?j5>i3:1(>o7:2;e?k5f?3?07b=69;29 6g?2:3m7c=n7;48?j5>03:1(>o7:2;e?k5f?3=07b=66;29 6g?2:3m7c=n7;:8?j5>=3:1(>o7:2;e?k5f?3307b=64;29 6g?2:3m7c=n7;c8?j5>;3:1(>o7:2;e?k5f?3h07b=62;29 6g?2:3m7c=n7;a8?j5>93:1(>o7:2;e?k5f?3n07b=60;29 6g?2:3m7c=n7;g8?j5?n3:1(>o7:2;e?k5f?3l07b=7e;29 6g?2:3m7c=n7;33?>i40m0;6)=n8;1:b>h4i>0:=65`39`94?"4i1085k5a3`5957=7i;o1b3?7332e8454?:%1bn2d8m:4>5:9l7=1=83.8m54<9g9m7d1=9?10c>69:18'7d>=;0l0b>o8:058?j5?=3:1(>o7:2;e?k5f?3;376a<8583>!5f0392j6`=h;191<7*5<#;h21?4h4n2c4>4d<3f93<7>5$2c;>6?a3g9j;7?l;:m03c<72-9j47=6f:l0e2<6l21d?:k50;&0e=<41o1e?l951d98k61c290/?l6538d8j6g028l07b=8c;29 6g?2:3m7c=n7;03?>i4?k0;6)=n8;1:b>h4i>09=65`36c94?"4i1085k5a3`5967=7i;o1b3?4332e8;:4?:%1bn2d8m:4=5:9l7d0=83.8m54<9g9m7d1=:?10c>o::18'7d>=;0l0b>o8:358?j5f<3:1(>o7:2;e?k5f?38376a!5f0392j6`=h;h81<7*l54o2c2>5<#;h21?4h4n2c4>7d<3f92;7>5$2c;>6?a3g9j;7=1;1e?l950:9j=4<72-9j477=;o1b3?7<3`3;6=4+3`:9=7=i;h=1>65f8g83>!5f03397c=n7;18?l>b290/?l65939m7d1=<21b4i4?:%1b5<#;h215?5a3`592>=n0k0;6)=n8;;1?k5f?3=07d7l:18'7d>=1;1e?l958:9j=g<72-9j477=;o1b3??<3`3j6=4+3`:9=7=i;h=1m65f9883>!5f03397c=n7;`8?l??290/?l65939m7d1=k21b5:4?:%1b5<#;h215?5a3`59a>=n1<0;6)=n8;;1?k5f?3l07d7;:18'7d>=1;1e?l951198m=g=83.8m5462:l0e2<6921d>9750;&0e=<5<11e?l950:9l611=83.8m54=499m7d1=921d>9850;&0e=<5<11e?l952:9l613=83.8m54=499m7d1=;21d>9:50;&0e=<5<11e?l954:9l615=83.8m54=499m7d1==21d>9?50;&0e=<5<11e?l956:9l616=83.8m54=499m7d1=?21d>>h50;&0e=<5<11e?l958:9l66c=83.8m54=499m7d1=121d>>j50;&0e=<5<11e?l95a:9l66e=83.8m54=499m7d1=j21d>>l50;&0e=<5<11e?l95c:9l66g=83.8m54=499m7d1=l21d>>750;&0e=<5<11e?l95e:9l66>=83.8m54=499m7d1=n21d>>850;&0e=<5<11e?l951198k752290/?l6525:8j6g028;07b<<4;29 6g?2;>37c=n7;31?>i5;:0;6)=n8;07<>h4i>0:?65`22094?"4i109855a3`5951=k4?:%1b7:9l67c=83.8m54=499m7d1=9110c?=:=20b>o8:0;8?j4283:1(>o7:36;?k5f?3;j76a=4g83>!5f038?46`=h:=o1<7*5<#;h21>964n2c4>4b<3f8?o7>5$2c;>72?3g9j;7?j;:m10g<72-9j47<;8:l0e2<6n21d>9o50;&0e=<5<11e?l952198k725290/?l6525:8j6g02;;07b<<7;29 6g?2;>37c=n7;01?>i5:j0;6)=n8;07<>h4i>09?65fc883>!5f03i37c=n7;28L6dd32ch;7>5$2c;>f>od;3:1(>o7:b:8j6g02<1C?om4;ha1>5<#;h21o55a3`592>N4jj10en?50;&0e==nl;0;6)=n8;a;?k5f?320D>ll;:kg5?6=,:k36n64n2c4><=O;ki07dj?:18'7d>=k11e?l95a:J0ff=h4i>0i7E=mc:9jg`<72-9j47m7;o1b3?e<@:hh76gld;29 6g?2j20b>o8:e9K7ge<3`ih6=4+3`:9g==i;h=1i6F!5f03i37c=n7;33?M5ek21bo=4?:%1b;I1ag>=zj=oj6=4>5`83>5}#;j;1>=o4H2aa?M5d;2Pn;7lt80822?152?o1=?4>1;4`>27=9:0:<7:8:|&055<6j;i0b5;50:l;3?7>51:l14<<63g8>=7?4n22e>4=i;hk1=6*1<75f3c394?=n;k81<75f13794?=n;k91<75f13:94?=n9;91<75`10g94?=n;k?1<75f3c694?=n9;i1<75f3c294?=n9;=1<75f13094?=n98k1<75f13;94?=n9;;1<75`10f94?=n;hl1<75f3c494?=nj?0;6)=n8;`6?k5f?3:07dl;:18'7d>=j<1e?l951:9jf6<72-9j47l:;o1b3?4<3`h96=4+3`:9f0=i;h=1?65fb083>!5f03h>7c=n7;68?ld7290/?l65b49m7d1==21bmk4?:%1b5<#;h21n85a3`593>=njo0;6)=n8;`6?k5f?3207dlj:18'7d>=j<1e?l959:9jfa<72-9j47l:;o1b3?g<3`hh6=4+3`:9f0=i;h=1n65fbc83>!5f03h>7c=n7;a8?ldf290/?l65b49m7d1=l21bn44?:%1b5<#;h21n85a3`59b>=nj>0;6)=n8;`6?k5f?3;;76gnd;29 6g?2k?0b>o8:038?jbd290/?l65dc9m7d1=821dhl4?:%1b5<#;h21ho5a3`596>=hl10;6)=n8;fa?k5f?3907bj8:18'7d>=lk1e?l954:9l`3<72-9j47jm;o1b3?3<3fn>6=4+3`:9`g=i;h=1:65`d583>!5f03ni7c=n7;58?jc2290/?l65dc9m7d1=021di94?:%1b5<#;h21ho5a3`59e>=hm;0;6)=n8;fa?k5f?3h07bk>:18'7d>=lk1e?l95c:9la5<72-9j47jm;o1b3?b<3fnm6=4+3`:9`g=i;h=1i65`dd83>!5f03ni7c=n7;d8?jbc290/?l65dc9m7d1=9910ci=50;&0e=1:9j73`=83.8m54<6d9m7d1=821b?;j50;&0e=<4>l1e?l951:9j73e=83.8m54<6d9m7d1=:21b?;l50;&0e=<4>l1e?l953:9j73g=83.8m54<6d9m7d1=<21b?;750;&0e=<4>l1e?l955:9j73>=83.8m54<6d9m7d1=>21b?;950;&0e=<4>l1e?l957:9j733=83.8m54<6d9m7d1=021b?;:50;&0e=<4>l1e?l959:9j735=83.8m54<6d9m7d1=i21b?;<50;&0e=<4>l1e?l95b:9j737=83.8m54<6d9m7d1=k21b?;>50;&0e=<4>l1e?l95d:9j70`=83.8m54<6d9m7d1=m21b?8k50;&0e=<4>l1e?l95f:9j70b=83.8m54<6d9m7d1=9910e>;l:18'7d>=;?o0b>o8:038?l52i3:1(>o7:24f?k5f?3;976g<5883>!5f039=i6`=n;<21<7*5<#;h21?;k4n2c4>43<3`9>:7>5$2c;>60b3g9j;7?9;:k010<72-9j47=9e:l0e2<6?21b?8:50;&0e=<4>l1e?l951998m634290/?l6537g8j6g028307d=:2;29 6g?2:o4=80;6)=n8;15a>h4i>0:n65f35d94?"4i108:h5a3`595f=n6=4+3`:973c8j;o1b3?7b32c88n4?:%1bf:9j71d=83.8m54<6d9m7d1=:910e>:n:18'7d>=;?o0b>o8:338?l5313:1(>o7:24f?k5f?38976g<4983>!5f039=i6`=n;==1<7*954i265>5<#;h21?;k4n2c4>73<3`9<97>5$2c;>60b3g9j;7<9;:k031<72-9j47=9e:l0e2<5?21b?:=50;&0e=<4>l1e?l952998m615290/?l6537g8j6g02;307d=81;29 6g?2:o4?90;6)=n8;15a>h4i>09n65f37494?"4i108:h5a3`596f=8j;o1b3?4b32c8884?:%1b139m7d1=821b=139m7d1=:21b==h50;&0e=<69;1e?l953:9j55c=83.8m54>139m7d1=<21b==j50;&0e=<69;1e?l955:9j55e=83.8m54>139m7d1=>21b==l50;&0e=<69;1e?l957:9j55?=83.8m54>139m7d1=021b==650;&0e=<69;1e?l959:9j551=83.8m54>139m7d1=i21b==850;&0e=<69;1e?l95b:9j553=83.8m54>139m7d1=k21b==:50;&0e=<69;1e?l95d:9j555=83.8m54>139m7d1=m21b==<50;&0e=<69;1e?l95f:9j557=83.8m54>139m7d1=9910e<>?:18'7d>=9880b>o8:038?l`b290/?l651008j6g028807dhk:18'7d>=9880b>o8:018?l`d290/?l651008j6g028>07dhm:18'7d>=9880b>o8:078?l`f290/?l651008j6g028<07dh6:18'7d>=9880b>o8:058?l`?290/?l651008j6g028207dh8:18'7d>=9880b>o8:0;8?l`1290/?l651008j6g028k07dh::18'7d>=9880b>o8:0`8?l`4290/?l651008j6g028i07dh=:18'7d>=9880b>o8:0f8?l`6290/?l651008j6g028o07dh?:18'7d>=9880b>o8:0d8?lca290/?l651008j6g02;:07dkj:18'7d>=9880b>o8:338?lcc290/?l651008j6g02;807dkl:18'7d>=9880b>o8:318?lce290/?l651008j6g02;>07dkn:18'7d>=9880b>o8:378?l7613:1(>o7:031?k5f?38=76g>1983>!5f03;:>6`=n98=1<7*554i035>5<#;h21=<<4n2c4>7?<3`;:97>5$2c;>4753g9j;72:l0e2<5j21b==o50;&0e=<69;1e?l952b98mc`=83.8m54>139m7d1=:m10ek:50;&0e=<69;1e?l952d98m`?=83.8m54>139m7d1=:o10c>=k:18'7d>=;:i0b>o8:198k65e290/?l6532a8j6g02810c>=n:18'7d>=;:i0b>o8:398k65>290/?l6532a8j6g02:10c>=7:18'7d>=;:i0b>o8:598k650290/?l6532a8j6g02<10c>=9:18'7d>=;:i0b>o8:798k652290/?l6532a8j6g02>10c>=<:18'7d>=;:i0b>o8:998k655290/?l6532a8j6g02010c>=>:18'7d>=;:i0b>o8:`98k657290/?l6532a8j6g02k10c>=;:i0b>o8:b98k64b290/?l6532a8j6g02m10c>=;:i0b>o8:d98k64d290/?l6532a8j6g02o10c>=;:i0b>o8:028?j55i3:1(>o7:21`?k5f?3;:76a<2983>!5f0398o6`=h;;=1<7*54o205>5<#;h21?>m4n2c4>42<3f9997>5$2c;>65d3g9j;7?:;:m061<72-9j47=21d??=50;&0e=<4;j1e?l951698k645290/?l6532a8j6g028207b==1;29 6g?2:9h7c=n7;3:?>i4:90;6)=n8;10g>h4i>0:m65`30d94?"4i108?n5a3`595g==l;o1b3?7c32e8=o4?:%1be:9l74g=83.8m54<3b9m7d1=9o10c>?6:18'7d>=;:i0b>o8:328?j5603:1(>o7:21`?k5f?38:76a<1683>!5f0398o6`=h;8<1<7*>54o236>5<#;h21?>m4n2c4>72<3f9:87>5$2c;>65d3g9j;7<:;:m006<72-9j47=21d?9<50;&0e=<4;j1e?l952698k626290/?l6532a8j6g02;207b=;0;29 6g?2:9h7c=n7;0:?>i4;o0;6)=n8;10g>h4i>09m65`32g94?"4i108?n5a3`596g==l;o1b3?4c32e8=h4?:%1b>j:18'7d>=;9n0b>o8:198m66d290/?l6531f8j6g02810e>>m:18'7d>=;9n0b>o8:398m66f290/?l6531f8j6g02:10e>>6:18'7d>=;9n0b>o8:598m66?290/?l6531f8j6g02<10e>>8:18'7d>=;9n0b>o8:798m661290/?l6531f8j6g02>10e<=l:18'7d>=9:h0b>o8:198m45f290/?l6512`8j6g02810e<=6:18'7d>=9:h0b>o8:398m45?290/?l6512`8j6g02:10e<=8:18'7d>=9:h0b>o8:598m451290/?l6512`8j6g02<10e<=::18'7d>=9:h0b>o8:798m453290/?l6512`8j6g02>10e<:::18'7d>=9:h0b>o8:998m423290/?l6512`8j6g02010e<:<:18'7d>=9:h0b>o8:`98m425290/?l6512`8j6g02k10e<:>:18'7d>=9:h0b>o8:b98m427290/?l6512`8j6g02m10e<=i:18'7d>=9:h0b>o8:d98m45b290/?l6512`8j6g02o10e<=k:18'7d>=9:h0b>o8:028?l74;3:1(>o7:01a?k5f?3;:76a!5f0392j6`i41l0;6)=n8;1:b>h4i>0:76a<9e83>!5f0392j6`i41j0;6)=n8;1:b>h4i>0876a<9c83>!5f0392j6`i41h0;6)=n8;1:b>h4i>0>76a<9883>!5f0392j6`i4110;6)=n8;1:b>h4i>0<76a<9783>!5f0392j6`i41<0;6)=n8;1:b>h4i>0276a<9583>!5f0392j6`i41:0;6)=n8;1:b>h4i>0i76a<9383>!5f0392j6`i4180;6)=n8;1:b>h4i>0o76a<9183>!5f0392j6`i40o0;6)=n8;1:b>h4i>0m76a<8d83>!5f0392j6`=h;1n1<7*5<#;h21?4h4n2c4>44<3f93m7>5$2c;>6?a3g9j;7?<;:m0<<<72-9j47=6f:l0e2<6<21d?5650;&0e=<41o1e?l951498k6>0290/?l6538d8j6g028<07b=76;29 6g?2:3m7c=n7;34?>i40<0;6)=n8;1:b>h4i>0:465`39694?"4i1085k5a3`595<=7i;o1b3?7e32e84=4?:%1bn2d8m:4>c:9l72`=83.8m54<9g9m7d1=9m10c>9j:18'7d>=;0l0b>o8:0g8?j50l3:1(>o7:2;e?k5f?3;m76a<7b83>!5f0392j6`=h;>h1<7*<54o25b>5<#;h21?4h4n2c4>74<3f9<57>5$2c;>6?a3g9j;7<<;:m03=<72-9j47=6f:l0e2<5<21d?:950;&0e=<41o1e?l952498k6g1290/?l6538d8j6g02;<07b=n5;29 6g?2:3m7c=n7;04?>i4i=0;6)=n8;1:b>h4i>09465`3`194?"4i1085k5a3`596<=7i;o1b3?4e32e85:4?:%1bn2d8m:4=c:9l7=e=83.8m54<9g9m7d1=:m10c>6>:18'7d>=;0l0b>o8:3g8?j50>3:1(>o7:2;e?k5f?38m76g63;29 6g?2080b>o8:198m<7=83.8m5462:l0e2<632c2<7>5$2c;><46`o?m3:1(>o7:808j6g02=10e5j50;&0e=<>:2d8m:4:;:k;g?6=,:k364<4n2c4>3=h4i>0<76g6c;29 6g?2080b>o8:998m32c2m7>5$2c;><46`o>03:1(>o7:808j6g02j10e4950;&0e=<>:2d8m:4k;:k:2?6=,:k364<4n2c4>`=h4i>0m76g64;29 6g?2080b>o8:028?l>f290/?l65939m7d1=9810c?:6:18'7d>=:=20b>o8:198k720290/?l6525:8j6g02810c?:9:18'7d>=:=20b>o8:398k722290/?l6525:8j6g02:10c?:;:18'7d>=:=20b>o8:598k724290/?l6525:8j6g02<10c?:>:18'7d>=:=20b>o8:798k727290/?l6525:8j6g02>10c?=i:18'7d>=:=20b>o8:998k75b290/?l6525:8j6g02010c?=k:18'7d>=:=20b>o8:`98k75d290/?l6525:8j6g02k10c?=m:18'7d>=:=20b>o8:b98k75f290/?l6525:8j6g02m10c?=6:18'7d>=:=20b>o8:d98k75?290/?l6525:8j6g02o10c?=9:18'7d>=:=20b>o8:028?j44=3:1(>o7:36;?k5f?3;:76a=3583>!5f038?46`=h::91<7*54o311>5<#;h21>964n2c4>42<3f88=7>5$2c;>72?3g9j;7?:;:m175<72-9j47<;8:l0e2<6>21d>?h50;&0e=<5<11e?l951698k74b290/?l6525:8j6g028207b<=d;29 6g?2;>37c=n7;3:?>i5=90;6)=n8;07<>h4i>0:m65`25d94?"4i109855a3`595g=n6=4+3`:961>e:9l61d=83.8m54=499m7d1=9o10c?:n:18'7d>=:=20b>o8:328?j43:3:1(>o7:36;?k5f?38:76a=3683>!5f038?46`=h:;i1<7*>54ib;94?"4i10h46`od>3:1(>o7:b:8j6g02;1C?om4;ha6>5<#;h21o55a3`597>N4jj10en:50;&0e==nk:0;6)=n8;a;?k5f?3?0D>ll;:k`6?6=,:k36n64n2c4>3=O;ki07dm>:18'7d>=k11e?l957:J0ff=h4i>037E=mc:9j`4<72-9j47m7;o1b3??<@:hh76gk0;29 6g?2j20b>o8:`9K7ge<3`im6=4+3`:9g==i;h=1n6F!5f03i37c=n7;f8L6dd32cho7>5$2c;>f>N4jj10en>50;&0e=1:J0ff=nn7>514c94?6|,:i:6?>n;I1`f>N4k:1Qi:4m{93953<0:3;o13b?75<5<5<5<6=44i2`7>5<5<5<5<5<5<h4i>0;76gm4;29 6g?2k?0b>o8:098mg5=83.8m54m5:l0e2<532ci>7>5$2c;>g354ic394?"4i10i96`oe83:1(>o7:c78j6g02<10elh50;&0e=2=h4i>0376gme;29 6g?2k?0b>o8:898mgb=83.8m54m5:l0e25$2c;>g3oei3:1(>o7:c78j6g02m10eo750;&0e=c=h4i>0:<65fae83>!5f03h>7c=n7;32?>ick3:1(>o7:e`8j6g02910cio50;&0e=;:mg=?6=,:k36il4n2c4>7=h4i>0876ak7;29 6g?2mh0b>o8:598ka0=83.8m54kb:l0e2<232eo97>5$2c;>adib=3:1(>o7:e`8j6g02110ch:50;&0e=d=h4i>0i76aj1;29 6g?2mh0b>o8:b98k`6=83.8m54kb:l0e25$2c;>adicl3:1(>o7:e`8j6g028:07bj<:18'7d>=lk1e?l951098m60a290/?l6537g8j6g02910e>8k:18'7d>=;?o0b>o8:098m60d290/?l6537g8j6g02;10e>8m:18'7d>=;?o0b>o8:298m60f290/?l6537g8j6g02=10e>86:18'7d>=;?o0b>o8:498m60?290/?l6537g8j6g02?10e>88:18'7d>=;?o0b>o8:698m602290/?l6537g8j6g02110e>8;:18'7d>=;?o0b>o8:898m604290/?l6537g8j6g02h10e>8=:18'7d>=;?o0b>o8:c98m606290/?l6537g8j6g02j10e>8?:18'7d>=;?o0b>o8:e98m63a290/?l6537g8j6g02l10e>;j:18'7d>=;?o0b>o8:g98m63c290/?l6537g8j6g028:07d=:c;29 6g?2:o4=h0;6)=n8;15a>h4i>0:>65f34;94?"4i108:h5a3`5956=8j;o1b3?7232c89;4?:%1b6:9j703=83.8m54<6d9m7d1=9>10e>;;:18'7d>=;?o0b>o8:0:8?l52;3:1(>o7:24f?k5f?3;276g<5383>!5f039=i6`=n;<;1<7*5<#;h21?;k4n2c4>4e<3`9?i7>5$2c;>60b3g9j;7?k;:k00a<72-9j47=9e:l0e2<6m21b?9m50;&0e=<4>l1e?l951g98m62e290/?l6537g8j6g02;:07d=;a;29 6g?2:o4<00;6)=n8;15a>h4i>09>65f35:94?"4i108:h5a3`5966=<6=4+3`:973c8j;o1b3?4232c8;84?:%1b10e>9<:18'7d>=;?o0b>o8:3:8?l50:3:1(>o7:24f?k5f?38276g<7083>!5f039=i6`=n;>:1<7*o54i245>5<#;h21?;k4n2c4>7e<3`9>n7>5$2c;>60b3g9j;7l1e?l952g98m474290/?l651008j6g02910e:18'7d>=9880b>o8:098m477290/?l651008j6g02;10e<>i:18'7d>=9880b>o8:298m46b290/?l651008j6g02=10e<>k:18'7d>=9880b>o8:498m46d290/?l651008j6g02?10e<>m:18'7d>=9880b>o8:698m46>290/?l651008j6g02110e<>7:18'7d>=9880b>o8:898m460290/?l651008j6g02h10e<>9:18'7d>=9880b>o8:c98m462290/?l651008j6g02j10e<>;:18'7d>=9880b>o8:e98m464290/?l651008j6g02l10e<>=:18'7d>=9880b>o8:g98m466290/?l651008j6g028:07d??0;29 6g?28;97c=n7;32?>oam3:1(>o7:031?k5f?3;976gid;29 6g?28;97c=n7;30?>oak3:1(>o7:031?k5f?3;?76gib;29 6g?28;97c=n7;36?>oai3:1(>o7:031?k5f?3;=76gi9;29 6g?28;97c=n7;34?>oa03:1(>o7:031?k5f?3;376gi7;29 6g?28;97c=n7;3:?>oa>3:1(>o7:031?k5f?3;j76gi5;29 6g?28;97c=n7;3a?>oa;3:1(>o7:031?k5f?3;h76gi2;29 6g?28;97c=n7;3g?>oa93:1(>o7:031?k5f?3;n76gi0;29 6g?28;97c=n7;3e?>obn3:1(>o7:031?k5f?38;76gje;29 6g?28;97c=n7;02?>obl3:1(>o7:031?k5f?38976gjc;29 6g?28;97c=n7;00?>obj3:1(>o7:031?k5f?38?76gja;29 6g?28;97c=n7;06?>o6900;6)=n8;326>h4i>09:65f10:94?"4i10:=?5a3`5962=32c:=84?:%1b139m7d1=:k10e<>n:18'7d>=9880b>o8:3a8?l`a290/?l651008j6g02;n07dh;:18'7d>=9880b>o8:3g8?lc>290/?l651008j6g02;l07b=o7:21`?k5f?3;07b=o7:21`?k5f?3907b=<8;29 6g?2:9h7c=n7;68?j54?3:1(>o7:21`?k5f?3?07b=<6;29 6g?2:9h7c=n7;48?j54=3:1(>o7:21`?k5f?3=07b=<3;29 6g?2:9h7c=n7;:8?j54:3:1(>o7:21`?k5f?3307b=<1;29 6g?2:9h7c=n7;c8?j5483:1(>o7:21`?k5f?3h07b==f;29 6g?2:9h7c=n7;a8?j55m3:1(>o7:21`?k5f?3n07b==d;29 6g?2:9h7c=n7;g8?j55k3:1(>o7:21`?k5f?3l07b==b;29 6g?2:9h7c=n7;33?>i4:h0;6)=n8;10g>h4i>0:=65`33:94?"4i108?n5a3`5957==l;o1b3?7332e8>84?:%1b5:9l772=83.8m54<3b9m7d1=9?10c><<:18'7d>=;:i0b>o8:058?j55:3:1(>o7:21`?k5f?3;376a<2083>!5f0398o6`=h;;:1<7*5<#;h21?>m4n2c4>4d<3f9:h7>5$2c;>65d3g9j;7?l;:m05f<72-9j47=9;29 6g?2:9h7c=n7;03?>i4910;6)=n8;10g>h4i>09=65`30594?"4i108?n5a3`5967==l;o1b3?4332e8=94?:%1b:=:18'7d>=;:i0b>o8:358?j5393:1(>o7:21`?k5f?38376a<4183>!5f0398o6`=h;:l1<7*l54o21f>5<#;h21?>m4n2c4>7d<3f9887>5$2c;>65d3g9j;7o7:22g?k5f?3;07d=?b;29 6g?2::o7c=n7;08?l57i3:1(>o7:22g?k5f?3907d=?9;29 6g?2::o7c=n7;68?l5703:1(>o7:22g?k5f?3?07d=?7;29 6g?2::o7c=n7;48?l57>3:1(>o7:22g?k5f?3=07d?o7:01a?k5f?3;07d?<9;29 6g?289i7c=n7;08?l7403:1(>o7:01a?k5f?3907d?<7;29 6g?289i7c=n7;68?l74>3:1(>o7:01a?k5f?3?07d?<5;29 6g?289i7c=n7;48?l74<3:1(>o7:01a?k5f?3=07d?;5;29 6g?289i7c=n7;:8?l73<3:1(>o7:01a?k5f?3307d?;3;29 6g?289i7c=n7;c8?l73:3:1(>o7:01a?k5f?3h07d?;1;29 6g?289i7c=n7;a8?l7383:1(>o7:01a?k5f?3n07d?o7:01a?k5f?3l07d?o6;:0;6)=n8;30f>h4i>0:=65`3`294?"4i1085k5a3`594>=h;0o1<7*=h;0i1<7*=h;0k1<7*=h;021<7*=h;0?1<7*=h;091<7*=h;0;1<7*=h;1l1<7*7i;o1b3?7532e84l4?:%1bn2d8m:4>3:9l7=?=83.8m54<9g9m7d1=9=10c>67:18'7d>=;0l0b>o8:078?j5??3:1(>o7:2;e?k5f?3;=76a<8783>!5f0392j6`=h;1?1<7*5<#;h21?4h4n2c4>4?<3f93?7>5$2c;>6?a3g9j;7?n;:m0<7<72-9j47=6f:l0e2<6j21d?5>50;&0e=<41o1e?l951b98k61a290/?l6538d8j6g028n07b=8e;29 6g?2:3m7c=n7;3f?>i4?m0;6)=n8;1:b>h4i>0:j65`36a94?"4i1085k5a3`5965=7i;o1b3?4532e8;44?:%1bn2d8m:4=3:9l72>=83.8m54<9g9m7d1=:=10c>98:18'7d>=;0l0b>o8:378?j5f>3:1(>o7:2;e?k5f?38=76a!5f0392j6`=h;h>1<7*554o2c0>5<#;h21?4h4n2c4>7?<3f9j>7>5$2c;>6?a3g9j;7d290/?l6538d8j6g02;n07b=71;29 6g?2:3m7c=n7;0f?>i4??0;6)=n8;1:b>h4i>09j65f9283>!5f03397c=n7;28?l?6290/?l65939m7d1=921b5=4?:%1b5<#;h215?5a3`597>=n0l0;6)=n8;;1?k5f?3>07d6k:18'7d>=1;1e?l955:9j!5f03397c=n7;:8?l?e290/?l65939m7d1=121b5l4?:%1b5<#;h215?5a3`59f>=n110;6)=n8;;1?k5f?3i07d78:18'7d>=1;1e?l95d:9j=3<72-9j477=;o1b3?c<3`3>6=4+3`:9=7=i;h=1j65f9583>!5f03397c=n7;33?>o?i3:1(>o7:808j6g028;07b<;9;29 6g?2;>37c=n7;28?j43?3:1(>o7:36;?k5f?3;07b<;6;29 6g?2;>37c=n7;08?j43=3:1(>o7:36;?k5f?3907b<;4;29 6g?2;>37c=n7;68?j43;3:1(>o7:36;?k5f?3?07b<;1;29 6g?2;>37c=n7;48?j4383:1(>o7:36;?k5f?3=07b<37c=n7;:8?j44m3:1(>o7:36;?k5f?3307b<37c=n7;c8?j44k3:1(>o7:36;?k5f?3h07b<37c=n7;a8?j44i3:1(>o7:36;?k5f?3n07b<<9;29 6g?2;>37c=n7;g8?j4403:1(>o7:36;?k5f?3l07b<<6;29 6g?2;>37c=n7;33?>i5;<0;6)=n8;07<>h4i>0:=65`22694?"4i109855a3`5957=5:9l666=83.8m54=499m7d1=9?10c?=:=20b>o8:058?j45m3:1(>o7:36;?k5f?3;376a=2e83>!5f038?46`=h:<:1<7*5<#;h21>964n2c4>4d<3f8?i7>5$2c;>72?3g9j;7?l;:m10a<72-9j47<;8:l0e2<6l21d>9m50;&0e=<5<11e?l951d98k72e290/?l6525:8j6g028l07b<;a;29 6g?2;>37c=n7;03?>i5<;0;6)=n8;07<>h4i>09=65`22594?"4i109855a3`5967=5<#;h21o55a3`594>N4jj10en950;&0e=;I1ag>=nk?0;6)=n8;a;?k5f?380D>ll;:k`1?6=,:k36n64n2c4>6=O;ki07dm;:18'7d>=k11e?l954:J0ff=h4i>0>7E=mc:9jg7<72-9j47m7;o1b3?0<@:hh76gl1;29 6g?2j20b>o8:69K7ge<3`n96=4+3`:9g==i;h=146F3A9io65fd183>!5f03i37c=n7;c8L6dd32chj7>5$2c;>f>odj3:1(>o7:b:8j6g02o1C?om4;hab>5<#;h21o55a3`5955=O;ki07dm?:18'7d>=k11e?l95109K7ge<3th?in4?:07b>5<7s-9h=740=?;0=i7?=:0392f<093;86<>5468~ 67728h9o6`75;28j=1=92djn7?4n`a95>h69k0;7)?>c;131>h69o0;7c?<0;38j76>281e>8?51:l04c<63g9jm7?4$2`4>6e73`;987>5;h1a5?6=3`9i>7>5;h311?6=3`9i?7>5;h315;n32a?6=3`9i97>5;h1a0?6=3`;9o7>5;h1a4?6=3`;9;7>5;h316?6=3`;:m7>5;h31=?6=3`;9=7>5;n32`?6=3`9jj7>5;h1a2?6=3`h=6=4+3`:9f0=i;h=1<65fb583>!5f03h>7c=n7;38?ld4290/?l65b49m7d1=:21bn?4?:%1b5<#;h21n85a3`590>=nj90;6)=n8;`6?k5f?3?07doi:18'7d>=j<1e?l956:9je`<72-9j47l:;o1b3?1<3`hm6=4+3`:9f0=i;h=1465fbd83>!5f03h>7c=n7;;8?ldc290/?l65b49m7d1=i21bnn4?:%1b5<#;h21n85a3`59g>=njh0;6)=n8;`6?k5f?3n07dl6:18'7d>=j<1e?l95e:9jf=<72-9j47l:;o1b3?`<3`h<6=4+3`:9f0=i;h=1==54i`f94?"4i10i96`=hlj0;6)=n8;fa?k5f?3:07bjn:18'7d>=lk1e?l951:9l`<<72-9j47jm;o1b3?4<3fn36=4+3`:9`g=i;h=1?65`d683>!5f03ni7c=n7;68?jb1290/?l65dc9m7d1==21dh84?:%1b5<#;h21ho5a3`593>=hm<0;6)=n8;fa?k5f?3207bk;:18'7d>=lk1e?l959:9la6<72-9j47jm;o1b3?g<3fo96=4+3`:9`g=i;h=1n65`e083>!5f03ni7c=n7;a8?jc7290/?l65dc9m7d1=l21dhk4?:%1b5<#;h21ho5a3`59b>=hlm0;6)=n8;fa?k5f?3;;76ak3;29 6g?2mh0b>o8:038?l51n3:1(>o7:24f?k5f?3:07d=9d;29 6g?2:o7:24f?k5f?3807d=9b;29 6g?2:o7:24f?k5f?3>07d=99;29 6g?2:o7:24f?k5f?3<07d=97;29 6g?2:o7:24f?k5f?3207d=94;29 6g?2:o7:24f?k5f?3k07d=92;29 6g?2:o7:24f?k5f?3i07d=90;29 6g?2:o7:24f?k5f?3o07d=:e;29 6g?2:o7:24f?k5f?3;;76g<5b83>!5f039=i6`=n;5<#;h21?;k4n2c4>45<3`9>47>5$2c;>60b3g9j;7?;;:k012<72-9j47=9e:l0e2<6=21b?8850;&0e=<4>l1e?l951798m632290/?l6537g8j6g028=07d=:4;29 6g?2:o4=:0;6)=n8;15a>h4i>0:565f34094?"4i108:h5a3`595d=8j;o1b3?7d32c88h4?:%1bd:9j71b=83.8m54<6d9m7d1=9l10e>:l:18'7d>=;?o0b>o8:0d8?l53j3:1(>o7:24f?k5f?38;76g<4`83>!5f039=i6`=n;=31<7*?54i26;>5<#;h21?;k4n2c4>75<3`9?;7>5$2c;>60b3g9j;7<;;:k003<72-9j47=9e:l0e2<5=21b?:;50;&0e=<4>l1e?l952798m613290/?l6537g8j6g02;=07d=83;29 6g?2:o4?;0;6)=n8;15a>h4i>09565f36394?"4i108:h5a3`596d=8j;o1b3?4d32c89o4?:%1b:::18'7d>=;?o0b>o8:3d8?l76;3:1(>o7:031?k5f?3:07d?>1;29 6g?28;97c=n7;38?l7683:1(>o7:031?k5f?3807d??f;29 6g?28;97c=n7;18?l77m3:1(>o7:031?k5f?3>07d??d;29 6g?28;97c=n7;78?l77k3:1(>o7:031?k5f?3<07d??b;29 6g?28;97c=n7;58?l7713:1(>o7:031?k5f?3207d??8;29 6g?28;97c=n7;;8?l77?3:1(>o7:031?k5f?3k07d??6;29 6g?28;97c=n7;`8?l77=3:1(>o7:031?k5f?3i07d??4;29 6g?28;97c=n7;f8?l77;3:1(>o7:031?k5f?3o07d??2;29 6g?28;97c=n7;d8?l7793:1(>o7:031?k5f?3;;76g>0183>!5f03;:>6`=nnl0;6)=n8;326>h4i>0:>65ffe83>!5f03;:>6`=nnj0;6)=n8;326>h4i>0:865ffc83>!5f03;:>6`=nnh0;6)=n8;326>h4i>0::65ff883>!5f03;:>6`=nn10;6)=n8;326>h4i>0:465ff683>!5f03;:>6`=nn?0;6)=n8;326>h4i>0:m65ff483>!5f03;:>6`=nn:0;6)=n8;326>h4i>0:o65ff383>!5f03;:>6`=nn80;6)=n8;326>h4i>0:i65ff183>!5f03;:>6`=nmo0;6)=n8;326>h4i>09<65fed83>!5f03;:>6`=nmm0;6)=n8;326>h4i>09>65feb83>!5f03;:>6`=nmk0;6)=n8;326>h4i>09865fe`83>!5f03;:>6`=n9831<7*;54i03;>5<#;h21=<<4n2c4>71<3`;:;7>5$2c;>4753g9j;7<7;:k253<72-9j47?>2:l0e2<5121b=<;50;&0e=<69;1e?l952`98m473290/?l651008j6g02;h07d??a;29 6g?28;97c=n7;0`?>oan3:1(>o7:031?k5f?38o76gi4;29 6g?28;97c=n7;0f?>ob13:1(>o7:031?k5f?38m76a<3e83>!5f0398o6`i4;k0;6)=n8;10g>h4i>0:76a<3`83>!5f0398o6`i4;00;6)=n8;10g>h4i>0876a<3983>!5f0398o6`i4;>0;6)=n8;10g>h4i>0>76a<3783>!5f0398o6`i4;<0;6)=n8;10g>h4i>0<76a<3283>!5f0398o6`i4;;0;6)=n8;10g>h4i>0276a<3083>!5f0398o6`i4;90;6)=n8;10g>h4i>0i76a<2g83>!5f0398o6`i4:l0;6)=n8;10g>h4i>0o76a<2e83>!5f0398o6`i4:j0;6)=n8;10g>h4i>0m76a<2c83>!5f0398o6`=h;;k1<7*5<#;h21?>m4n2c4>44<3f99;7>5$2c;>65d3g9j;7?<;:m063<72-9j47=i4:;0;6)=n8;10g>h4i>0:465`33394?"4i108?n5a3`595<==l;o1b3?7e32e8=i4?:%1bc:9l74e=83.8m54<3b9m7d1=9m10c>?m:18'7d>=;:i0b>o8:0g8?j56i3:1(>o7:21`?k5f?3;m76a<1883>!5f0398o6`=h;821<7*<54o234>5<#;h21?>m4n2c4>74<3f9::7>5$2c;>65d3g9j;7<<;:m050<72-9j47=i4<80;6)=n8;10g>h4i>09465`35294?"4i108?n5a3`596<==l;o1b3?4e32e8?94?:%1b?j:18'7d>=;:i0b>o8:3g8?j56;3:1(>o7:21`?k5f?38m76g<0d83>!5f039;h6`o48j0;6)=n8;13`>h4i>0:76g<0c83>!5f039;h6`o48h0;6)=n8;13`>h4i>0876g<0883>!5f039;h6`o4810;6)=n8;13`>h4i>0>76g<0683>!5f039;h6`o48?0;6)=n8;13`>h4i>0<76g>3b83>!5f03;8n6`o6;h0;6)=n8;30f>h4i>0:76g>3883>!5f03;8n6`o6;10;6)=n8;30f>h4i>0876g>3683>!5f03;8n6`o6;?0;6)=n8;30f>h4i>0>76g>3483>!5f03;8n6`o6;=0;6)=n8;30f>h4i>0<76g>4483>!5f03;8n6`o6<=0;6)=n8;30f>h4i>0276g>4283>!5f03;8n6`o6<;0;6)=n8;30f>h4i>0i76g>4083>!5f03;8n6`o6<90;6)=n8;30f>h4i>0o76g>3g83>!5f03;8n6`o6;l0;6)=n8;30f>h4i>0m76g>3e83>!5f03;8n6`=n9:91<7*5<#;h21?4h4n2c4>5=5<#;h21?4h4n2c4>7=54o2;a>5<#;h21?4h4n2c4>1=5<#;h21?4h4n2c4>3=5<#;h21?4h4n2c4>==6=4+3`:97<`5<#;h21?4h4n2c4>d=5<#;h21?4h4n2c4>f=5<#;h21?4h4n2c4>`=5<#;h21?4h4n2c4>46<3f93h7>5$2c;>6?a3g9j;7?>;:m0>290/?l6538d8j6g028>07b=78;29 6g?2:3m7c=n7;36?>i40>0;6)=n8;1:b>h4i>0::65`39494?"4i1085k5a3`5952=6=4+3`:97<`7i;o1b3?7>32e84>4?:%1bn2d8m:4>a:9l7=4=83.8m54<9g9m7d1=9k10c>6?:18'7d>=;0l0b>o8:0a8?j50n3:1(>o7:2;e?k5f?3;o76a<7d83>!5f0392j6`=h;>n1<7*5<#;h21?4h4n2c4>76<3f95$2c;>6?a3g9j;7<>;:m03d<72-9j47=6f:l0e2<5:21d?:750;&0e=<41o1e?l952298k61?290/?l6538d8j6g02;>07b=87;29 6g?2:3m7c=n7;06?>i4i?0;6)=n8;1:b>h4i>09:65`3`794?"4i1085k5a3`5962=7i;o1b3?4>32e8m?4?:%1bn2d8m:4=a:9l7d7=83.8m54<9g9m7d1=:k10c>78:18'7d>=;0l0b>o8:3a8?j5?k3:1(>o7:2;e?k5f?38o76a<8083>!5f0392j6`=h;><1<7*k54i8194?"4i102>6`o>93:1(>o7:808j6g02810e4>50;&0e=<>:2d8m:4=;:k;b?6=,:k364<4n2c4>6=h4i>0?76g7d;29 6g?2080b>o8:498m=e=83.8m5462:l0e2<132c3n7>5$2c;><46`o>j3:1(>o7:808j6g02010e4o50;&0e=<>:2d8m:4n;:k:=?6=,:k364<4n2c4>g=h4i>0h76g67;29 6g?2080b>o8:e98m<0=83.8m5462:l0e25$2c;><46`=n0h0;6)=n8;;1?k5f?3;:76a=4883>!5f038?46`i5<>0;6)=n8;07<>h4i>0:76a=4783>!5f038?46`i5<<0;6)=n8;07<>h4i>0876a=4583>!5f038?46`i5<:0;6)=n8;07<>h4i>0>76a=4083>!5f038?46`i5<90;6)=n8;07<>h4i>0<76a=3g83>!5f038?46`i5;l0;6)=n8;07<>h4i>0276a=3e83>!5f038?46`i5;j0;6)=n8;07<>h4i>0i76a=3c83>!5f038?46`i5;h0;6)=n8;07<>h4i>0o76a=3883>!5f038?46`i5;10;6)=n8;07<>h4i>0m76a=3783>!5f038?46`=h::?1<7*5<#;h21>964n2c4>44<3f88?7>5$2c;>72?3g9j;7?<;:m177<72-9j47<;8:l0e2<6<21d>>?50;&0e=<5<11e?l951498k757290/?l6525:8j6g028<07b<=f;29 6g?2;>37c=n7;34?>i5:l0;6)=n8;07<>h4i>0:465`23f94?"4i109855a3`595<=c:9l61b=83.8m54=499m7d1=9m10c?:l:18'7d>=:=20b>o8:0g8?j43j3:1(>o7:36;?k5f?3;m76a=4`83>!5f038?46`=h:=81<7*<54o314>5<#;h21>964n2c4>74<3f89o7>5$2c;>72?3g9j;7<<;:k`=?6=,:k36n64n2c4>5=O;ki07dm8:18'7d>=k11e?l951:J0ff=h4i>097E=mc:9jg0<72-9j47m7;o1b3?5<@:hh76gl4;29 6g?2j20b>o8:59K7ge<3`i86=4+3`:9g==i;h=196F!5f03i37c=n7;58L6dd32co>7>5$2c;>f>odm3:1(>o7:b:8j6g02j1C?om4;hag>5<#;h21o55a3`59`>N4jj10enm50;&0e==nkk0;6)=n8;a;?k5f?3l0D>ll;:k`e?6=,:k36n64n2c4>46<@:hh76gl0;29 6g?2j20b>o8:038L6dd32wi8hj50;36e?6=8r.8o<4=0`9K7fd<@:i87Wk8:cy;5?712>81:h4>2;32>3e=?80:?7??:559y!5683;i>n5a8483?k>0281emo4>;oc`>4=i98h1<6*>1b8040=i98l1<6`>3182?k4713;0b?;>:09m75`=92d8ml4>;%1a3?5d82c:>94?::k0f4<722c8n?4?::k260<722c8n>4?::k26=<722c:>>4?::m25`<722c8n84?::k0f1<722c:>n4?::k0f5<722c:>:4?::k267<722c:=l4?::k26<<722c:><4?::m25a<722c8mk4?::k0f3<722ci:7>5$2c;>g3oe;3:1(>o7:c78j6g02;10eo<50;&0e=1=h4i>0>76gnf;29 6g?2k?0b>o8:798mdc=83.8m54m5:l0e2<032cij7>5$2c;>g3oel3:1(>o7:c78j6g02h10eom50;&0e=f=h4i>0o76gm9;29 6g?2k?0b>o8:d98mg>=83.8m54m5:l0e25$2c;>g34;hcg>5<#;h21n85a3`5954=h4i>0;76aka;29 6g?2mh0b>o8:098ka?=83.8m54kb:l0e2<532eo47>5$2c;>ad54oe594?"4i10on6`ic>3:1(>o7:e`8j6g02<10ci;50;&0e=2=h4i>0376aj4;29 6g?2mh0b>o8:898k`5=83.8m54kb:l0e27>5$2c;>adib83:1(>o7:e`8j6g02m10cih50;&0e=c=h4i>0:<65`d283>!5f03ni7c=n7;32?>o4>o0;6)=n8;15a>h4i>0;76g<6e83>!5f039=i6`o4>j0;6)=n8;15a>h4i>0976g<6c83>!5f039=i6`o4>h0;6)=n8;15a>h4i>0?76g<6883>!5f039=i6`o4>10;6)=n8;15a>h4i>0=76g<6683>!5f039=i6`o4><0;6)=n8;15a>h4i>0376g<6583>!5f039=i6`o4>:0;6)=n8;15a>h4i>0j76g<6383>!5f039=i6`o4>80;6)=n8;15a>h4i>0h76g<6183>!5f039=i6`o4=o0;6)=n8;15a>h4i>0n76g<5d83>!5f039=i6`o4=m0;6)=n8;15a>h4i>0:<65f34a94?"4i108:h5a3`5954=8j;o1b3?7432c8954?:%1b4:9j701=83.8m54<6d9m7d1=9<10e>;9:18'7d>=;?o0b>o8:048?l52=3:1(>o7:24f?k5f?3;<76g<5583>!5f039=i6`=n;<91<7*5<#;h21?;k4n2c4>4g<3`9>=7>5$2c;>60b3g9j;7?m;:k00c<72-9j47=9e:l0e2<6k21b?9k50;&0e=<4>l1e?l951e98m62c290/?l6537g8j6g028o07d=;c;29 6g?2:o4h4i>09<65f35c94?"4i108:h5a3`5964=26=4+3`:973c8j;o1b3?4432c88:4?:%1b9::18'7d>=;?o0b>o8:348?l50<3:1(>o7:24f?k5f?38<76g<7283>!5f039=i6`=n;>81<7*454i252>5<#;h21?;k4n2c4>7g<3`9<<7>5$2c;>60b3g9j;7l1e?l952e98m637290/?l6537g8j6g02;o07d=;5;29 6g?2:o69:0;6)=n8;326>h4i>0;76g>1083>!5f03;:>6`o6990;6)=n8;326>h4i>0976g>0g83>!5f03;:>6`o68l0;6)=n8;326>h4i>0?76g>0e83>!5f03;:>6`o68j0;6)=n8;326>h4i>0=76g>0c83>!5f03;:>6`o6800;6)=n8;326>h4i>0376g>0983>!5f03;:>6`o68>0;6)=n8;326>h4i>0j76g>0783>!5f03;:>6`o68<0;6)=n8;326>h4i>0h76g>0583>!5f03;:>6`o68:0;6)=n8;326>h4i>0n76g>0383>!5f03;:>6`o6880;6)=n8;326>h4i>0:<65f11294?"4i10:=?5a3`5954==54idg94?"4i10:=?5a3`5964=?54ida94?"4i10:=?5a3`5966=954idc94?"4i10:=?5a3`5960=139m7d1=:010e=9880b>o8:3c8?l76<3:1(>o7:031?k5f?38i76g>0`83>!5f03;:>6`=nno0;6)=n8;326>h4i>09h65ff583>!5f03;:>6`=nm00;6)=n8;326>h4i>09j65`32f94?"4i108?n5a3`594>=h;:h1<7*=h;:31<7*=h;:=1<7*=h;:?1<7*=h;:81<7*=h;::1<7*=h;;o1<7*=h;;i1<7*=l;o1b3?7532e8>:4?:%1b3:9l770=83.8m54<3b9m7d1=9=10c><::18'7d>=;:i0b>o8:078?j55<3:1(>o7:21`?k5f?3;=76a<2283>!5f0398o6`=h;;81<7*5<#;h21?>m4n2c4>4?<3f99<7>5$2c;>65d3g9j;7?n;:m05c<72-9j47=b;29 6g?2:9h7c=n7;3f?>i49h0;6)=n8;10g>h4i>0:j65`30;94?"4i108?n5a3`5965==l;o1b3?4532e8=;4?:%1b?;:18'7d>=;:i0b>o8:378?j53;3:1(>o7:21`?k5f?38=76a<4383>!5f0398o6`=h;=;1<7*554o263>5<#;h21?>m4n2c4>7?<3f98j7>5$2c;>65d3g9j;7:50;&0e=<4;j1e?l952b98k64>290/?l6532a8j6g02;n07b=>e;29 6g?2:9h7c=n7;0f?>i49:0;6)=n8;10g>h4i>09j65f31g94?"4i108=n;9i1<7*=n;9k1<7*=n;921<7*=n;9<1<7*=n9:k1<7*=n9:21<7*=n9:<1<7*=n9:>1<7*=n9=>1<7*=n9=81<7*=n9=:1<7*=n9:o1<7*7i;o1b3?6<3f92i7>5$2c;>6?a3g9j;7?4;n1:`?6=,:k36>7i;o1b3?4<3f92o7>5$2c;>6?a3g9j;7=4;n1:f?6=,:k36>7i;o1b3?2<3f92m7>5$2c;>6?a3g9j;7;4;n1:=?6=,:k36>7i;o1b3?0<3f9247>5$2c;>6?a3g9j;794;n1:2?6=,:k36>7i;o1b3?><3f9297>5$2c;>6?a3g9j;774;n1:0?6=,:k36>7i;o1b3?g<3f92?7>5$2c;>6?a3g9j;7l4;n1:6?6=,:k36>7i;o1b3?e<3f92=7>5$2c;>6?a3g9j;7j4;n1:4?6=,:k36>7i;o1b3?c<3f93j7>5$2c;>6?a3g9j;7h4;n1;a?6=,:k36>7i;o1b3?7732e84i4?:%1bn2d8m:4>1:9l7=d=83.8m54<9g9m7d1=9;10c>6n:18'7d>=;0l0b>o8:018?j5?13:1(>o7:2;e?k5f?3;?76a<8983>!5f0392j6`=h;1=1<7*5<#;h21?4h4n2c4>41<3f9397>5$2c;>6?a3g9j;7?7;:m0<1<72-9j47=6f:l0e2<6121d?5=50;&0e=<41o1e?l951`98k6>5290/?l6538d8j6g028h07b=70;29 6g?2:3m7c=n7;3`?>i4?o0;6)=n8;1:b>h4i>0:h65`36g94?"4i1085k5a3`595`=7i;o1b3?4732e8;o4?:%1bn2d8m:4=1:9l72g=83.8m54<9g9m7d1=:;10c>96:18'7d>=;0l0b>o8:318?j5003:1(>o7:2;e?k5f?38?76a<7683>!5f0392j6`=h;h<1<7*;54o2c6>5<#;h21?4h4n2c4>71<3f9j87>5$2c;>6?a3g9j;7<7;:m0e6<72-9j47=6f:l0e2<5121d?l<50;&0e=<41o1e?l952`98k6g6290/?l6538d8j6g02;h07b=67;29 6g?2:3m7c=n7;0`?>i40j0;6)=n8;1:b>h4i>09h65`39394?"4i1085k5a3`596`=5<#;h215?5a3`594>=n180;6)=n8;;1?k5f?3;07d7?:18'7d>=1;1e?l952:9j!5f03397c=n7;78?l>d290/?l65939m7d1=>21b4o4?:%1b5<#;h215?5a3`59<>=n1k0;6)=n8;;1?k5f?3307d7n:18'7d>=1;1e?l95a:9j=<<72-9j477=;o1b3?d<3`336=4+3`:9=7=i;h=1o65f9683>!5f03397c=n7;f8?l?1290/?l65939m7d1=m21b584?:%1b5<#;h215?5a3`5955=h4i>0:=65`25;94?"4i109855a3`594>=h:==1<7*=h:=?1<7*=h:=91<7*=h:=:1<7*=h::o1<7*=h::i1<7*=h::k1<7*=h::21<7*6=4+3`:961>4?:%1b3:9l664=83.8m54=499m7d1=9=10c?=>:18'7d>=:=20b>o8:078?j4483:1(>o7:36;?k5f?3;=76a=2g83>!5f038?46`=h:;o1<7*5<#;h21>964n2c4>4?<3f8><7>5$2c;>72?3g9j;7?n;:m10c<72-9j47<;8:l0e2<6j21d>9k50;&0e=<5<11e?l951b98k72c290/?l6525:8j6g028n07b<;c;29 6g?2;>37c=n7;3f?>i5h4i>0:j65`25c94?"4i109855a3`5965=96=4+3`:961>n4?:%1bo8:09K7ge<3`i=6=4+3`:9g==i;h=1>6F!5f03i37c=n7;68L6dd32ch?7>5$2c;>f>2B8nn54ib394?"4i10h46`oc93:1(>o7:b:8j6g0201C?om4;hf3>5<#;h21o55a3`59e>N4jj10enh50;&0e==nkl0;6)=n8;a;?k5f?3i0D>ll;:k``?6=,:k36n64n2c4>a=O;ki07dml:18'7d>=k11e?l95e:J0ff=h4i>0m7E=mc:9jgd<72-9j47m7;o1b3?773A9io65fc183>!5f03i37c=n7;32?M5ek21vn9kj:1821d<729q/?n?521c8L6ee3A9h?6Tj7;`x<4<6>3=96;k513825?0d2>;1=>4>0;64>x"4990:n?m4n9794>h??3;0bll51:lbg?7h5800:7c<:1;38j66a281e?lo51:&0f2<4k91b=?:50;9j7g7=831b?o<50;9j573=831b?o=50;9j57>=831b=?=50;9l54c=831b?o;50;9j7g2=831b=?m50;9j7g6=831b=?950;9j574=831b=4;h`7>5<#;h21n85a3`595>=nj:0;6)=n8;`6?k5f?3807dl=:18'7d>=j<1e?l953:9jf4<72-9j47l:;o1b3?2<3`h;6=4+3`:9f0=i;h=1965fag83>!5f03h>7c=n7;48?lgb290/?l65b49m7d1=?21bnk4?:%1b5<#;h21n85a3`59=>=njm0;6)=n8;`6?k5f?3k07dll:18'7d>=j<1e?l95b:9jfg<72-9j47l:;o1b3?e<3`hj6=4+3`:9f0=i;h=1h65fb883>!5f03h>7c=n7;g8?ld?290/?l65b49m7d1=n21bn:4?:%1b47<3fnh6=4+3`:9`g=i;h=1<65`d`83>!5f03ni7c=n7;38?jb>290/?l65dc9m7d1=:21dh54?:%1b5<#;h21ho5a3`590>=hl?0;6)=n8;fa?k5f?3?07bj::18'7d>=lk1e?l956:9l`1<72-9j47jm;o1b3?1<3fo>6=4+3`:9`g=i;h=1465`e583>!5f03ni7c=n7;;8?jc4290/?l65dc9m7d1=i21di?4?:%1b5<#;h21ho5a3`59g>=hm90;6)=n8;fa?k5f?3n07bji:18'7d>=lk1e?l95e:9l``<72-9j47jm;o1b3?`<3fno6=4+3`:9`g=i;h=1==54oe194?"4i10on6`=n;?l1<7*=n;?i1<7*65f37`94?"4i108:h5a3`597>=n;?k1<7*=n;?21<7*=n;??1<7*=n;?91<7*=n;?;1<7*=n;=n;5<#;h21?;k4n2c4>47<3`9>m7>5$2c;>60b3g9j;7?=;:k01<<72-9j47=9e:l0e2<6;21b?8650;&0e=<4>l1e?l951598m630290/?l6537g8j6g028?07d=:6;29 6g?2:o4=<0;6)=n8;15a>h4i>0:;65f34694?"4i108:h5a3`595==8j;o1b3?7f32c89<4?:%1bb:9j71`=83.8m54<6d9m7d1=9j10e>:j:18'7d>=;?o0b>o8:0f8?l53l3:1(>o7:24f?k5f?3;n76g<4b83>!5f039=i6`=n;=h1<7*=54i26b>5<#;h21?;k4n2c4>77<3`9?57>5$2c;>60b3g9j;7<=;:k00=<72-9j47=9e:l0e2<5;21b?9950;&0e=<4>l1e?l952598m621290/?l6537g8j6g02;?07d=85;29 6g?2:o4?=0;6)=n8;15a>h4i>09;65f36194?"4i108:h5a3`596==8j;o1b3?4f32c8;=4?:%1b;m:18'7d>=;?o0b>o8:3f8?l5283:1(>o7:24f?k5f?38n76g<4483>!5f039=i6`=n9891<7*=n98:1<7*65f11d94?"4i10:=?5a3`597>=n99o1<7*=n99i1<7*=n9931<7*=n99=1<7*=n99?1<7*=n9991<7*=n99;1<7*5<#;h21=<<4n2c4>47<3`ln6=4+3`:95445<#;h21=<<4n2c4>45<3`lh6=4+3`:95445<#;h21=<<4n2c4>43<3`lj6=4+3`:95445<#;h21=<<4n2c4>41<3`l36=4+3`:95445<#;h21=<<4n2c4>4?<3`l=6=4+3`:95445<#;h21=<<4n2c4>4d<3`l86=4+3`:95445<#;h21=<<4n2c4>4b<3`l:6=4+3`:95445<#;h21=<<4n2c4>4`<3`om6=4+3`:95444;hgf>5<#;h21=<<4n2c4>77<3`oo6=4+3`:95445<#;h21=<<4n2c4>75<3`oi6=4+3`:95445<#;h21=<<4n2c4>73<3`;:57>5$2c;>4753g9j;7<9;:k25=<72-9j47?>2:l0e2<5?21b=<950;&0e=<69;1e?l952998m471290/?l651008j6g02;307d?>5;29 6g?28;97c=n7;0b?>o69=0;6)=n8;326>h4i>09n65f11c94?"4i10:=?5a3`596f=i54ig694?"4i10:=?5a3`596`=k54o21g>5<#;h21?>m4n2c4>5=5<#;h21?>m4n2c4>7=54o21;>5<#;h21?>m4n2c4>1=5<#;h21?>m4n2c4>3=6=4+3`:976e5<#;h21?>m4n2c4>==5<#;h21?>m4n2c4>d=5<#;h21?>m4n2c4>f=5<#;h21?>m4n2c4>`=5<#;h21?>m4n2c4>46<3f99m7>5$2c;>65d3g9j;7?>;:m06=<72-9j47=07b==5;29 6g?2:9h7c=n7;36?>i4:=0;6)=n8;10g>h4i>0::65`33194?"4i108?n5a3`5952==l;o1b3?7>32e8>=4?:%1ba:9l74`=83.8m54<3b9m7d1=9k10c>?k:18'7d>=;:i0b>o8:0a8?j56k3:1(>o7:21`?k5f?3;o76a<1c83>!5f0398o6`=h;8k1<7*5<#;h21?>m4n2c4>76<3f9:47>5$2c;>65d3g9j;7<>;:m052<72-9j47=07b=>4;29 6g?2:9h7c=n7;06?>i4<:0;6)=n8;10g>h4i>09:65`35094?"4i108?n5a3`5962=:6=4+3`:976e=l;o1b3?4>32e8?k4?:%1b=;:18'7d>=;:i0b>o8:3a8?j5513:1(>o7:21`?k5f?38o76a<1d83>!5f0398o6`=h;891<7*k54i22f>5<#;h21?=j4n2c4>5=5<#;h21?=j4n2c4>7=54i22:>5<#;h21?=j4n2c4>1=5<#;h21?=j4n2c4>3=5<#;h21=>l4n2c4>5=5<#;h21=>l4n2c4>7=54i014>5<#;h21=>l4n2c4>1=5<#;h21=>l4n2c4>3=5<#;h21=>l4n2c4>==?6=4+3`:956d5<#;h21=>l4n2c4>d=96=4+3`:956d5<#;h21=>l4n2c4>f=;6=4+3`:956d5<#;h21=>l4n2c4>`=5<#;h21=>l4n2c4>46<3`;8?7>5$2c;>45e3g9j;7?>;:m0e5<72-9j47=6f:l0e2<732e85h4?:%1bn2d8m:4>;:m0=a<72-9j47=6f:l0e2<532e85n4?:%1bn2d8m:4<;:m0=g<72-9j47=6f:l0e2<332e85l4?:%1bn2d8m:4:;:m0=<<72-9j47=6f:l0e2<132e8554?:%1bn2d8m:48;:m0=3<72-9j47=6f:l0e2n2d8m:46;:m0=1<72-9j47=6f:l0e24?:%1bn2d8m:4m;:m0=7<72-9j47=6f:l0e2n2d8m:4k;:m0=5<72-9j47=6f:l0e2n2d8m:4i;:m0<`<72-9j47=6f:l0e2<6821d?5j50;&0e=<41o1e?l951098k6>e290/?l6538d8j6g028807b=7a;29 6g?2:3m7c=n7;30?>i4000;6)=n8;1:b>h4i>0:865`39:94?"4i1085k5a3`5950=7i;o1b3?7032e8484?:%1bn2d8m:4>8:9l7=2=83.8m54<9g9m7d1=9010c>6<:18'7d>=;0l0b>o8:0c8?j5?:3:1(>o7:2;e?k5f?3;i76a<8183>!5f0392j6`=h;>l1<7*5<#;h21?4h4n2c4>4c<3f95$2c;>6?a3g9j;7?i;:m03f<72-9j47=6f:l0e2<5821d?:l50;&0e=<41o1e?l952098k61f290/?l6538d8j6g02;807b=89;29 6g?2:3m7c=n7;00?>i4?10;6)=n8;1:b>h4i>09865`36594?"4i1085k5a3`5960=7i;o1b3?4032e8m94?:%1bn2d8m:4=8:9l7d5=83.8m54<9g9m7d1=:010c>o=:18'7d>=;0l0b>o8:3c8?j5f93:1(>o7:2;e?k5f?38i76a<9683>!5f0392j6`=h;1i1<7*i54o2:2>5<#;h21?4h4n2c4>7c<3f9<:7>5$2c;>6?a3g9j;75=h4i>0:76g60;29 6g?2080b>o8:398m=`=83.8m5462:l0e2<432c3i7>5$2c;><46`o?k3:1(>o7:808j6g02?10e5l50;&0e=<>:2d8m:48;:k:g?6=,:k364<4n2c4>==h4i>0276g6a;29 6g?2080b>o8:`98m5$2c;><46`o>>3:1(>o7:808j6g02l10e4;50;&0e=<>:2d8m:4i;:k:0?6=,:k364<4n2c4>46<3`2j6=4+3`:9=7=i;h=1=<54o36:>5<#;h21>964n2c4>5=<6=4+3`:961>5<#;h21>964n2c4>7=>6=4+3`:961>54o367>5<#;h21>964n2c4>1=86=4+3`:961>5<#;h21>964n2c4>3=;6=4+3`:961>5<#;h21>964n2c4>==5<#;h21>964n2c4>d=5<#;h21>964n2c4>f=5<#;h21>964n2c4>`=5<#;h21>964n2c4>46<3f8897>5$2c;>72?3g9j;7?>;:m171<72-9j47<;8:l0e2<6:21d>>=50;&0e=<5<11e?l951298k755290/?l6525:8j6g028>07b<<1;29 6g?2;>37c=n7;36?>i5;90;6)=n8;07<>h4i>0::65`23d94?"4i109855a3`5952=32e99=4?:%1ba:9l61`=83.8m54=499m7d1=9k10c?:j:18'7d>=:=20b>o8:0a8?j43l3:1(>o7:36;?k5f?3;o76a=4b83>!5f038?46`=h:=h1<7*5<#;h21>964n2c4>76<3f8?>7>5$2c;>72?3g9j;7<>;:m172<72-9j47<;8:l0e2<5:21d>?m50;&0e=<5<11e?l952298mf?=83.8m54l8:l0e2<73A9io65fc683>!5f03i37c=n7;38L6dd32ch:7>5$2c;>f>4?:%1bod:3:1(>o7:b:8j6g02?1C?om4;ha2>5<#;h21o55a3`593>N4jj10ei<50;&0e==nl80;6)=n8;a;?k5f?330D>ll;:kg4?6=,:k36n64n2c4>d=O;ki07dmi:18'7d>=k11e?l95b:J0ff=h4i>0h7E=mc:9jga<72-9j47m7;o1b3?b<@:hh76glc;29 6g?2j20b>o8:d9K7ge<3`ii6=4+3`:9g==i;h=1j6FN4jj10qo:jf;2950g=83:p(>m>:32b?M5dj2B8o>5Ue68a=7=9?0<>78j:00954<1k3=:6<=511873?{#;8:1=o5=i0>0:7com:09mef<63g;:n7>4$03`>6623g;:j7>4n013>4=i:931=6`=5082?k57n3;0b>on:09'7g1=;j:0e<<;:188m6d62900e>l=:188m4422900e>l<:188m44?2900e<<<:188k47b2900e>l::188m6d32900e<2900e<<>:188k47c2900e>oi:188m6d12900eo850;&0e=4=h4i>0976gm2;29 6g?2k?0b>o8:298mg7=83.8m54m5:l0e2<332ci<7>5$2c;>g3ofm3:1(>o7:c78j6g02>10eoh50;&0e=<=h4i>0j76gmc;29 6g?2k?0b>o8:c98mgd=83.8m54m5:l0e25$2c;>g3oe03:1(>o7:c78j6g02o10eo950;&0e=0:9jea<72-9j47l:;o1b3?7632eoo7>5$2c;>adic13:1(>o7:e`8j6g02;10ci650;&0e=1=h4i>0>76ak5;29 6g?2mh0b>o8:798ka2=83.8m54kb:l0e2<032en97>5$2c;>adib;3:1(>o7:e`8j6g02h10ch<50;&0e=f=h4i>0o76akf;29 6g?2mh0b>o8:d98kac=83.8m54kb:l0e25$2c;>ad4;nf0>5<#;h21ho5a3`5954=5<#;h21?;k4n2c4>4=5<#;h21?;k4n2c4>6=5<#;h21?;k4n2c4>0=5<#;h21?;k4n2c4>2=6=4+3`:973c5<#;h21?;k4n2c4><=5<#;h21?;k4n2c4>g=5<#;h21?;k4n2c4>a=5<#;h21?;k4n2c4>c=4;h16g?6=,:k36>8j;o1b3?7632c89l4?:%1b2:9j70?=83.8m54<6d9m7d1=9:10e>;7:18'7d>=;?o0b>o8:068?l52?3:1(>o7:24f?k5f?3;>76g<5783>!5f039=i6`=n;5<#;h21?;k4n2c4>4><3`9>?7>5$2c;>60b3g9j;7?6;:k017<72-9j47=9e:l0e2<6i21b?8?50;&0e=<4>l1e?l951c98m62a290/?l6537g8j6g028i07d=;e;29 6g?2:o4h4i>0:i65f35a94?"4i108:h5a3`595c=i6=4+3`:973c4;h17e?6=,:k36>8j;o1b3?4632c8844?:%1b=83.8m54<6d9m7d1=::10e>:8:18'7d>=;?o0b>o8:368?l53>3:1(>o7:24f?k5f?38>76g<7483>!5f039=i6`=n;>>1<7*:54i250>5<#;h21?;k4n2c4>7><3`9<>7>5$2c;>60b3g9j;7<6;:k034<72-9j47=9e:l0e2<5i21b?:>50;&0e=<4>l1e?l952c98m601290/?l6537g8j6g02;i07d=:b;29 6g?2:o4=90;6)=n8;15a>h4i>09i65f35794?"4i108:h5a3`596c=5<#;h21=<<4n2c4>4=5<#;h21=<<4n2c4>6=5<#;h21=<<4n2c4>0=5<#;h21=<<4n2c4>2=5<#;h21=<<4n2c4><=5<#;h21=<<4n2c4>g=6=4+3`:95445<#;h21=<<4n2c4>a=5<#;h21=<<4n2c4>c=4;h334?6=,:k365$2c;>4753g9j;7?=;:ke`?6=,:k365$2c;>4753g9j;7?;;:kef?6=,:k365$2c;>4753g9j;7?9;:ke=?6=,:k365$2c;>4753g9j;7?7;:ke3?6=,:k3632cm:7>5$2c;>4753g9j;7?n;:ke1?6=,:k365$2c;>4753g9j;7?l;:ke6?6=,:k365$2c;>4753g9j;7?j;:ke4?6=,:k365$2c;>4753g9j;75$2c;>4753g9j;7<=;:kfg?6=,:k365$2c;>4753g9j;7<;;:kfe?6=,:k36=83.8m54>139m7d1=:>10e=9880b>o8:3:8?l76>3:1(>o7:031?k5f?38276g>1483>!5f03;:>6`=n98>1<7*o54i02b>5<#;h21=<<4n2c4>7e<3`lm6=4+3`:95445<#;h21=<<4n2c4>7c<3`o26=4+3`:9544=l;o1b3?6<3f98n7>5$2c;>65d3g9j;7?4;n10e?6=,:k36>=l;o1b3?4<3f9857>5$2c;>65d3g9j;7=4;n10=l;o1b3?2<3f98;7>5$2c;>65d3g9j;7;4;n102?6=,:k36>=l;o1b3?0<3f9897>5$2c;>65d3g9j;794;n107?6=,:k36>=l;o1b3?><3f98>7>5$2c;>65d3g9j;774;n105?6=,:k36>=l;o1b3?g<3f98<7>5$2c;>65d3g9j;7l4;n11b?6=,:k36>=l;o1b3?e<3f99i7>5$2c;>65d3g9j;7j4;n11`?6=,:k36>=l;o1b3?c<3f99o7>5$2c;>65d3g9j;7h4;n11f?6=,:k36>=l;o1b3?7732e8>l4?:%1b1:9l77>=83.8m54<3b9m7d1=9;10c><8:18'7d>=;:i0b>o8:018?j55>3:1(>o7:21`?k5f?3;?76a<2483>!5f0398o6`=h;;>1<7*5<#;h21?>m4n2c4>41<3f99>7>5$2c;>65d3g9j;7?7;:m064<72-9j47=50;&0e=<4;j1e?l951`98k67a290/?l6532a8j6g028h07b=>d;29 6g?2:9h7c=n7;3`?>i49j0;6)=n8;10g>h4i>0:h65`30`94?"4i108?n5a3`595`==l;o1b3?4732e8=54?:%1b?9:18'7d>=;:i0b>o8:318?j56=3:1(>o7:21`?k5f?38?76a<1583>!5f0398o6`=h;=91<7*;54o261>5<#;h21?>m4n2c4>71<3f9?=7>5$2c;>65d3g9j;7<7;:m005<72-9j47=h50;&0e=<4;j1e?l952`98k65b290/?l6532a8j6g02;h07b=<4;29 6g?2:9h7c=n7;0`?>i4:00;6)=n8;10g>h4i>09h65`30g94?"4i108?n5a3`596`=>k;o1b3?6<3`9;o7>5$2c;>66c3g9j;7?4;h13f?6=,:k36>>k;o1b3?4<3`9;m7>5$2c;>66c3g9j;7=4;h13=?6=,:k36>>k;o1b3?2<3`9;47>5$2c;>66c3g9j;7;4;h133?6=,:k36>>k;o1b3?0<3`9;:7>5$2c;>66c3g9j;794;h30g?6=,:k36<=m;o1b3?6<3`;8m7>5$2c;>45e3g9j;7?4;h30=?6=,:k36<=m;o1b3?4<3`;847>5$2c;>45e3g9j;7=4;h303?6=,:k36<=m;o1b3?2<3`;8:7>5$2c;>45e3g9j;7;4;h301?6=,:k36<=m;o1b3?0<3`;887>5$2c;>45e3g9j;794;h371?6=,:k36<=m;o1b3?><3`;?87>5$2c;>45e3g9j;774;h377?6=,:k36<=m;o1b3?g<3`;?>7>5$2c;>45e3g9j;7l4;h375?6=,:k36<=m;o1b3?e<3`;?<7>5$2c;>45e3g9j;7j4;h30b?6=,:k36<=m;o1b3?c<3`;8i7>5$2c;>45e3g9j;7h4;h30`?6=,:k36<=m;o1b3?7732c:?>4?:%1b1:9l7d6=83.8m54<9g9m7d1=821d?4k50;&0e=<41o1e?l951:9l721d?4650;&0e=<41o1e?l957:9l7<0=83.8m54<9g9m7d1=021d?4;50;&0e=<41o1e?l959:9l7<2=83.8m54<9g9m7d1=i21d?4=50;&0e=<41o1e?l95b:9l7<4=83.8m54<9g9m7d1=k21d?4?50;&0e=<41o1e?l95d:9l7<6=83.8m54<9g9m7d1=m21d?5h50;&0e=<41o1e?l95f:9l7=c=83.8m54<9g9m7d1=9910c>6k:18'7d>=;0l0b>o8:038?j5?j3:1(>o7:2;e?k5f?3;976a<8`83>!5f0392j6`=h;131<7*5<#;h21?4h4n2c4>43<3f93;7>5$2c;>6?a3g9j;7?9;:m0<3<72-9j47=6f:l0e2<6?21d?5;50;&0e=<41o1e?l951998k6>3290/?l6538d8j6g028307b=73;29 6g?2:3m7c=n7;3b?>i40;0;6)=n8;1:b>h4i>0:n65`39294?"4i1085k5a3`595f=7i;o1b3?7b32e8;i4?:%1bn2d8m:4>f:9l72e=83.8m54<9g9m7d1=:910c>9m:18'7d>=;0l0b>o8:338?j50i3:1(>o7:2;e?k5f?38976a<7883>!5f0392j6`=h;>21<7*954o254>5<#;h21?4h4n2c4>73<3f9j:7>5$2c;>6?a3g9j;7<9;:m0e0<72-9j47=6f:l0e2<5?21d?l:50;&0e=<41o1e?l952998k6g4290/?l6538d8j6g02;307b=n2;29 6g?2:3m7c=n7;0b?>i4i80;6)=n8;1:b>h4i>09n65`38594?"4i1085k5a3`596f=7i;o1b3?4b32e8;;4?:%1bn2d8m:4=f:9j=6<72-9j477=;o1b3?6<3`3:6=4+3`:9=7=i;h=1=65f9183>!5f03397c=n7;08?l>a290/?l65939m7d1=;21b4h4?:%1b5<#;h215?5a3`591>=n0j0;6)=n8;;1?k5f?3<07d6m:18'7d>=1;1e?l957:9j=f<72-9j477=;o1b3?><3`3i6=4+3`:9=7=i;h=1565f9`83>!5f03397c=n7;c8?l?>290/?l65939m7d1=j21b554?:%1b5<#;h215?5a3`59`>=n1?0;6)=n8;;1?k5f?3o07d7::18'7d>=1;1e?l95f:9j=1<72-9j477=;o1b3?7732c3m7>5$2c;><45$2c;>72?3g9j;7?4;n072?6=,:k36?:7;o1b3?4<3f8?97>5$2c;>72?3g9j;7=4;n070?6=,:k36?:7;o1b3?2<3f8??7>5$2c;>72?3g9j;7;4;n075?6=,:k36?:7;o1b3?0<3f8?<7>5$2c;>72?3g9j;794;n00b?6=,:k36?:7;o1b3?><3f88i7>5$2c;>72?3g9j;774;n00`?6=,:k36?:7;o1b3?g<3f88o7>5$2c;>72?3g9j;7l4;n00f?6=,:k36?:7;o1b3?e<3f88m7>5$2c;>72?3g9j;7j4;n00=?6=,:k36?:7;o1b3?c<3f8847>5$2c;>72?3g9j;7h4;n002?6=,:k36?:7;o1b3?7732e9?84?:%1b1:9l662=83.8m54=499m7d1=9;10c?=<:18'7d>=:=20b>o8:018?j44:3:1(>o7:36;?k5f?3;?76a=3083>!5f038?46`=h:::1<7*5<#;h21>964n2c4>41<3f89i7>5$2c;>72?3g9j;7?7;:m16a<72-9j47<;8:l0e2<6121d>8>50;&0e=<5<11e?l951`98k72a290/?l6525:8j6g028h07b<;e;29 6g?2;>37c=n7;3`?>i5h4i>0:h65`25a94?"4i109855a3`595`=i6=4+3`:961>=:=20b>o8:318?le>290/?l65c99m7d1=82B8nn54ib594?"4i10h46`od=3:1(>o7:b:8j6g02:1C?om4;ha7>5<#;h21o55a3`590>N4jj10en=50;&0e==nk;0;6)=n8;a;?k5f?3<0D>ll;:k`5?6=,:k36n64n2c4>2=O;ki07dj=:18'7d>=k11e?l958:J0ff=h4i>027E=mc:9j`5<72-9j47m7;o1b3?g<@:hh76glf;29 6g?2j20b>o8:c9K7ge<3`in6=4+3`:9g==i;h=1o6F!5f03i37c=n7;g8L6dd32chn7>5$2c;>f>5<#;h21o55a3`5954=O;ki07pl;f183>43f290;w)=l1;03e>N4kk1C?n=4Zd59f~>628<1;?49e;31>47=>j0<=7?<:02902h48o0:7c=na;38 6d02:i;7d?=4;29?l5e93:17d=m2;29?l75=3:17d=m3;29?l7503:17d?=3;29?j76m3:17d=m5;29?l5e<3:17d?=c;29?l5e83:17d?=7;29?l75:3:17d?>a;29?l7513:17d?=1;29?j76l3:17d=nf;29?l5e>3:17dl9:18'7d>=j<1e?l950:9jf1<72-9j47l:;o1b3?7<3`h86=4+3`:9f0=i;h=1>65fb383>!5f03h>7c=n7;18?ld6290/?l65b49m7d1=<21bn=4?:%1b5<#;h21n85a3`592>=nil0;6)=n8;`6?k5f?3=07dli:18'7d>=j<1e?l958:9jf`<72-9j47l:;o1b3??<3`ho6=4+3`:9f0=i;h=1m65fbb83>!5f03h>7c=n7;`8?lde290/?l65b49m7d1=k21bnl4?:%1b5<#;h21n85a3`59a>=nj10;6)=n8;`6?k5f?3l07dl8:18'7d>=j<1e?l951198mdb=83.8m54m5:l0e2<6921dhn4?:%1b4;nfb>5<#;h21ho5a3`595>=hl00;6)=n8;fa?k5f?3807bj7:18'7d>=lk1e?l953:9l`2<72-9j47jm;o1b3?2<3fn=6=4+3`:9`g=i;h=1965`d483>!5f03ni7c=n7;48?jb3290/?l65dc9m7d1=?21di84?:%1b5<#;h21ho5a3`59=>=hm:0;6)=n8;fa?k5f?3k07bk=:18'7d>=lk1e?l95b:9la4<72-9j47jm;o1b3?e<3fo;6=4+3`:9`g=i;h=1h65`dg83>!5f03ni7c=n7;g8?jbb290/?l65dc9m7d1=n21dhi4?:%1b47<3`9=j7>5$2c;>60b3g9j;7>4;h15`?6=,:k36>8j;o1b3?7<3`9=o7>5$2c;>60b3g9j;7<4;h15f?6=,:k36>8j;o1b3?5<3`9=m7>5$2c;>60b3g9j;7:4;h15=?6=,:k36>8j;o1b3?3<3`9=47>5$2c;>60b3g9j;784;h153?6=,:k36>8j;o1b3?1<3`9=97>5$2c;>60b3g9j;764;h150?6=,:k36>8j;o1b3??<3`9=?7>5$2c;>60b3g9j;7o4;h156?6=,:k36>8j;o1b3?d<3`9==7>5$2c;>60b3g9j;7m4;h154?6=,:k36>8j;o1b3?b<3`9>j7>5$2c;>60b3g9j;7k4;h16a?6=,:k36>8j;o1b3?`<3`9>h7>5$2c;>60b3g9j;7??;:k01f<72-9j47=9e:l0e2<6921b?8o50;&0e=<4>l1e?l951398m63>290/?l6537g8j6g028907d=:8;29 6g?2:o4=>0;6)=n8;15a>h4i>0:965f34494?"4i108:h5a3`5953=6=4+3`:973c8j;o1b3?7?32c89>4?:%1b9:9j704=83.8m54<6d9m7d1=9h10e>;>:18'7d>=;?o0b>o8:0`8?l53n3:1(>o7:24f?k5f?3;h76g<4d83>!5f039=i6`=n;=n1<7*5<#;h21?;k4n2c4>4`<3`9?n7>5$2c;>60b3g9j;7l1e?l952398m62?290/?l6537g8j6g02;907d=;7;29 6g?2:o4h4i>09965f36794?"4i108:h5a3`5963=8j;o1b3?4?32c8;?4?:%1b9?:18'7d>=;?o0b>o8:3`8?l51>3:1(>o7:24f?k5f?38h76g<5c83>!5f039=i6`=n;<:1<7*h54i266>5<#;h21?;k4n2c4>7`<3`;:?7>5$2c;>4753g9j;7>4;h325?6=,:k365$2c;>4753g9j;7<4;h33b?6=,:k365$2c;>4753g9j;7:4;h33`?6=,:k365$2c;>4753g9j;784;h33f?6=,:k365$2c;>4753g9j;764;h335$2c;>4753g9j;7o4;h332?6=,:k365$2c;>4753g9j;7m4;h330?6=,:k365$2c;>4753g9j;7k4;h336?6=,:k365$2c;>4753g9j;7??;:k245<72-9j47?>2:l0e2<6921bjh4?:%1b2:9jba<72-9j47?>2:l0e2<6;21bjn4?:%1b4:9jbg<72-9j47?>2:l0e2<6=21bjl4?:%1b6:9jb<<72-9j47?>2:l0e2<6?21bj54?:%1b8:9jb2<72-9j47?>2:l0e2<6121bj;4?:%1ba:9jb0<72-9j47?>2:l0e2<6j21bj>4?:%1bc:9jb7<72-9j47?>2:l0e2<6l21bj<4?:%1be:9jb5<72-9j47?>2:l0e2<6n21bik4?:%1b2:l0e2<5921bii4?:%1b2:l0e2<5;21bio4?:%1b2:l0e2<5=21b=<750;&0e=<69;1e?l952798m47?290/?l651008j6g02;=07d?>7;29 6g?28;97c=n7;0;?>o69?0;6)=n8;326>h4i>09565f10794?"4i10:=?5a3`596d=5$2c;>4753g9j;75$2c;>4753g9j;7;:m07d<72-9j47=h4?:%1bn4?:%1bi4:?0;6)=n8;10g>h4i>0:865`33794?"4i108?n5a3`5950==l;o1b3?7032e8>?4?:%1b8:9l777=83.8m54<3b9m7d1=9010c>=;:i0b>o8:0c8?j56n3:1(>o7:21`?k5f?3;i76a<1e83>!5f0398o6`=h;8i1<7*5<#;h21?>m4n2c4>4c<3f9:m7>5$2c;>65d3g9j;7?i;:m05<<72-9j47=6;29 6g?2:9h7c=n7;00?>i49<0;6)=n8;10g>h4i>09865`30694?"4i108?n5a3`5960=86=4+3`:976e=l;o1b3?4032e88<4?:%1b=i:18'7d>=;:i0b>o8:3c8?j54m3:1(>o7:21`?k5f?38i76a<3583>!5f0398o6`=h;;31<7*i54o23f>5<#;h21?>m4n2c4>7c<3f9:?7>5$2c;>65d3g9j;7;:k04g<72-9j47=?d:l0e2<532c8;:k27<<72-9j47?=50;&0e=<6;k1e?l951098k6g7290/?l6538d8j6g02910c>7j:18'7d>=;0l0b>o8:098k6?c290/?l6538d8j6g02;10c>7l:18'7d>=;0l0b>o8:298k6?e290/?l6538d8j6g02=10c>7n:18'7d>=;0l0b>o8:498k6?>290/?l6538d8j6g02?10c>77:18'7d>=;0l0b>o8:698k6?1290/?l6538d8j6g02110c>7::18'7d>=;0l0b>o8:898k6?3290/?l6538d8j6g02h10c>7<:18'7d>=;0l0b>o8:c98k6?5290/?l6538d8j6g02j10c>7>:18'7d>=;0l0b>o8:e98k6?7290/?l6538d8j6g02l10c>6i:18'7d>=;0l0b>o8:g98k6>b290/?l6538d8j6g028:07b=7d;29 6g?2:3m7c=n7;32?>i40k0;6)=n8;1:b>h4i>0:>65`39c94?"4i1085k5a3`5956=7i;o1b3?7232e84:4?:%1bn2d8m:4>6:9l7=0=83.8m54<9g9m7d1=9>10c>6::18'7d>=;0l0b>o8:0:8?j5?<3:1(>o7:2;e?k5f?3;276a<8283>!5f0392j6`=h;181<7*5<#;h21?4h4n2c4>4e<3f95$2c;>6?a3g9j;7?k;:m03`<72-9j47=6f:l0e2<6m21d?:j50;&0e=<41o1e?l951g98k61d290/?l6538d8j6g02;:07b=8b;29 6g?2:3m7c=n7;02?>i4?h0;6)=n8;1:b>h4i>09>65`36;94?"4i1085k5a3`5966=7i;o1b3?4232e8m;4?:%1bn2d8m:4=6:9l7d3=83.8m54<9g9m7d1=:>10c>o;:18'7d>=;0l0b>o8:3:8?j5f;3:1(>o7:2;e?k5f?38276a!5f0392j6`=h;h;1<7*o54o2;4>5<#;h21?4h4n2c4>7e<3f93o7>5$2c;>6?a3g9j;75$2c;><46`o?n3:1(>o7:808j6g02:10e5k50;&0e=<>:2d8m:4;;:k;`?6=,:k364<4n2c4>0=h4i>0=76g7b;29 6g?2080b>o8:698m5$2c;><46`o>13:1(>o7:808j6g02k10e4650;&0e=<>:2d8m:4l;:k:3?6=,:k364<4n2c4>a=h4i>0n76g65;29 6g?2080b>o8:g98m<2=83.8m5462:l0e2<6821b4l4?:%1b;:m10<<72-9j47<;8:l0e2<732e98:4?:%1b;:m103<72-9j47<;8:l0e2<532e9884?:%1b4?:%1b>;50;&0e=<5<11e?l951098k753290/?l6525:8j6g028807b<<3;29 6g?2;>37c=n7;30?>i5;;0;6)=n8;07<>h4i>0:865`22394?"4i109855a3`5950=h4?:%1b8:9l67b=83.8m54=499m7d1=9010c?;?:18'7d>=:=20b>o8:0c8?j43n3:1(>o7:36;?k5f?3;i76a=4d83>!5f038?46`=h:=n1<7*5<#;h21>964n2c4>4c<3f8?n7>5$2c;>72?3g9j;7?i;:m10d<72-9j47<;8:l0e2<5821d>9<50;&0e=<5<11e?l952098k750290/?l6525:8j6g02;807b<=c;29 6g?2;>37c=n7;00?>od13:1(>o7:b:8j6g0291C?om4;ha4>5<#;h21o55a3`595>N4jj10en850;&0e==nk<0;6)=n8;a;?k5f?390D>ll;:k`0?6=,:k36n64n2c4>1=O;ki07dm<:18'7d>=k11e?l955:J0ff=h4i>0=7E=mc:9jg4<72-9j47m7;o1b3?1<@:hh76gk2;29 6g?2j20b>o8:99K7ge<3`n:6=4+3`:9g==i;h=156F!5f03i37c=n7;`8L6dd32chi7>5$2c;>f>odi3:1(>o7:b:8j6g028:0D>ll;:k`4?6=,:k36n64n2c4>47<@:hh76sm4g394?72i3:1m<;[g4>g}?93;=6:<56d826?762?i1;<4>3;33>11=u-9:<7?m2b9m<0<73g2<6<5aac82?kgd281e=4=i;9l1=6`2583>>o4j80;66g>o6:<0;66g>o6:10;66g>2283>>i69l0;66g>o4j=0;66g>2b83>>o4j90;66g>2683>>o6:;0;66g>1`83>>o6:00;66g>2083>>i69m0;66g>o4j?0;66gm6;29 6g?2k?0b>o8:198mg2=83.8m54m5:l0e2<632ci?7>5$2c;>g3oe93:1(>o7:c78j6g02=10eo>50;&0e=3=h4i>0<76gmf;29 6g?2k?0b>o8:998mgc=83.8m54m5:l0e2<>32cih7>5$2c;>g3oej3:1(>o7:c78j6g02j10eoo50;&0e=`=h4i>0m76gm7;29 6g?2k?0b>o8:028?lgc290/?l65b49m7d1=9810cim50;&0e=4=h4i>0976ak8;29 6g?2mh0b>o8:298ka1=83.8m54kb:l0e2<332eo:7>5$2c;>adic<3:1(>o7:e`8j6g02>10ch;50;&0e=<=h4i>0j76aj2;29 6g?2mh0b>o8:c98k`7=83.8m54kb:l0e25$2c;>adicm3:1(>o7:e`8j6g02o10cij50;&0e=0:9l`6<72-9j47jm;o1b3?7632c8:k4?:%1b32c8:>4?:%1b0:9j70e=83.8m54<6d9m7d1=9810e>;n:18'7d>=;?o0b>o8:008?l5213:1(>o7:24f?k5f?3;876g<5983>!5f039=i6`=n;<=1<7*5<#;h21?;k4n2c4>40<3`9>97>5$2c;>60b3g9j;7?8;:k011<72-9j47=9e:l0e2<6021b?8=50;&0e=<4>l1e?l951898m635290/?l6537g8j6g028k07d=:1;29 6g?2:o4h4i>0:o65f35g94?"4i108:h5a3`595a=o6=4+3`:973c8j;o1b3?7a32c88o4?:%1b:6:18'7d>=;?o0b>o8:308?l5303:1(>o7:24f?k5f?38876g<4683>!5f039=i6`=n;=<1<7*854i256>5<#;h21?;k4n2c4>70<3`9<87>5$2c;>60b3g9j;7<8;:k036<72-9j47=9e:l0e2<5021b?:<50;&0e=<4>l1e?l952898m616290/?l6537g8j6g02;k07d=80;29 6g?2:o4>?0;6)=n8;15a>h4i>09o65f34`94?"4i108:h5a3`596a=8j;o1b3?4a32c:=>4?:%1b2:l0e2<632c:==4?:%1b2:l0e2<432c:2:l0e2<232c:2:l0e2<032c:<44?:%1b2:l0e2<>32c:<:4?:%1b2:l0e22:l0e24?:%1b2:l0e20:9j556=83.8m54>139m7d1=9810ekk50;&0e=<69;1e?l951398mcb=83.8m54>139m7d1=9:10ekm50;&0e=<69;1e?l951598mcd=83.8m54>139m7d1=9<10eko50;&0e=<69;1e?l951798mc?=83.8m54>139m7d1=9>10ek650;&0e=<69;1e?l951998mc1=83.8m54>139m7d1=9010ek850;&0e=<69;1e?l951`98mc3=83.8m54>139m7d1=9k10ek=50;&0e=<69;1e?l951b98mc4=83.8m54>139m7d1=9m10ek?50;&0e=<69;1e?l951d98mc6=83.8m54>139m7d1=9o10ehh50;&0e=<69;1e?l952198m`c=83.8m54>139m7d1=:810ehj50;&0e=<69;1e?l952398m`e=83.8m54>139m7d1=::10ehl50;&0e=<69;1e?l952598m`g=83.8m54>139m7d1=:<10e=9880b>o8:348?l7603:1(>o7:031?k5f?38<76g>1683>!5f03;:>6`=n98<1<7*454i036>5<#;h21=<<4n2c4>7g<3`;:87>5$2c;>4753g9j;72:l0e2<5k21bjk4?:%1b2:l0e2<5m21bi44?:%1bl50;&0e=<4;j1e?l951:9l76g=83.8m54<3b9m7d1=:21d?>750;&0e=<4;j1e?l953:9l76>=83.8m54<3b9m7d1=<21d?>950;&0e=<4;j1e?l955:9l760=83.8m54<3b9m7d1=>21d?>;50;&0e=<4;j1e?l957:9l765=83.8m54<3b9m7d1=021d?><50;&0e=<4;j1e?l959:9l767=83.8m54<3b9m7d1=i21d?>>50;&0e=<4;j1e?l95b:9l77`=83.8m54<3b9m7d1=k21d??k50;&0e=<4;j1e?l95d:9l77b=83.8m54<3b9m7d1=m21d??m50;&0e=<4;j1e?l95f:9l77d=83.8m54<3b9m7d1=9910c>=;:i0b>o8:038?j5503:1(>o7:21`?k5f?3;976a<2683>!5f0398o6`=h;;<1<7*5<#;h21?>m4n2c4>43<3f9987>5$2c;>65d3g9j;7?9;:m066<72-9j47=i49o0;6)=n8;10g>h4i>0:n65`30f94?"4i108?n5a3`595f==l;o1b3?7b32e8=l4?:%1bf:9l74?=83.8m54<3b9m7d1=:910c>?7:18'7d>=;:i0b>o8:338?j56?3:1(>o7:21`?k5f?38976a<1783>!5f0398o6`=h;8?1<7*954o237>5<#;h21?>m4n2c4>73<3f9??7>5$2c;>65d3g9j;7<9;:m007<72-9j47=i4;l0;6)=n8;10g>h4i>09n65`32694?"4i108?n5a3`596f==l;o1b3?4b32e8=>4?:%1b21b?=850;&0e=<48m1e?l957:9j56e=83.8m54>3c9m7d1=821b=>o50;&0e=<6;k1e?l951:9j56?=83.8m54>3c9m7d1=:21b=>650;&0e=<6;k1e?l953:9j561=83.8m54>3c9m7d1=<21b=>850;&0e=<6;k1e?l955:9j563=83.8m54>3c9m7d1=>21b=>:50;&0e=<6;k1e?l957:9j513=83.8m54>3c9m7d1=021b=9:50;&0e=<6;k1e?l959:9j515=83.8m54>3c9m7d1=i21b=9<50;&0e=<6;k1e?l95b:9j517=83.8m54>3c9m7d1=k21b=9>50;&0e=<6;k1e?l95d:9j56`=83.8m54>3c9m7d1=m21b=>k50;&0e=<6;k1e?l95f:9j56b=83.8m54>3c9m7d1=9910e<=<:18'7d>=9:h0b>o8:038?j5f83:1(>o7:2;e?k5f?3:07b=6e;29 6g?2:3m7c=n7;38?j5>l3:1(>o7:2;e?k5f?3807b=6c;29 6g?2:3m7c=n7;18?j5>j3:1(>o7:2;e?k5f?3>07b=6a;29 6g?2:3m7c=n7;78?j5>13:1(>o7:2;e?k5f?3<07b=68;29 6g?2:3m7c=n7;58?j5>>3:1(>o7:2;e?k5f?3207b=65;29 6g?2:3m7c=n7;;8?j5><3:1(>o7:2;e?k5f?3k07b=63;29 6g?2:3m7c=n7;`8?j5>:3:1(>o7:2;e?k5f?3i07b=61;29 6g?2:3m7c=n7;f8?j5>83:1(>o7:2;e?k5f?3o07b=7f;29 6g?2:3m7c=n7;d8?j5?m3:1(>o7:2;e?k5f?3;;76a<8e83>!5f0392j6`=h;1h1<7*5<#;h21?4h4n2c4>45<3f9357>5$2c;>6?a3g9j;7?;;:m0<=<72-9j47=6f:l0e2<6=21d?5950;&0e=<41o1e?l951798k6>1290/?l6538d8j6g028=07b=75;29 6g?2:3m7c=n7;3;?>i40=0;6)=n8;1:b>h4i>0:565`39194?"4i1085k5a3`595d=7i;o1b3?7d32e8;k4?:%1bn2d8m:4>d:9l72c=83.8m54<9g9m7d1=9l10c>9k:18'7d>=;0l0b>o8:0d8?j50k3:1(>o7:2;e?k5f?38;76a<7c83>!5f0392j6`=h;>k1<7*?54o25:>5<#;h21?4h4n2c4>75<3f9<47>5$2c;>6?a3g9j;7<;;:m032<72-9j47=6f:l0e2<5=21d?l850;&0e=<41o1e?l952798k6g2290/?l6538d8j6g02;=07b=n4;29 6g?2:3m7c=n7;0;?>i4i:0;6)=n8;1:b>h4i>09565`3`094?"4i1085k5a3`596d=7i;o1b3?4d32e84n4?:%1bn2d8m:4=d:9l7=7=83.8m54<9g9m7d1=:l10c>99:18'7d>=;0l0b>o8:3d8?l?4290/?l65939m7d1=821b5<4?:%1b5<#;h215?5a3`596>=n0o0;6)=n8;;1?k5f?3907d6j:18'7d>=1;1e?l954:9j!5f03397c=n7;58?l?d290/?l65939m7d1=021b5o4?:%1b5<#;h215?5a3`59e>=n100;6)=n8;;1?k5f?3h07d77:18'7d>=1;1e?l95c:9j=2<72-9j477=;o1b3?b<3`3=6=4+3`:9=7=i;h=1i65f9483>!5f03397c=n7;d8?l?3290/?l65939m7d1=9910e5o50;&0e=<>:2d8m:4>1:9l61?=83.8m54=499m7d1=821d>9950;&0e=<5<11e?l951:9l610=83.8m54=499m7d1=:21d>9;50;&0e=<5<11e?l953:9l612=83.8m54=499m7d1=<21d>9=50;&0e=<5<11e?l955:9l617=83.8m54=499m7d1=>21d>9>50;&0e=<5<11e?l957:9l66`=83.8m54=499m7d1=021d>>k50;&0e=<5<11e?l959:9l66b=83.8m54=499m7d1=i21d>>m50;&0e=<5<11e?l95b:9l66d=83.8m54=499m7d1=k21d>>o50;&0e=<5<11e?l95d:9l66?=83.8m54=499m7d1=m21d>>650;&0e=<5<11e?l95f:9l660=83.8m54=499m7d1=9910c?=::18'7d>=:=20b>o8:038?j44<3:1(>o7:36;?k5f?3;976a=3283>!5f038?46`=h::81<7*5<#;h21>964n2c4>43<3f88<7>5$2c;>72?3g9j;7?9;:m16c<72-9j47<;8:l0e2<6?21d>?k50;&0e=<5<11e?l951998k74c290/?l6525:8j6g028307b<:0;29 6g?2;>37c=n7;3b?>i5h4i>0:n65`25g94?"4i109855a3`595f=o6=4+3`:961>f:9l61g=83.8m54=499m7d1=:910c?:=:18'7d>=:=20b>o8:338?j44?3:1(>o7:36;?k5f?38976a=2b83>!5f038?46`=nk00;6)=n8;a;?k5f?3:0D>ll;:k`3?6=,:k36n64n2c4>4=O;ki07dm9:18'7d>=k11e?l952:J0ff=h4i>087E=mc:9jg1<72-9j47m7;o1b3?2<@:hh76gl3;29 6g?2j20b>o8:49K7ge<3`i96=4+3`:9g==i;h=1:6F!5f03i37c=n7;:8L6dd32co=7>5$2c;>f>odl3:1(>o7:b:8j6g02m1C?om4;ha`>5<#;h21o55a3`59a>N4jj10enl50;&0e==nkh0;6)=n8;a;?k5f?3;;7E=mc:9jg5<72-9j47m7;o1b3?763A9io65rb5d1>5<6=h0;6=u+3b3965g<@:ii7E=l3:Xf3?d|080::79=:7g957<693b3a8j=3=82d3;7?4n``95>hfk3;0b;o065?7"4j>08o=5f13694?=n;k;1<75f3c094?=n9;?1<75f3c194?=n9;21<75f13194?=h98o1<75f3c794?=n;k>1<75f13a94?=n;k:1<75f13594?=n9;81<75f10c94?=n9;31<75f13394?=h98n1<75f3`d94?=n;k<1<75fb783>!5f03h>7c=n7;28?ld3290/?l65b49m7d1=921bn>4?:%1b5<#;h21n85a3`597>=nj80;6)=n8;`6?k5f?3>07dl?:18'7d>=j<1e?l955:9jec<72-9j47l:;o1b3?0<3`kn6=4+3`:9f0=i;h=1;65fbg83>!5f03h>7c=n7;:8?ldb290/?l65b49m7d1=121bni4?:%1b5<#;h21n85a3`59f>=njk0;6)=n8;`6?k5f?3i07dln:18'7d>=j<1e?l95d:9jf<<72-9j47l:;o1b3?c<3`h36=4+3`:9f0=i;h=1j65fb683>!5f03h>7c=n7;33?>ofl3:1(>o7:c78j6g028;07bjl:18'7d>=lk1e?l950:9l`d<72-9j47jm;o1b3?7<3fn26=4+3`:9`g=i;h=1>65`d983>!5f03ni7c=n7;18?jb0290/?l65dc9m7d1=<21dh;4?:%1b5<#;h21ho5a3`592>=hl=0;6)=n8;fa?k5f?3=07bk::18'7d>=lk1e?l958:9la1<72-9j47jm;o1b3??<3fo86=4+3`:9`g=i;h=1m65`e383>!5f03ni7c=n7;`8?jc6290/?l65dc9m7d1=k21di=4?:%1b5<#;h21ho5a3`59a>=hll0;6)=n8;fa?k5f?3l07bjk:18'7d>=lk1e?l951198ka5=83.8m54kb:l0e2<6921b?;h50;&0e=<4>l1e?l950:9j73b=83.8m54<6d9m7d1=921b?;m50;&0e=<4>l1e?l952:9j73d=83.8m54<6d9m7d1=;21b?;o50;&0e=<4>l1e?l954:9j73?=83.8m54<6d9m7d1==21b?;650;&0e=<4>l1e?l956:9j731=83.8m54<6d9m7d1=?21b?;;50;&0e=<4>l1e?l958:9j732=83.8m54<6d9m7d1=121b?;=50;&0e=<4>l1e?l95a:9j734=83.8m54<6d9m7d1=j21b?;?50;&0e=<4>l1e?l95c:9j736=83.8m54<6d9m7d1=l21b?8h50;&0e=<4>l1e?l95e:9j70c=83.8m54<6d9m7d1=n21b?8j50;&0e=<4>l1e?l951198m63d290/?l6537g8j6g028;07d=:a;29 6g?2:o4=00;6)=n8;15a>h4i>0:?65f34:94?"4i108:h5a3`5951=8j;o1b3?7132c8984?:%1b7:9j702=83.8m54<6d9m7d1=9110e>;<:18'7d>=;?o0b>o8:0;8?l52:3:1(>o7:24f?k5f?3;j76g<5083>!5f039=i6`=n;=l1<7*5<#;h21?;k4n2c4>4b<3`9?h7>5$2c;>60b3g9j;7?j;:k00f<72-9j47=9e:l0e2<6n21b?9l50;&0e=<4>l1e?l952198m62f290/?l6537g8j6g02;;07d=;9;29 6g?2:o4<10;6)=n8;15a>h4i>09?65f35594?"4i108:h5a3`5961==6=4+3`:973c8j;o1b3?4132c8;94?:%1b9=:18'7d>=;?o0b>o8:3;8?l5093:1(>o7:24f?k5f?38j76g<7183>!5f039=i6`=n;?<1<7*n54i27a>5<#;h21?;k4n2c4>7b<3`9><7>5$2c;>60b3g9j;7139m7d1=921b=<>50;&0e=<69;1e?l952:9j55`=83.8m54>139m7d1=;21b==k50;&0e=<69;1e?l954:9j55b=83.8m54>139m7d1==21b==m50;&0e=<69;1e?l956:9j55d=83.8m54>139m7d1=?21b==750;&0e=<69;1e?l958:9j55>=83.8m54>139m7d1=121b==950;&0e=<69;1e?l95a:9j550=83.8m54>139m7d1=j21b==;50;&0e=<69;1e?l95c:9j552=83.8m54>139m7d1=l21b===50;&0e=<69;1e?l95e:9j554=83.8m54>139m7d1=n21b==?50;&0e=<69;1e?l951198m467290/?l651008j6g028;07dhj:18'7d>=9880b>o8:008?l`c290/?l651008j6g028907dhl:18'7d>=9880b>o8:068?l`e290/?l651008j6g028?07dhn:18'7d>=9880b>o8:048?l`>290/?l651008j6g028=07dh7:18'7d>=9880b>o8:0:8?l`0290/?l651008j6g028307dh9:18'7d>=9880b>o8:0c8?l`2290/?l651008j6g028h07dh<:18'7d>=9880b>o8:0a8?l`5290/?l651008j6g028n07dh>:18'7d>=9880b>o8:0g8?l`7290/?l651008j6g028l07dki:18'7d>=9880b>o8:328?lcb290/?l651008j6g02;;07dkk:18'7d>=9880b>o8:308?lcd290/?l651008j6g02;907dkm:18'7d>=9880b>o8:368?lcf290/?l651008j6g02;?07d?>9;29 6g?28;97c=n7;05?>o6910;6)=n8;326>h4i>09;65f10594?"4i10:=?5a3`596==139m7d1=:j10ekh50;&0e=<69;1e?l952e98mc2=83.8m54>139m7d1=:l10eh750;&0e=<69;1e?l952g98k65c290/?l6532a8j6g02910c>=m:18'7d>=;:i0b>o8:098k65f290/?l6532a8j6g02;10c>=6:18'7d>=;:i0b>o8:298k65?290/?l6532a8j6g02=10c>=8:18'7d>=;:i0b>o8:498k651290/?l6532a8j6g02?10c>=::18'7d>=;:i0b>o8:698k654290/?l6532a8j6g02110c>==:18'7d>=;:i0b>o8:898k656290/?l6532a8j6g02h10c>=?:18'7d>=;:i0b>o8:c98k64a290/?l6532a8j6g02j10c>=;:i0b>o8:e98k64c290/?l6532a8j6g02l10c>=;:i0b>o8:g98k64e290/?l6532a8j6g028:07b==a;29 6g?2:9h7c=n7;32?>i4:10;6)=n8;10g>h4i>0:>65`33594?"4i108?n5a3`5956==l;o1b3?7232e8>94?:%1b6:9l775=83.8m54<3b9m7d1=9>10c><=:18'7d>=;:i0b>o8:0:8?j5593:1(>o7:21`?k5f?3;276a<2183>!5f0398o6`=h;8l1<7*5<#;h21?>m4n2c4>4e<3f9:o7>5$2c;>65d3g9j;7?k;:m05g<72-9j47=290/?l6532a8j6g02;:07b=>8;29 6g?2:9h7c=n7;02?>i49>0;6)=n8;10g>h4i>09>65`30494?"4i108?n5a3`5966=6=4+3`:976e=l;o1b3?4232e88>4?:%1b10c>:>:18'7d>=;:i0b>o8:3:8?j5383:1(>o7:21`?k5f?38276a<3g83>!5f0398o6`=h;:o1<7*o54o217>5<#;h21?>m4n2c4>7e<3f9957>5$2c;>65d3g9j;7>l:18'7d>=;9n0b>o8:098m66e290/?l6531f8j6g02;10e>>n:18'7d>=;9n0b>o8:298m66>290/?l6531f8j6g02=10e>>7:18'7d>=;9n0b>o8:498m660290/?l6531f8j6g02?10e>>9:18'7d>=;9n0b>o8:698m45d290/?l6512`8j6g02910e<=n:18'7d>=9:h0b>o8:098m45>290/?l6512`8j6g02;10e<=7:18'7d>=9:h0b>o8:298m450290/?l6512`8j6g02=10e<=9:18'7d>=9:h0b>o8:498m452290/?l6512`8j6g02?10e<=;:18'7d>=9:h0b>o8:698m422290/?l6512`8j6g02110e<:;:18'7d>=9:h0b>o8:898m424290/?l6512`8j6g02h10e<:=:18'7d>=9:h0b>o8:c98m426290/?l6512`8j6g02j10e<:?:18'7d>=9:h0b>o8:e98m45a290/?l6512`8j6g02l10e<=j:18'7d>=9:h0b>o8:g98m45c290/?l6512`8j6g028:07d?<3;29 6g?289i7c=n7;32?>i4i90;6)=n8;1:b>h4i>0;76a<9d83>!5f0392j6`i41m0;6)=n8;1:b>h4i>0976a<9b83>!5f0392j6`i41k0;6)=n8;1:b>h4i>0?76a<9`83>!5f0392j6`i4100;6)=n8;1:b>h4i>0=76a<9983>!5f0392j6`i41?0;6)=n8;1:b>h4i>0376a<9483>!5f0392j6`i41=0;6)=n8;1:b>h4i>0j76a<9283>!5f0392j6`i41;0;6)=n8;1:b>h4i>0h76a<9083>!5f0392j6`i4190;6)=n8;1:b>h4i>0n76a<8g83>!5f0392j6`i40l0;6)=n8;1:b>h4i>0:<65`39f94?"4i1085k5a3`5954=7i;o1b3?7432e8444?:%1bn2d8m:4>4:9l7=>=83.8m54<9g9m7d1=9<10c>68:18'7d>=;0l0b>o8:048?j5?>3:1(>o7:2;e?k5f?3;<76a<8483>!5f0392j6`=h;1>1<7*5<#;h21?4h4n2c4>4g<3f93>7>5$2c;>6?a3g9j;7?m;:m0<5<72-9j47=6f:l0e2<6k21d?:h50;&0e=<41o1e?l951e98k61b290/?l6538d8j6g028o07b=8d;29 6g?2:3m7c=n7;3e?>i4?j0;6)=n8;1:b>h4i>09<65`36`94?"4i1085k5a3`5964=7i;o1b3?4432e8;54?:%1bn2d8m:4=4:9l721=83.8m54<9g9m7d1=:<10c>o9:18'7d>=;0l0b>o8:348?j5f=3:1(>o7:2;e?k5f?38<76a!5f0392j6`=h;h91<7*454o2c1>5<#;h21?4h4n2c4>7g<3f9j=7>5$2c;>6?a3g9j;76290/?l6538d8j6g02;o07b=86;29 6g?2:3m7c=n7;0e?>o>;3:1(>o7:808j6g02910e4?50;&0e=<>:2d8m:4>;:k:4?6=,:k364<4n2c4>7=h4i>0876g7e;29 6g?2080b>o8:598m=b=83.8m5462:l0e2<232c3o7>5$2c;><46`o>k3:1(>o7:808j6g02110e4l50;&0e=<>:2d8m:46;:k:e?6=,:k364<4n2c4>d=h4i>0i76g68;29 6g?2080b>o8:b98m<1=83.8m5462:l0e25$2c;><46`o><3:1(>o7:808j6g028:07d6n:18'7d>=1;1e?l951098k72>290/?l6525:8j6g02910c?:8:18'7d>=:=20b>o8:098k721290/?l6525:8j6g02;10c?:::18'7d>=:=20b>o8:298k723290/?l6525:8j6g02=10c?:<:18'7d>=:=20b>o8:498k726290/?l6525:8j6g02?10c?:?:18'7d>=:=20b>o8:698k75a290/?l6525:8j6g02110c?=j:18'7d>=:=20b>o8:898k75c290/?l6525:8j6g02h10c?=l:18'7d>=:=20b>o8:c98k75e290/?l6525:8j6g02j10c?=n:18'7d>=:=20b>o8:e98k75>290/?l6525:8j6g02l10c?=7:18'7d>=:=20b>o8:g98k751290/?l6525:8j6g028:07b<<5;29 6g?2;>37c=n7;32?>i5;=0;6)=n8;07<>h4i>0:>65`22194?"4i109855a3`5956=6:9l67`=83.8m54=499m7d1=9>10c?=:=20b>o8:0:8?j45l3:1(>o7:36;?k5f?3;276a=5183>!5f038?46`=h:=l1<7*5<#;h21>964n2c4>4e<3f8?h7>5$2c;>72?3g9j;7?k;:m10f<72-9j47<;8:l0e2<6m21d>9l50;&0e=<5<11e?l951g98k72f290/?l6525:8j6g02;:07b<;2;29 6g?2;>37c=n7;02?>i5;>0;6)=n8;07<>h4i>09>65`23a94?"4i109855a3`5966=h4i>0;7E=mc:9jg2<72-9j47m7;o1b3?7<@:hh76gl6;29 6g?2j20b>o8:39K7ge<3`i>6=4+3`:9g==i;h=1?6F!5f03i37c=n7;78L6dd32ch>7>5$2c;>f>oc83:1(>o7:b:8j6g02h1C?om4;hae>5<#;h21o55a3`59f>N4jj10enk50;&0e==nkm0;6)=n8;a;?k5f?3n0D>ll;:k`g?6=,:k36n64n2c4>`=O;ki07dmm:18'7d>=k11e?l95f:J0ff=h4i>0:<6Ft$2a2>76f3A9hn6F44=980=o79>:01955<3?3w/?<>51c0`?k>2291e4:4>;oca>4=iij0:7c?>b;28 47d2::>7c?>f;28j457281e>=751:l114<63g9;j7?4n2cb>4=#;k=1?n>4i007>5<5<6=44i2`0>5<5<5<5<5<5<5<5<oe<3:1(>o7:c78j6g02810eo=50;&0e=6=h4i>0?76gm0;29 6g?2k?0b>o8:498md`=83.8m54m5:l0e2<132cji7>5$2c;>g3oem3:1(>o7:c78j6g02010eoj50;&0e=g=h4i>0h76gma;29 6g?2k?0b>o8:e98mg?=83.8m54m5:l0e25$2c;>g3=nim0;6)=n8;`6?k5f?3;:76akc;29 6g?2mh0b>o8:198kag=83.8m54kb:l0e2<632eo57>5$2c;>adic?3:1(>o7:e`8j6g02=10ci850;&0e=3=1<7*h4i>0<76aj5;29 6g?2mh0b>o8:998k`2=83.8m54kb:l0e2<>32en?7>5$2c;>adib93:1(>o7:e`8j6g02j10ch>50;&0e=`=h4i>0m76akd;29 6g?2mh0b>o8:028?jb4290/?l65dc9m7d1=9810e>8i:18'7d>=;?o0b>o8:198m60c290/?l6537g8j6g02810e>8l:18'7d>=;?o0b>o8:398m60e290/?l6537g8j6g02:10e>8n:18'7d>=;?o0b>o8:598m60>290/?l6537g8j6g02<10e>87:18'7d>=;?o0b>o8:798m600290/?l6537g8j6g02>10e>8::18'7d>=;?o0b>o8:998m603290/?l6537g8j6g02010e>8<:18'7d>=;?o0b>o8:`98m605290/?l6537g8j6g02k10e>8>:18'7d>=;?o0b>o8:b98m607290/?l6537g8j6g02m10e>;i:18'7d>=;?o0b>o8:d98m63b290/?l6537g8j6g02o10e>;k:18'7d>=;?o0b>o8:028?l52k3:1(>o7:24f?k5f?3;:76g<5`83>!5f039=i6`=n;<31<7*54i27;>5<#;h21?;k4n2c4>42<3`9>;7>5$2c;>60b3g9j;7?:;:k013<72-9j47=9e:l0e2<6>21b?8;50;&0e=<4>l1e?l951698m633290/?l6537g8j6g028207d=:3;29 6g?2:o4=;0;6)=n8;15a>h4i>0:m65f34394?"4i108:h5a3`595g=m6=4+3`:973c8j;o1b3?7c32c88i4?:%1be:9j71e=83.8m54<6d9m7d1=9o10e>:m:18'7d>=;?o0b>o8:328?l53i3:1(>o7:24f?k5f?38:76g<4883>!5f039=i6`=n;=21<7*>54i264>5<#;h21?;k4n2c4>72<3`9?:7>5$2c;>60b3g9j;7<:;:k030<72-9j47=9e:l0e2<5>21b?::50;&0e=<4>l1e?l952698m614290/?l6537g8j6g02;207d=82;29 6g?2:o4?80;6)=n8;15a>h4i>09m65f36294?"4i108:h5a3`596g=8j;o1b3?4c32c89=4?:%1b=9880b>o8:198m476290/?l651008j6g02810e=9880b>o8:398m46a290/?l651008j6g02:10e<>j:18'7d>=9880b>o8:598m46c290/?l651008j6g02<10e<>l:18'7d>=9880b>o8:798m46e290/?l651008j6g02>10e<>6:18'7d>=9880b>o8:998m46?290/?l651008j6g02010e<>8:18'7d>=9880b>o8:`98m461290/?l651008j6g02k10e<>::18'7d>=9880b>o8:b98m463290/?l651008j6g02m10e<><:18'7d>=9880b>o8:d98m465290/?l651008j6g02o10e<>>:18'7d>=9880b>o8:028?l7783:1(>o7:031?k5f?3;:76gie;29 6g?28;97c=n7;31?>oal3:1(>o7:031?k5f?3;876gic;29 6g?28;97c=n7;37?>oaj3:1(>o7:031?k5f?3;>76gia;29 6g?28;97c=n7;35?>oa13:1(>o7:031?k5f?3;<76gi8;29 6g?28;97c=n7;3;?>oa?3:1(>o7:031?k5f?3;276gi6;29 6g?28;97c=n7;3b?>oa=3:1(>o7:031?k5f?3;i76gi3;29 6g?28;97c=n7;3`?>oa:3:1(>o7:031?k5f?3;o76gi1;29 6g?28;97c=n7;3f?>oa83:1(>o7:031?k5f?3;m76gjf;29 6g?28;97c=n7;03?>obm3:1(>o7:031?k5f?38:76gjd;29 6g?28;97c=n7;01?>obk3:1(>o7:031?k5f?38876gjb;29 6g?28;97c=n7;07?>obi3:1(>o7:031?k5f?38>76g>1883>!5f03;:>6`=n9821<7*:54i034>5<#;h21=<<4n2c4>7><3`;::7>5$2c;>4753g9j;7<6;:k250<72-9j47?>2:l0e2<5i21b=<:50;&0e=<69;1e?l952c98m46f290/?l651008j6g02;i07dhi:18'7d>=9880b>o8:3f8?l`3290/?l651008j6g02;o07dk6:18'7d>=9880b>o8:3d8?j54l3:1(>o7:21`?k5f?3:07b=o7:21`?k5f?3807b=<9;29 6g?2:9h7c=n7;18?j5403:1(>o7:21`?k5f?3>07b=<7;29 6g?2:9h7c=n7;78?j54>3:1(>o7:21`?k5f?3<07b=<5;29 6g?2:9h7c=n7;58?j54;3:1(>o7:21`?k5f?3207b=<2;29 6g?2:9h7c=n7;;8?j5493:1(>o7:21`?k5f?3k07b=<0;29 6g?2:9h7c=n7;`8?j55n3:1(>o7:21`?k5f?3i07b==e;29 6g?2:9h7c=n7;f8?j55l3:1(>o7:21`?k5f?3o07b==c;29 6g?2:9h7c=n7;d8?j55j3:1(>o7:21`?k5f?3;;76a<2`83>!5f0398o6`=h;;21<7*5<#;h21?>m4n2c4>45<3f99:7>5$2c;>65d3g9j;7?;;:m060<72-9j47=i4:80;6)=n8;10g>h4i>0:565`33294?"4i108?n5a3`595d==l;o1b3?7d32e8=n4?:%1bd:9l74d=83.8m54<3b9m7d1=9l10c>?n:18'7d>=;:i0b>o8:0d8?j5613:1(>o7:21`?k5f?38;76a<1983>!5f0398o6`=h;8=1<7*?54o235>5<#;h21?>m4n2c4>75<3f9:97>5$2c;>65d3g9j;7<;;:m051<72-9j47=i4<90;6)=n8;10g>h4i>09565`32d94?"4i108?n5a3`596d==l;o1b3?4d32e8>44?:%1b?<:18'7d>=;:i0b>o8:3d8?l57m3:1(>o7:22g?k5f?3:07d=?c;29 6g?2::o7c=n7;38?l57j3:1(>o7:22g?k5f?3807d=?a;29 6g?2::o7c=n7;18?l5713:1(>o7:22g?k5f?3>07d=?8;29 6g?2::o7c=n7;78?l57?3:1(>o7:22g?k5f?3<07d=?6;29 6g?2::o7c=n7;58?l74k3:1(>o7:01a?k5f?3:07d?o7:01a?k5f?3807d?<8;29 6g?289i7c=n7;18?l74?3:1(>o7:01a?k5f?3>07d?<6;29 6g?289i7c=n7;78?l74=3:1(>o7:01a?k5f?3<07d?<4;29 6g?289i7c=n7;58?l73=3:1(>o7:01a?k5f?3207d?;4;29 6g?289i7c=n7;;8?l73;3:1(>o7:01a?k5f?3k07d?;2;29 6g?289i7c=n7;`8?l7393:1(>o7:01a?k5f?3i07d?;0;29 6g?289i7c=n7;f8?l74n3:1(>o7:01a?k5f?3o07d?o7:01a?k5f?3;;76g>3283>!5f03;8n6`=h;h:1<7*=h;0n1<7*65`38a94?"4i1085k5a3`597>=h;0h1<7*=h;031<7*=h;0<1<7*=h;0>1<7*=h;081<7*=h;0:1<7*=h;1o1<7*5<#;h21?4h4n2c4>47<3f93n7>5$2c;>6?a3g9j;7?=;:m0?290/?l6538d8j6g028?07b=77;29 6g?2:3m7c=n7;35?>i40?0;6)=n8;1:b>h4i>0:;65`39794?"4i1085k5a3`595==7i;o1b3?7f32e84?4?:%1bn2d8m:4>b:9l7=6=83.8m54<9g9m7d1=9j10c>9i:18'7d>=;0l0b>o8:0f8?j50m3:1(>o7:2;e?k5f?3;n76a<7e83>!5f0392j6`=h;>i1<7*=54o25a>5<#;h21?4h4n2c4>77<3f95$2c;>6?a3g9j;7<=;:m03<<72-9j47=6f:l0e2<5;21d?:650;&0e=<41o1e?l952598k610290/?l6538d8j6g02;?07b=n6;29 6g?2:3m7c=n7;05?>i4i<0;6)=n8;1:b>h4i>09;65`3`694?"4i1085k5a3`596==7i;o1b3?4f32e8m<4?:%1bn2d8m:4=b:9l7<1=83.8m54<9g9m7d1=:j10c>6l:18'7d>=;0l0b>o8:3f8?j5?93:1(>o7:2;e?k5f?38n76a<7783>!5f0392j6`=n1:0;6)=n8;;1?k5f?3:07d7>:18'7d>=1;1e?l951:9j=5<72-9j477=;o1b3?4<3`2m6=4+3`:9=7=i;h=1?65f8d83>!5f03397c=n7;68?l>c290/?l65939m7d1==21b4n4?:%1b5<#;h215?5a3`593>=n1j0;6)=n8;;1?k5f?3207d7m:18'7d>=1;1e?l959:9j=d<72-9j477=;o1b3?g<3`326=4+3`:9=7=i;h=1n65f9983>!5f03397c=n7;a8?l?0290/?l65939m7d1=l21b5;4?:%1b5<#;h215?5a3`59b>=n1=0;6)=n8;;1?k5f?3;;76g7a;29 6g?2080b>o8:038?j4313:1(>o7:36;?k5f?3:07b<;7;29 6g?2;>37c=n7;38?j43>3:1(>o7:36;?k5f?3807b<;5;29 6g?2;>37c=n7;18?j43<3:1(>o7:36;?k5f?3>07b<;3;29 6g?2;>37c=n7;78?j4393:1(>o7:36;?k5f?3<07b<;0;29 6g?2;>37c=n7;58?j44n3:1(>o7:36;?k5f?3207b<37c=n7;;8?j44l3:1(>o7:36;?k5f?3k07b<37c=n7;`8?j44j3:1(>o7:36;?k5f?3i07b<37c=n7;f8?j4413:1(>o7:36;?k5f?3o07b<<8;29 6g?2;>37c=n7;d8?j44>3:1(>o7:36;?k5f?3;;76a=3483>!5f038?46`=h::>1<7*5<#;h21>964n2c4>45<3f88>7>5$2c;>72?3g9j;7?;;:m174<72-9j47<;8:l0e2<6=21d>>>50;&0e=<5<11e?l951798k74a290/?l6525:8j6g028=07b<=e;29 6g?2;>37c=n7;3;?>i5:m0;6)=n8;07<>h4i>0:565`24294?"4i109855a3`595d=m6=4+3`:961>d:9l61e=83.8m54=499m7d1=9l10c?:m:18'7d>=:=20b>o8:0d8?j43i3:1(>o7:36;?k5f?38;76a=4383>!5f038?46`=h::=1<7*?54o30`>5<#;h21>964n2c4>75<3`i26=4+3`:9g==i;h=1<6F!5f03i37c=n7;08L6dd32ch97>5$2c;>f>5G3ca8?le3290/?l65c99m7d1=<2B8nn54ib194?"4i10h46`od93:1(>o7:b:8j6g02>1C?om4;hf1>5<#;h21o55a3`59<>N4jj10ei?50;&0e==nl90;6)=n8;a;?k5f?3k0D>ll;:k`b?6=,:k36n64n2c4>g=O;ki07dmj:18'7d>=k11e?l95c:J0ff=h4i>0o7E=mc:9jgf<72-9j47m7;o1b3?c<@:hh76glb;29 6g?2j20b>o8:g9K7ge<3`ij6=4+3`:9g==i;h=1==5G3ca8?le7290/?l65c99m7d1=981C?om4;|`7b1<728?j6=4?{%1`5?47i2B8oo5G3b18^`1=jr2:6<857385a?7528;1:n481;30>46=<>0v(>??:0`1g>h?=3:0b5951:lbf?71c83?!76k39;96`>1g83?k7483;0b?>6:09m607=92d8;o1be?7<,:h<6>m?;h310?6=3`9i=7>5;h1a6?6=3`;997>5;h1a7?6=3`;947>5;h317?6=3f;:i7>5;h1a1?6=3`9i87>5;h31g?6=3`9i<7>5;h313?6=3`;9>7>5;h32e?6=3`;957>5;h315?6=3f;:h7>5;h1bb?6=3`9i:7>5;h`5>5<#;h21n85a3`594>=nj=0;6)=n8;`6?k5f?3;07dl<:18'7d>=j<1e?l952:9jf7<72-9j47l:;o1b3?5<3`h:6=4+3`:9f0=i;h=1865fb183>!5f03h>7c=n7;78?lga290/?l65b49m7d1=>21bmh4?:%1b5<#;h21n85a3`59<>=njl0;6)=n8;`6?k5f?3307dlk:18'7d>=j<1e?l95a:9jff<72-9j47l:;o1b3?d<3`hi6=4+3`:9f0=i;h=1o65fb`83>!5f03h>7c=n7;f8?ld>290/?l65b49m7d1=m21bn54?:%1b5<#;h21n85a3`5955=h4i>0:=65`db83>!5f03ni7c=n7;28?jbf290/?l65dc9m7d1=921dh44?:%1b5<#;h21ho5a3`597>=hl>0;6)=n8;fa?k5f?3>07bj9:18'7d>=lk1e?l955:9l`0<72-9j47jm;o1b3?0<3fn?6=4+3`:9`g=i;h=1;65`e483>!5f03ni7c=n7;:8?jc3290/?l65dc9m7d1=121di>4?:%1b5<#;h21ho5a3`59f>=hm80;6)=n8;fa?k5f?3i07bk?:18'7d>=lk1e?l95d:9l`c<72-9j47jm;o1b3?c<3fnn6=4+3`:9`g=i;h=1j65`de83>!5f03ni7c=n7;33?>ic;3:1(>o7:e`8j6g028;07d=9f;29 6g?2:o7:24f?k5f?3;07d=9c;29 6g?2:o7:24f?k5f?3907d=9a;29 6g?2:o7:24f?k5f?3?07d=98;29 6g?2:o7:24f?k5f?3=07d=95;29 6g?2:o7:24f?k5f?3307d=93;29 6g?2:o7:24f?k5f?3h07d=91;29 6g?2:o7:24f?k5f?3n07d=:f;29 6g?2:o7:24f?k5f?3l07d=:d;29 6g?2:o4=j0;6)=n8;15a>h4i>0:=65f34c94?"4i108:h5a3`5957=8j;o1b3?7332c89:4?:%1b5:9j700=83.8m54<6d9m7d1=9?10e>;::18'7d>=;?o0b>o8:058?l52<3:1(>o7:24f?k5f?3;376g<5283>!5f039=i6`=n;<81<7*5<#;h21?;k4n2c4>4d<3`9?j7>5$2c;>60b3g9j;7?l;:k00`<72-9j47=9e:l0e2<6l21b?9j50;&0e=<4>l1e?l951d98m62d290/?l6537g8j6g028l07d=;b;29 6g?2:o4h4i>09=65f35;94?"4i108:h5a3`5967=36=4+3`:973c8j;o1b3?4332c88;4?:%1b9;:18'7d>=;?o0b>o8:358?l50;3:1(>o7:24f?k5f?38376g<7383>!5f039=i6`=n;>;1<7*l54i253>5<#;h21?;k4n2c4>7d<3`9=:7>5$2c;>60b3g9j;750;&0e=<4>l1e?l952d98m622290/?l6537g8j6g02;l07d?>3;29 6g?28;97c=n7;28?l7693:1(>o7:031?k5f?3;07d?>0;29 6g?28;97c=n7;08?l77n3:1(>o7:031?k5f?3907d??e;29 6g?28;97c=n7;68?l77l3:1(>o7:031?k5f?3?07d??c;29 6g?28;97c=n7;48?l77j3:1(>o7:031?k5f?3=07d??9;29 6g?28;97c=n7;:8?l7703:1(>o7:031?k5f?3307d??7;29 6g?28;97c=n7;c8?l77>3:1(>o7:031?k5f?3h07d??5;29 6g?28;97c=n7;a8?l77<3:1(>o7:031?k5f?3n07d??3;29 6g?28;97c=n7;g8?l77:3:1(>o7:031?k5f?3l07d??1;29 6g?28;97c=n7;33?>o6890;6)=n8;326>h4i>0:=65ffd83>!5f03;:>6`=nnm0;6)=n8;326>h4i>0:?65ffb83>!5f03;:>6`=nnk0;6)=n8;326>h4i>0:965ff`83>!5f03;:>6`=nn00;6)=n8;326>h4i>0:;65ff983>!5f03;:>6`=nn>0;6)=n8;326>h4i>0:565ff783>!5f03;:>6`=nn<0;6)=n8;326>h4i>0:n65ff283>!5f03;:>6`=nn;0;6)=n8;326>h4i>0:h65ff083>!5f03;:>6`=nn90;6)=n8;326>h4i>0:j65feg83>!5f03;:>6`=nml0;6)=n8;326>h4i>09=65fee83>!5f03;:>6`=nmj0;6)=n8;326>h4i>09?65fec83>!5f03;:>6`=nmh0;6)=n8;326>h4i>09965f10;94?"4i10:=?5a3`5963=139m7d1=:h10e=9880b>o8:3`8?l77i3:1(>o7:031?k5f?38h76gif;29 6g?28;97c=n7;0g?>oa<3:1(>o7:031?k5f?38n76gj9;29 6g?28;97c=n7;0e?>i4;m0;6)=n8;10g>h4i>0;76a<3c83>!5f0398o6`i4;h0;6)=n8;10g>h4i>0976a<3883>!5f0398o6`i4;10;6)=n8;10g>h4i>0?76a<3683>!5f0398o6`i4;?0;6)=n8;10g>h4i>0=76a<3483>!5f0398o6`i4;:0;6)=n8;10g>h4i>0376a<3383>!5f0398o6`i4;80;6)=n8;10g>h4i>0j76a<3183>!5f0398o6`i4:o0;6)=n8;10g>h4i>0h76a<2d83>!5f0398o6`i4:m0;6)=n8;10g>h4i>0n76a<2b83>!5f0398o6`i4:k0;6)=n8;10g>h4i>0:<65`33c94?"4i108?n5a3`5954==l;o1b3?7432e8>;4?:%1b4:9l773=83.8m54<3b9m7d1=9<10c><;:18'7d>=;:i0b>o8:048?j55;3:1(>o7:21`?k5f?3;<76a<2383>!5f0398o6`=h;;;1<7*5<#;h21?>m4n2c4>4g<3f9:j7>5$2c;>65d3g9j;7?m;:m05a<72-9j47=a;29 6g?2:9h7c=n7;3e?>i4900;6)=n8;10g>h4i>09<65`30:94?"4i108?n5a3`5964==l;o1b3?4432e8=84?:%1b:<:18'7d>=;:i0b>o8:348?j53:3:1(>o7:21`?k5f?38<76a<4083>!5f0398o6`=h;=:1<7*454o21e>5<#;h21?>m4n2c4>7g<3f98i7>5$2c;>65d3g9j;73;29 6g?2:9h7c=n7;0e?>o48l0;6)=n8;13`>h4i>0;76g<0b83>!5f039;h6`o48k0;6)=n8;13`>h4i>0976g<0`83>!5f039;h6`o4800;6)=n8;13`>h4i>0?76g<0983>!5f039;h6`o48>0;6)=n8;13`>h4i>0=76g<0783>!5f039;h6`o6;j0;6)=n8;30f>h4i>0;76g>3`83>!5f03;8n6`o6;00;6)=n8;30f>h4i>0976g>3983>!5f03;8n6`o6;>0;6)=n8;30f>h4i>0?76g>3783>!5f03;8n6`o6;<0;6)=n8;30f>h4i>0=76g>3583>!5f03;8n6`o6<<0;6)=n8;30f>h4i>0376g>4583>!5f03;8n6`o6<:0;6)=n8;30f>h4i>0j76g>4383>!5f03;8n6`o6<80;6)=n8;30f>h4i>0h76g>4183>!5f03;8n6`o6;o0;6)=n8;30f>h4i>0n76g>3d83>!5f03;8n6`o6;m0;6)=n8;30f>h4i>0:<65f12194?"4i10:?o5a3`5954=5<#;h21?4h4n2c4>4=5<#;h21?4h4n2c4>6=5<#;h21?4h4n2c4>0=5<#;h21?4h4n2c4>2=5<#;h21?4h4n2c4><=5<#;h21?4h4n2c4>g=5<#;h21?4h4n2c4>a=5<#;h21?4h4n2c4>c=4;n1;`?6=,:k36>7i;o1b3?7632e84o4?:%1bn2d8m:4>2:9l7=g=83.8m54<9g9m7d1=9:10c>66:18'7d>=;0l0b>o8:068?j5?03:1(>o7:2;e?k5f?3;>76a<8683>!5f0392j6`=h;1<1<7*5<#;h21?4h4n2c4>4><3f9387>5$2c;>6?a3g9j;7?6;:m0<6<72-9j47=6f:l0e2<6i21d?5<50;&0e=<41o1e?l951c98k6>7290/?l6538d8j6g028i07b=8f;29 6g?2:3m7c=n7;3g?>i4?l0;6)=n8;1:b>h4i>0:i65`36f94?"4i1085k5a3`595c=4;n14f?6=,:k36>7i;o1b3?4632e8;l4?:%1bn2d8m:4=2:9l72?=83.8m54<9g9m7d1=::10c>97:18'7d>=;0l0b>o8:368?j50?3:1(>o7:2;e?k5f?38>76a!5f0392j6`=h;h?1<7*:54o2c7>5<#;h21?4h4n2c4>7><3f9j?7>5$2c;>6?a3g9j;7<6;:m0e7<72-9j47=6f:l0e2<5i21d?l?50;&0e=<41o1e?l952c98k6?0290/?l6538d8j6g02;i07b=7c;29 6g?2:3m7c=n7;0g?>i4080;6)=n8;1:b>h4i>09i65`36494?"4i1085k5a3`596c=h4i>0;76g61;29 6g?2080b>o8:098m<6=83.8m5462:l0e2<532c3j7>5$2c;><454i9g94?"4i102>6`o?l3:1(>o7:808j6g02<10e5m50;&0e=<>:2d8m:49;:k;f?6=,:k364<4n2c4>2=h4i>0376g6b;29 6g?2080b>o8:898m5$2c;><46`o>?3:1(>o7:808j6g02m10e4850;&0e=<>:2d8m:4j;:k:1?6=,:k364<4n2c4>c=1<7*h4i>0:<65f8`83>!5f03397c=n7;32?>i5<00;6)=n8;07<>h4i>0;76a=4683>!5f038?46`i5h4i>0976a=4483>!5f038?46`i5<=0;6)=n8;07<>h4i>0?76a=4283>!5f038?46`i5<80;6)=n8;07<>h4i>0=76a=4183>!5f038?46`i5;o0;6)=n8;07<>h4i>0376a=3d83>!5f038?46`i5;m0;6)=n8;07<>h4i>0j76a=3b83>!5f038?46`i5;k0;6)=n8;07<>h4i>0h76a=3`83>!5f038?46`i5;00;6)=n8;07<>h4i>0n76a=3983>!5f038?46`i5;?0;6)=n8;07<>h4i>0:<65`22794?"4i109855a3`5954=4:9l667=83.8m54=499m7d1=9<10c?=?:18'7d>=:=20b>o8:048?j45n3:1(>o7:36;?k5f?3;<76a=2d83>!5f038?46`=h:;n1<7*5<#;h21>964n2c4>4g<3f8?j7>5$2c;>72?3g9j;7?m;:m10`<72-9j47<;8:l0e2<6k21d>9j50;&0e=<5<11e?l951e98k72d290/?l6525:8j6g028o07b<;b;29 6g?2;>37c=n7;3e?>i5h4i>09<65`25094?"4i109855a3`5964=5$2c;>f>od<3:1(>o7:b:8j6g02=1C?om4;ha0>5<#;h21o55a3`591>N4jj10en<50;&0e==nk80;6)=n8;a;?k5f?3=0D>ll;:kg6?6=,:k36n64n2c4>==O;ki07dj>:18'7d>=k11e?l959:J0ff=h4i>0j7E=mc:9jgc<72-9j47m7;o1b3?d<@:hh76gle;29 6g?2j20b>o8:b9K7ge<3`io6=4+3`:9g==i;h=1h6F!5f03i37c=n7;d8L6dd32chm7>5$2c;>f>4H2``?>od83:1(>o7:b:8j6g028;0D>ll;:a0c3=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`7b3<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm4g;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl;f`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo:ib;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn9hl:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi8kj50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th?jh4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<mj7>55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<:;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=9;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd28;0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg37;3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f063290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a153=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`643<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm51;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:0`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;?b;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8>l:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9=j50;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<;;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=8;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd29;0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yg36;3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~f073290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::a143=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`653<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66sm50;94?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pl:1`83>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qo;>b;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vn8?l:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wi9N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3th>=h4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zj<8;6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{e=;;1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xd2:;0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k?9?7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`661<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb405>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=;=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:2983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3513:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn85<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a17d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>>n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm53d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2;90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;<1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f055290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9>=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`671<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`67=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`67f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:3e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>?h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2;o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`605<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:4083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>8?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2<:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`601<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6d3>290:=vP6e:?671?8474:?673?:474:?67=?4474:?67d?o474:?67f?i474:?67`?k474:?6058<474:?6078>474:p6d3f2909wS?;f:?606<6;81v?o:b;296~X6i70;;0;305>{t:h?n6=4={_37e>;2;o0:?<5rs3c6b?6=:rT:845252g9567;|q1e37=838pR<;7;<70g?7492wx>l8=:181[72?27>?o4>309~w7g1;3:1>vP>549>16g=9:;0q~7}Y9<>018=6:012?xu5i??1<75<5sW;>>63:368274=z{;k=;7>52z\214=:=:<1=>?4}r0b2=<72;qU=8>4=416>4563ty9m;750;0xZ42?34?887?<1:p6d0f2909wS=l7:?601<59j1v?o9b;2957}Y9;:018<;:003?835=3;9<63:278265=:=;=1=?>4=40;>44734?957?=0:?66d<6:9169?l51328904d288;70;=d;314>;2:l0:>=5253d9576<5<9;6<??4>219>165=9;:018:;:33g?xu5i?i1<7<>{_31g>;2:=0n463:248f<>;2:?0n463:268f<>;2:10n463:288f<>;2:h0n463:2c8f<>;2:j0n463:2e8f<>;2:l0n463:2g8f<>;2;90n463:308f<>;2;;0n463:328f<>;2;=0:>n52527957e<5<9=6<?54>2b9>16?=9;i018=n:00`?834j3;9o63:3b826f=:=:n1=?m4=41f>44d34?8j7?=c:?605<6:j1699?513a89025288h70;;3;31g>{t:h;2;:08mo5rs3c5a?6=:rT:9k5252097ddom;|q1e26=838pR<;k;<704?5fj2wx>l9>:181[72k27>>k4vP>5c9>17c=;hh0q~7}Y9?h018>1<75<5sW;=563:2c80eg=z{;k<:7>52z\222=:=;k1?ll4}r0b32<72;qU=;84=40:>6ge3ty9m:650;0xZ40234?947=nb:p6d1>2909wS?94:?662<4ik1v?o8a;296~X6>:169?853``8yv4f?k0;6?uQ170890422:ki7p}=a6a94?4|V8?j70;=4;1bf>{t:h=o6=4<1z?666<590169>:5879>162=01169>:5889>163=0?169>;5899>163=00169>85879>160=01169>85889>161=0?169>95899>161=00169>65879>16>=01169>65889>16?=0?169>75899>16?=00169>o5879>16g=01169>o5889>16d=0?169>l5899>16d=00169>m5879>16e=01169>m5889>16b=0?169>j5899>16b=00169>k5879>16c=01169>k5889>16`=0?169>h5899>16`=001699>5879>116=011699>5889>117=0?1699?5899>117=001699<5879>114=011699<5889>115=0?1699=5899>115=001v?o8e;296~;2:=0:?<5252697dd173=9:;018=::2ca?xu5i1:1<745634?8:7=nb:p6d>62909w0;=7;305>;2;>08mo5rs3c;6?6=:r7>>54>309>16>=;hh0q~7}:=;31=>?4=41:>6ge3ty9m5:50;0x904f289:70;{t:h2>6=4={<71f?74927>?o43:1>v3:2b8274=:=:i1?ll4}r0b<2<72;q69?j51238905c2:ki7p}=a9:94?4|5<8n6<=>;<70a?5fj2wx>l66:181835n3;8=63:3g80eg=z{;k3m7>52z?675<6;81699>53``8yv4f0k0;6?u25239567<5<>:6>om;|q1e=e=838p18==:012?833:39jn6s|2`:g>5<5s4?8?7?<1:?606<4ik1v?o7e;2954}:=:>1=?>4=416>44734?8:7?=0:?672<6:9169>651328905>288;70;;2;k0:>=5252a9576<5<9o6<?k4>219>116=9;:018:>:003?833:3;9<63:428265=:==>1?==4}|`600<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g33>3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl:4683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3303:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8:6:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a11g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>8o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4o6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm55g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;:0;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f036290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi98<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`616<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<87>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb476>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=<<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:5683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2=00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`61d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:5c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>9n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2=m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`61`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:5g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>:=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2>80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`627<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:6283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>:94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2><0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`623<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:6683>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2`:e>5<69rT2i63:568;0>;2=103863:588;0>;2=h03863:5c8;0>;2=j03863:5e8;0>;2=l03863:5g8;0>;2>903863:608;0>;2>;03863:628;0>;2>=03863:648;0>;2>?0386s|2`;3>5<5sW;?j63:678274=z{;k2=7>52z\20a=:=??1=>?4}r0b=7<72;qU=9m4=447>4563ty9m4=50;0xZ42e34?=?7?<1:p6d?32909wS?;a:?627<6;81v?o65;296~X6<0169;?51238yv4f1?0;6?uQ14;89007289:7p}=a8594?4|V8?370;:f;305>{t:h336=4={_363>;2=l0:?<5rs3c:=?6=:rT:985254f9567;|q1el7l:181[72:27>9l4>309~w7g>l3:1>vP>509>10?=9:;0q~7}Y9<:018;7:012?xu5i0l1<75<5sW9h;63:66815f=z{;kj=7>513y]576<5<><6<844>219>11g=9;:018:m:003?833k3;9<63:4e8265=:==o1=?>4=46e>44734?><7?=0:?614<6:91698<513289034288;70;:4;314>;2=<0:>=525449576<5<<<6??k;|q1ed4=838:wS?=c:?602854j8:?60<8l4j8:?60g8n4j8:?60a8h4j8:?60c9=4j8:?6149?4j8:?616994j8:?6109;4j8:?612<6:j16986513a8903>288h70;:a;31g>;2=k0:>n5254a957e<59k4>2b9>136=9;i0188>:00`?831:3;9o63:62826f=:=?>1=?m4=446>44d34?=:7?=c:p6dg42909wS?91:?613<4ik1v?on4;296~X6=o1698;53``8yv4fi<0;6?uQ14g890332:ki7p}=a`494?4|V8?o70;:3;1bf>{t:hk<6=4={_36g>;2=;08mo5rs3cbom;|q1edg=838pR<8n;<77b?5fj2wx>lom:181[71127>8h4vP>669>11b=;hh0q~7}Y9?<018:l:2ca?xu5iho1<75<5sW;=863:4`80eg=z{;ki<7>52z\226=:==31?ll4}r0bf4<72;qU=;<4=46;>6ge3ty9mo<50;0xZ43f34??;7=nb:p6dd42908=v3:47815<=:=<=14;525459<==:=<=1445254:9<3=:=<21455254:9<<=:=<314;5254;9<==:=<31445254c9<3=:=145525769<<=:=??14;525779<==:=??144525749<3=:=?<145525749<<=z{;ki87>52z?602<6;81698953``8yv4fj<0;6?u255:9567<5om;|q1eg0=838p18:6:012?832139jn6s|2``4>5<5s4??m7?<1:?61d<4ik1v?om8;296~;211e=9:;018;l:2ca?xu5ikk1<745634?>h7=nb:p6dde2909w0;;e;305>;2=l08mo5rs3cag?6=:r7>8k4>309>10`=;hh0q~7}:=<:1=>?4=443>6ge3ty9mok50;0x9036289:70;91;1bf>{t:hhm6=4={<766?74927>:?4v3:528274=:=?91?ll4}r0bg4<72;q698:5123890032:ki7p}=ab094?4|56<=>;<751?5fj2wx>lm<:181832>3;8=63:6780eg=z{;kh87>510y>101=9;:018;7:003?83213;9<63:5`8265=:=4=47`>44734?>h7?=0:?61`<6:91698h513289007288;70;91;314>;2>;0:>=525719576<5<:;4>219>131=;990qpl:6983>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c75=?6=93:1m<;n02=?6=3th>:l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm57f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2>l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;9f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f017290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9:?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`637<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb457>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=>?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:7783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg30?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn897:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a12?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>;l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2?k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`63f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:7e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>;h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2?o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd20:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd20>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:8883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>4l4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>lm::1825~X>m27>;l474:?63g;n474:?63a;h474:?63c4=474:?6<44?474:?6<649474:?6<04;474:?6<245474:?6<<lm9:181[73n27>444>309~w7gd?3:1>vP>4e9>1=>=9:;0q~7}Y9=i01868:012?xu5ij31<73;8=6s|2`ab>5<5sW;?m63:848274=z{;khn7>52z\20<=:=1>1=>?4}r0bgf<72;qU=874=4:0>4563ty9mnj50;0xZ43?34?3>7?<1:p6deb2909wS?:7:?6<4<6;81v?olf;296~X6=<1695>51238yv4fl90;6?uQ1468901a289:7p}=ae394?4|V8?870;8e;305>{t:hn96=4={_366>;2?m0:?<5rs3cg7?6=:rT:9<5256a9567;|q1ea3=838pR<:7;<74e?7492wx>lj9:181[5d?27>4l4=1b9~w7gc?3:1=?uQ1328900f288;70;9b;314>;2>j0:>=5257f9576<5<;=4>219>127=9;:0189=:003?830;3;9<63:758265=:=>?1=?>4=455>44734?<;7?=0:?63=<6:9169:75132890>f2;;o7p}=ae:94?46sW;9o63:6`8f<>;2>k0n463:6b8f<>;2>m0n463:6d8f<>;2>o0n463:718f<>;2?80n463:738f<>;2?:0n463:758f<>;2?<0n463:778f<>;2?>0n463:798f<>;2?00n463:7`826f=:=>h1=?m4=45`>44d34?7288h70;71;31g>;20;0:>n52591957e<5<2?6<4;4>2b9>1=1=9;i01867:00`?83?13;9o6s|2`f:>5<5sW;==63:7880eg=z{;kom7>52z\21c=:=>21?ll4}r0b`g<72;qU=8k4=454>6ge3ty9mim50;0xZ43c34?<:7=nb:p6dbc2909wS?:c:?630<4ik1v?oke;296~X6=k169::53``8yv4flo0;6?uQ17`890142:ki7p}=ad294?4|V8{t:ho:6=4={_35=>;2?808mo5rs3cf6?6=:rT:::5256297dd4?:3y]530<5<om;|q1e`2=838pR<8:;<75a?5fj2wx>lk::181[71<27>:i43:1>vP>629>13e=;hh0q~7}Y9?80188m:2ca?xu5il21<75<49r7>:44=189>12g=0?169:o5899>12g=00169:l5879>12d=01169:l5889>12e=0?169:m5899>12e=00169:j5879>12b=01169:j5889>12c=0?169:k5899>12c=00169:h5879>12`=01169:h5889>1=6=0?1695>5899>1=6=001695?5879>1=7=011695?5889>1=4=0?1695<5899>1=4=001695=5879>1=5=011695=5889>1=2=0?1695:5899>1=2=001695;5879>1=3=011695;5889>1=0=0?169585899>1=0=00169595879>1=1=01169595889>1=>=0?169565899>1=>=00169575879>1=?=01169575889~w7gbi3:1>v3:6`8274=:=>k1?ll4}r0bag<72;q69;l51238901e2:ki7p}=ada94?4|5<;<74g?5fj2wx>lkk:181831l3;8=63:7e80eg=z{;kni7>52z?62`<6;8169:k53``8yv4fmo0;6?u257d9567<5<=m6>om;|q1ec6=838p189?:012?83?839jn6s|2`d2>5<5s4?<=7?<1:?6<4<4ik1v?oi2;296~;2?;0:?<5259097dd4?:3y>125=9:;0186<:2ca?xu5io>1<745634?387=nb:p6d`22909w0;85;305>;20<08mo5rs3ce2?6=:r7>;;4>309>1=0=;hh0q~7}:=>=1=>?4=4:4>6ge3ty9mk650;0x901?289:70;78;1bf>{t:hl26=4={<74=?74927>444;i4>219>12c=9;:0189i:003?83?83;9<63:808265=:=181=?>4=4:0>44734?387?=0:?6<0<6:9169585132890>0288;70;78;314>;2000:>=5259c97554o4?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o;7c;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd20m0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;7e;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0>a290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi94>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6=4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4;0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=0>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:9483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3>>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn878:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>544?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm58a94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd21m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6=`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:9g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6e7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:a283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6e3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:a683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>m54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2i00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6ed<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ac83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>mn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2im0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:hli6=4>1z\:a>;21m03863:9d8;0>;21o03863:a18;0>;2i803863:a38;0>;2i:03863:a58;0>;2i<03863:a78;0>;2i>03863:a98;0>;2i003863:a`8;0>;2ik03863:ab8;0>{t:hlh6=4={_37b>;2ij0:?<5rs3ce`?6=:rT:8i525``9567;|q1ec`=838pR<:m;<7b=?7492wx>o>?:181[73i27>m54>309~w7d793:1>vP>489>1d1=9:;0q~7}Y9<3018o9:012?xu5j991<75<5sW;>;63:a58274=z{;h;97>52z\210=:=h91=>?4}r0a43<72;qU=8:4=4c1>4563ty9n=950;0xZ43434?j=7?<1:p6g6?2909wS?:2:?6e5<6;81v?l?9;296~X6=81694h51238yv4e8h0;6?uQ142890?b289:7p}=b1`94?4|V8>370;6d;305>{t:k:h6=4={_1`3>;2im09=n5rs3`3`?6=9;qU=?>4=4:g>44734?3i7?=0:?65132890?6288;70;62;314>;21:0:>=525869576<5<3>6<5:4>219>1<>=9;:01876:003?83>i3;9<63:9c8265=:=0i1=?>4=4cg>77c3ty9n=k50;02[75k27>4i4j8:?6<`4k4j8:?6=55<4j8:?6=75>4j8:?6=1584j8:?6=35:4j8:?6==544j8:?6=d5o4j8:?6=f5i4>2b9>144d34?j87?=c:?6e0<6:j169l8513a890g0288h70;n8;31g>;2i00:>n525`c957e<5o>i:181[71927>5n4vP>5g9>17}Y9139jn6s|2c30>5<5sW;>o63:9980eg=z{;h:87>52z\21g=:=0=1?ll4}r0a50<72;qU=;l4=4;5>6ge3ty9n<850;0xZ40f34?297=nb:p6g702909wS?99:?6=1<4ik1v?l>8;296~X6>>1694=53``8yv4e900;6?uQ174890?52:ki7p}=b0c94?4|V8<>70;61;1bf>{t:k;i6=4={_350>;21908mo5rs3`2g?6=:rT::>5259d97ddom;|q1f4c=838pR<;n;<7;`?5fj2wx>o?i:1805~;20j09=45258f9<3=:=0n1455258f9<<=:=0o14;5258g9<==:=0o1445258d9<3=:=0l1455258d9<<=:=h:14;525`29<==:=h:144525`39<3=:=h;145525`39<<=:=h814;525`09<==:=h8144525`19<3=:=h9145525`19<<=:=h>14;525`69<==:=h>144525`79<3=:=h?145525`79<<=:=h<14;525`49<==:=h<144525`59<3=:=h=145525`59<<=:=h214;525`:9<==:=h2144525`;9<3=:=h3145525`;9<<=:=hk14;525`c9<==:=hk144525``9<3=:=hh145525``9<<=:=hi14;525`a9<==:=hi1445rs3`14?6=:r7>4i4>309>17}:=1o1=>?4=4;f>6ge3ty9n?<50;0x90>a289:70;6f;1bf>{t:k886=4={<7:4?74927>m=4v3:908274=:=h;1?ll4}r0a60<72;q694<5123890g52:ki7p}=b3494?4|5<386<=>;<7b7?5fj2wx>o<8:18183><3;8=63:a580eg=z{;h947>52z?6=0<6;8169l;53``8yv4e:00;6?u25849567<5om;|q1f7g=838p1878:012?83f?39jn6s|2c0a>5<5s4?247?<1:?6e=<4ik1v?l=c;296~;2100:?<525`;97ddi4?:3y>145634?jn7=nb:p6g4a2909w0;6c;305>;2ij08mo5rs3`04?6=98q694j5132890?b288;70;6f;314>;2i90:>=525`39576<5m94>219>1d3=9;:018o9:003?83f?3;9<63:a98265=:=h31=?>4=4cb>44734?jn7?=0:?6ef<6:9169lj53118yxd2il0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k?jj7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`6f5<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4`1>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=k91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:b583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3e=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8l9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1g1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>n54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5c`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2jj0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;md;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0db290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9oh50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6g5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>o?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2k:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6g1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>o;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2k>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6g=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:c883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>ol4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2kk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6gf<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ce83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>oh4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2ko0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6`5<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6g56290:=vP6e:?6g5o<474:?6g7o>474:?6g1o8474:?6g3o:474:?6g=o4474:?6gdoo474:?6gfoi474:?6g`ok474:p6g552909wS?;f:?6gc<6;81v?l<3;296~X6i70;lc;305>{t:k9=6=4={_37e>;2kk0:?<5rs3`03?6=:rT:84525bc9567;|q1f6?=838pR<;7;<7`o=n:181[72?27>o:4>309~w7d4j3:1>vP>549>1f0=9:;0q~7}Y9<>018m::012?xu5j:n1<75<5sW;>>63:c28274=z{;h8j7>52z\214=:=j81=>?4}r0a05<72;qU=8>4=4a2>4563ty9n9?50;0xZ42?34?h<7?<1:p6g252909wS=l7:?6`5<59j1v?l;3;2957}Y9;:018l?:003?83e93;9<63:b38265=:=k91=?>4=4`7>44734?i97?=0:?6f3<6:9169o95132890d?288;70;m9;314>;2jh0:>=525c`9576<5nh4>219>1g`=9;:018j?:33g?xu5j=>1<7<>{_31g>;2j90n463:b08f<>;2j;0n463:b28f<>;2j=0n463:b48f<>;2j?0n463:b68f<>;2j10n463:b88f<>;2jh0n463:bc8f<>;2jj0n463:be8f<>;2jl0n463:bg8f<>;2k90:>n525b3957e<5o94>2b9>1f3=9;i018m9:00`?83d?3;9o63:c9826f=:=j31=?m4=4ab>44d34?hn7?=c:?6gf<6:j169nj513a890eb288h70;lf;31g>{t:k>>6=4={_355>;2jo08mo5rs3`72?6=:rT:9k525cg97ddom;|q1f1>=838pR<;k;<7ag?5fj2wx>o:6:181[72k27>no4vP>5c9>1gg=;hh0q~7}Y9?h018l6:2ca?xu5j=i1<75<5sW;=563:b680eg=z{;h?i7>52z\222=:=k<1?ll4}r0a0c<72;qU=;84=4`6>6ge3ty9n8>50;0xZ40234?i87=nb:p6g362909wS?94:?6f6<4ik1v?l:2;296~X6>:169o<53``8yv4e=:0;6?uQ170890d62:ki7p}=b4694?4|V8?j70;m0;1bf>{t:k?>6=4<1z?6ec<590169n>5879>1f6=01169n>5889>1f7=0?169n?5899>1f7=00169n<5879>1f4=01169n<5889>1f5=0?169n=5899>1f5=00169n:5879>1f2=01169n:5889>1f3=0?169n;5899>1f3=00169n85879>1f0=01169n85889>1f1=0?169n95899>1f1=00169n65879>1f>=01169n65889>1f?=0?169n75899>1f?=00169no5879>1fg=01169no5889>1fd=0?169nl5899>1fd=00169nm5879>1fe=01169nm5889>1fb=0?169nj5899>1fb=00169nk5879>1fc=01169nk5889>1f`=0?169nh5899>1f`=001v?l:6;296~;2j90:?<525b297dd1g7=9:;018m>:2ca?xu5j<21<745634?h>7=nb:p6g3>2909w0;m3;305>;2k:08mo5rs3`6e?6=:r7>n94>309>1f2=;hh0q~7}:=k?1=>?4=4a6>6ge3ty9n8m50;0x90d1289:70;l6;1bf>{t:k?o6=4={<7a3?74927>o:4v3:b98274=:=j21?ll4}r0a1c<72;q69o75123890e>2:ki7p}=b7294?4|5;<7`e?5fj2wx>o8>:18183ej3;8=63:cc80eg=z{;h=>7>52z?6ff<6;8169nm53``8yv4e>:0;6?u25cf9567<5om;|q1f32=838p18lj:012?83dm39jn6s|2c46>5<5s4?ij7?<1:?6gc<4ik1v?l96;2954}:=j:1=?>4=4a2>44734?h>7?=0:?6g6<6:9169n:5132890e2288;70;l6;314>;2k>0:>=525b:9576<5oo4>219>1fe=9;:018mk:003?83dm3;9<63:cg8265=:=m:1?==4}|`6`4<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g3c:3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl:d283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg3c<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn8j::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1a0=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th>h:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5ec94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2lk0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;kc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0bc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9ik50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6`c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb4g2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e=l81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl:e283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>i94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2m<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6a3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:e683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>i54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2m00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6ad<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:ec83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>in4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2mm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6a`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:eg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th>j=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd2n80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`6b7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl:f283>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2c44>5<69rT2i63:e28;0>;2m=03863:e48;0>;2m?03863:e68;0>;2m103863:e88;0>;2mh03863:ec8;0>;2mj03863:ee8;0>;2ml03863:eg8;0>;2n903863:f08;0>;2n;0386s|2c4;>5<5sW;?j63:f38274=z{;h=57>52z\20a=:=o;1=>?4}r0a2d<72;qU=9m4=4d3>4563ty9n;l50;0xZ42e34?nj7?<1:p6g0d2909wS?;a:?6a`<6;81v?l9d;296~X6<0169hj51238yv4e>l0;6?uQ14;890cd289:7p}=b7d94?4|V8?370;jb;305>{t:k=;6=4={_363>;2mh0:?<5rs3`45?6=:rT:98525d;9567;|q1f25=838pR<;<;<7f3?7492wx>o9;:181[72:27>i;4>309~w7d0=3:1>vP>509>1`3=9:;0q~7}Y9<:018k;:012?xu5j>=1<75<5sW9h;63:f2815f=z{;h<57>513y]576<5h84>219>1a0=9;:018j8:003?83c03;9<63:d88265=:=mk1=?>4=4fa>44734?oo7?=0:?6`a<6:9169ik5132890ba288;70;j0;314>;2m80:>=525d09576<5h94j8:?6`0h;4j8:?6`2h54j8:?6`<hl4j8:?6`ghn4j8:?6`ahh4j8:?6`ci=4j8:?6a4i?4j8:?6a6<6:j169h:513a890c2288h70;j6;31g>;2m>0:>n525d:957e<5io4>2b9>1`e=9;i018kk:00`?83bm3;9o63:eg826f=:=o:1=?m4=4d2>44d34?m>7?=c:p6g1e2909wS?91:?6a7<4ik1v?l8c;296~X6=o169h?53``8yv4e?m0;6?uQ14g890c72:ki7p}=b6g94?4|V8?o70;kf;1bf>{t:k=m6=4={_36g>;2ll08mo5rs3`;4?6=:rT:9o525ef97ddom;|q1f=4=838pR<8n;<7gf?5fj2wx>o6<:181[71127>hl4vP>669>1a?=;hh0q~7}Y9?<018j7:2ca?xu5j1<1<75<5sW;=863:d780eg=z{;h347>52z\226=:=m?1?ll4}r0a<<<72;qU=;<4=4f7>6ge3ty9n5o50;0xZ43f34?o?7=nb:p6g>e2908=v3:d3815<=:=l914;525d19<==:=l9144525d69<3=:=l>145525d69<<=:=l?14;525d79<==:=l?144525d49<3=:=l<145525d49<<=:=l=14;525d59<==:=l=144525d:9<3=:=l2145525d:9<<=:=l314;525d;9<==:=l3144525dc9<3=:=lk145525dc9<<=:=lh14;525d`9<==:=lh144525da9<3=:=li145525da9<<=:=ln14;525df9<==:=ln144525dg9<3=:=lo145525dg9<<=:=ll14;525dd9<==:=ll144525g29<3=:=o:145525g29<<=:=o;14;525g39<==:=o;144525g09<3=:=o8145525g09<<=z{;h3o7>52z?6`6<6;8169h=53``8yv4e0m0;6?u25e69567<5om;|q1f=c=838p18j::012?83b=39jn6s|2c:e>5<5s4?o:7?<1:?6a3<4ik1v?l60;296~;2l>0:?<525d597dd1a>=9:;018k7:2ca?xu5j081<745634?n57=nb:p6g?42909w0;ka;305>;2mh08mo5rs3`:0?6=:r7>ho4>309>1`d=;hh0q~7}:=mi1=>?4=4g`>6ge3ty9n4850;0x90bc289:70;jd;1bf>{t:k3<6=4={<7ga?74927>ih403:1>v3:dg8274=:=ll1?ll4}r0a=<<72;q69h>5123890`72:ki7p}=b8c94?4|5;<7e5?5fj2wx>o7m:18183b:3;8=63:f380eg=z{;h2o7>510y>1`5=9;:018k;:003?83b=3;9<63:e78265=:=l=1=?>4=4g;>44734?n57?=0:?6ad<6:9169hl5132890cd288;70;jd;314>;2ml0:>=525dd9576<5j?4>219>1c5=;990qpl:f583>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c7e1?6=93:1m<;n02=?6=3th>j;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm5g;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd2nh0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo;ib;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f0`d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi9kj50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`6b`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb723>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>9;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl90383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg07;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;>;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a253=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=<;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`54=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl90883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`54f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl90e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd18o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`555<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl91083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th==?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd19:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`551<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl91483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th==;4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>o7k:1825~X>m27=<;474:?542o7j:181[73n27==84>309~w7d>n3:1>vP>4e9>242=9:;0q~7}Y9=i01;?<:012?xu5jh;1<75<5sW;?m639108274=z{;hj?7>52z\20<=:>8:1=>?4}r0ae1<72;qU=874=72e>4563ty9nl;50;0xZ43?34<;i7?<1:p6gg12909wS?:7:?54a<6;81v?ln7;296~X6=<16:=m51238yv4ei10;6?uQ1468936e289:7p}=b`;94?4|V8?8708?a;305>{t:kkj6=4={_366>;1800:?<5rs3`bf?6=:rT:9<5261:9567;|q1fdb=838pR<:7;<432?7492wx>ooj:181[5d?27==;4=1b9~w7dfn3:1=?uQ132890`1288;70;i7;314>;2n10:>=525g;9576<5jn4>219>1cb=9;:018hj:003?83an3;9<639018265=:>9;1=?>4=721>44734<;?7?=0:?541<6:916:=;5132893712;;o7p}=bc294?46sW;9o63:f78f<>;2n>0n463:f98f<>;2n00n463:f`8f<>;2nk0n463:fb8f<>;2nm0n463:fd8f<>;2no0n4639018f<>;1880n4639038f<>;18:0n4639058f<>;18<0n463907826f=:>9=1=?m4=72;>44d34<;57?=c:?54d<6:j16:=l513a8936d288h708?d;31g>;18l0:>n5261d957e<5?;;6<2b9>245=9;i01;?;:00`?806=3;9o6s|2c`2>5<5sW;==6390480eg=z{;hi>7>52z\21c=:>9>1?ll4}r0af6<72;qU=8k4=720>6ge3ty9no:50;0xZ43c34<;>7=nb:p6gd22909wS?:c:?544<4ik1v?lm6;296~X6=k16:=>53``8yv4ej>0;6?uQ17`890`a2:ki7p}=bc:94?4|V8{t:kh26=4={_35=>;2nm08mo5rs3`ae?6=:rT:::525ga97ddom;|q1fge=838pR<8:;<7ee?5fj2wx>olk:181[71<27>j44vP>629>1c>=;hh0q~7}Y9?8018h8:2ca?xu5jj:1<739jn6s|2ca2>5<49r7>j84=189>250=0?16:=85899>250=0016:=95879>251=0116:=95889>25>=0?16:=65899>25>=0016:=75879>25?=0116:=75889>25g=0?16:=o5899>25g=0016:=l5879>25d=0116:=l5889>25e=0?16:=m5899>25e=0016:=j5879>25b=0116:=j5889>25c=0?16:=k5899>25c=0016:=h5879>25`=0116:=h5889>246=0?16:<>5899>246=0016:247=0116:244=0?16:<<5899>244=0016:<=5879>245=0116:<=5889>242=0?16:<:5899>242=0016:<;5879>243=0116:<;5889~w7dd:3:1>v3:f78274=:>9<1?ll4}r0ag6<72;q69k95123893602:ki7p}=bb694?4|5;<43om::18183a13;8=6390880eg=z{;hh:7>52z?6bd<6;816:=o53``8yv4ek>0;6?u25g`9567<5?:i6>om;|q1ff>=838p18hl:012?807k39jn6s|2ca:>5<5s4?mh7?<1:?54a<4ik1v?lla;296~;2nl0:?<5261g97dd1c`=9:;01;>i:2ca?xu5jji1<745634<:<7=nb:p6gec2909w08?1;305>;19808mo5rs3``a?6=:r7=309>244=;hh0q~7}:>991=>?4=730>6ge3ty9ni>50;0x9363289:708>4;1bf>{t:kn:6=4={<431?74927==84219>25g=9;:01;>m:003?807k3;9<6390e8265=:>9o1=?>4=72e>44734<:<7?=0:?554<6:916:<<513289374288;708>4;314>;19<0:>=526049755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o8>8;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd1900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8>a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f37e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`55a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb73e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>;:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl92083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg05:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;<<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a272=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=>84?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm63:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1:00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`56d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl92c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=>n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1:m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`56`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl92g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`577<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl93283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`573<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl93683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1;00;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:kn86=4>1z\:a>;1:00386392`8;0>;1:k0386392b8;0>;1:m0386392d8;0>;1:o038639318;0>;1;8038639338;0>;1;:038639358;0>;1;<038639378;0>;1;>038639398;0>{t:kn?6=4={_37b>;1;10:?<5rs3`g1?6=:rT:8i526259567;|q1fa1=838pR<:m;<401?7492wx>oj7:181[73i27=?94>309~w7dc13:1>vP>489>265=9:;0q~7}Y9<301;==:012?xu5jmh1<75<5sW;>;639318274=z{;hoh7>52z\210=:>;l1=>?4}r0a``<72;qU=8:4=70f>4563ty9nih50;0xZ43434<9h7?<1:p6gc72909wS?:2:?56f<6;81v?lj1;296~X6=816:?l51238yv4em;0;6?uQ1428934f289:7p}=bd194?4|V8>3708=9;305>{t:ko?6=4={_1`3>;1;009=n5rs3`f1?6=9;qU=?>4=73:>44734<:m7?=0:?55g<6:916:e;314>;19o0:>=526329576<5?8:6<>4>219>272=9;:01;<::003?805>3;9<639268265=:>;21=?>4=71:>77c3ty9nh850;02[75k27==44j8:?55d<4j8:?567>4j8:?56184j8:?563:4j8:?56=44>2b9>27g=9;i01;;o1=?m4=70e>44d34<8<7?=c:?574<6:j16:><513a89354288h708<4;31g>;1;<0:>n52624957e<5?9<6<ok8:181[71927=>54vP>5g9>271=;hh0q~7}Y95<5sW;>o6392580eg=z{;hno7>52z\21g=:>;91?ll4}r0aaa<72;qU=;l4=701>6ge3ty9nhk50;0xZ40f34<9=7=nb:p6gca2909wS?99:?565<4ik1v?li0;296~X6>>16:708>d;1bf>{t:kl86=4={_350>;19j08mo5rs3`e0?6=:rT::>5260`97ddom;|q1fc0=838pR<;n;<42=?5fj2wx>oh8:1805~;19109=45263;9<3=:>;31455263;9<<=:>;k14;5263c9<==:>;k1445263`9<3=:>;h1455263`9<<=:>;i14;5263a9<==:>;i1445263f9<3=:>;n1455263f9<<=:>;o14;5263g9<==:>;o1445263d9<3=:>;l1455263d9<<=:>::14;526229<==:>::144526239<3=:>:;145526239<<=:>:814;526209<==:>:8144526219<3=:>:9145526219<<=:>:>14;526269<==:>:>144526279<3=:>:?145526279<<=:>:<14;526249<==:>:<144526259<3=:>:=145526259<<=:>:214;5262:9<==:>:21445rs3`e309>27?=;hh0q~7}:>8k1=>?4=70b>6ge3ty9nko50;0x937e289:708=b;1bf>{t:kli6=4={<42g?74927=>n4v391e8274=:>;n1?ll4}r0aba<72;q6:;<41b?5fj2wx>ohi:18180583;8=6393180eg=z{;i;<7>52z?564<6;816:>?53``8yv4d880;6?u26309567<5?996>om;|q1g54=838p1;<<:012?804;39jn6s|2b20>5<5s4<987?<1:?571<4ik1v?m?4;296~;1:<0:?<5262797dd270=9:;01;=9:2ca?xu5k9<1<745634<8;7=nb:p6f602909w08=8;305>;1;108mo5rs3a3;1:j0:>=5263f9576<5?8n6<219>267=9;:01;==:003?804;3;9<639358265=:>:?1=?>4=715>44734<8;7?=0:?57=<6:916:>753118yxd1;h0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k<8n7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`57f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb71f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>:l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl94183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0393:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;:=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a215=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=894?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4=6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm65594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1<10;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8;9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f32f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:9l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`50f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl94e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=8h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`515<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`511<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`51=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl95883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=9l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1=k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`51f<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6f6>290:=vP6e:?50f474:?511289:7p}=c1f94?4|V8>i708:8;305>{t:j:n6=4={_37e>;1=>0:?<5rs3a3b?6=:rT:845264495676<=>;|q1g47=838pR<;7;<460?7492wx>n?=:181[72?27=9>4>309~w7e6;3:1>vP>549>204=9:;0q~7}Y9<>01;;>:012?xu5k8?1<75<5sW;>>6394g8274=z{;i:;7>52z\214=:>=o1=>?4}r0`5=<72;qU=8>4=76g>4563ty9o<750;0xZ42?34b;2957}Y9;:01;=l:003?804l3;9<6393d8265=:>:l1=?>4=763>44734;1=526559576<5?>36<219>21d=9;:01;;l:33g?xu5k8i1<7<>{_31g>;1;j0n46393e8f<>;1;l0n46393g8f<>;1<90n4639408f<>;1<;0n4639428f<>;1<=0n4639448f<>;1;1<10n4639488f<>;1;1n5265f957e<5?>n6<2b9>207=9;i01;;=:00`?802;3;9o63955826f=:>44d34<>;7?=c:?51=<6:j16:87513a8933f288h708:b;31g>{t:j;o6=4={_355>;126>om;|q1g76=838pR<;k;<47n<>:181[72k27=8:4vP>5c9>210=;hh0q~7}Y9?h01;:::2ca?xu5k;>1<75<5sW;=56394280eg=z{;i9:7>52z\222=:>=81?ll4}r0`62<72;qU=;84=762>6ge3ty9o?650;0xZ402342909wS?94:?57c<4ik1v?m=a;296~X6>:16:>k53``8yv4d:k0;6?uQ1708935c2:ki7p}=c3a94?4|V8?j708{t:j8o6=4<1z?57g<59016:9m5879>21e=0116:9m5889>21b=0?16:9j5899>21b=0016:9k5879>21c=0116:9k5889>21`=0?16:9h5899>21`=0016:8>5879>206=0116:8>5889>207=0?16:8?5899>207=0016:8<5879>204=0116:8<5889>205=0?16:8=5899>205=0016:8:5879>202=0116:8:5889>203=0?16:8;5899>203=0016:885879>200=0116:885889>201=0?16:895899>201=0016:865879>20>=0116:865889>20?=0?16:875899>20?=0016:8o5879>20g=0116:8o5889>20d=0?16:8l5899>20d=001v?m=e;296~;1;j0:?<5265a97ddk4?:3y>26b=9:;01;:k:2ca?xu5k::1<745634;1309>206=;hh0q~7}:>=;1=>?4=772>6ge3ty9o>:50;0x9325289:708:2;1bf>{t:j9>6=4={<477?74927=9>43:1>v39458274=:><>1?ll4}r0`72<72;q6:9;5123893322:ki7p}=c2:94?4|5?>=6<=>;<462?5fj2wx>n=6:181803?3;8=6395680eg=z{;i8m7>52z?50=<6;816:8653``8yv4d;k0;6?u265;9567<5??26>om;|q1g6e=838p1;:n:012?802i39jn6s|2b1g>5<5s4=i1=?>4=76g>44734513289336288;708:2;314>;1=:0:>=526469576<5??>6<219>20>=9;:01;;6:003?802i3;9<6395c8265=:>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g02m3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl95g83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0183:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;8>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a234=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=:>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm67494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1>>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo898;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f30>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:;o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`52g<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb74g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>?o1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl96g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`537<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`533<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`53d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=;n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1?m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`53`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl97g83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2b1e>5<69rT2i6396g8;0>;1?9038639708;0>;1?;038639728;0>;1?=038639748;0>;1??038639768;0>;1?1038639788;0>;1?h0386397c8;0>;1?j0386397e8;0>;1?l0386s|2b63>5<5sW;?j6397d8274=z{;i?=7>52z\20a=:>>n1=>?4}r0`07<72;qU=9m4=75`>4563ty9o9=50;0xZ42e34<{t:j>36=4={_363>;1??0:?<5rs3a7=?6=:rT:98526679567;|q1g1d=838pR<;<;<447?7492wx>n:l:181[72:27=;?4>309~w7e3l3:1>vP>509>227=9:;0q~7}Y9<:01;9?:012?xu5k=l1<75<5sW9h;6397g815f=z{;i>=7>513y]576<5??m6<219>234=9;:01;8<:003?801<3;9<639648265=:>?<1=?>4=744>44734<=47?=0:?52<<6:916:;o51328930e288;7089c;314>;1>m0:>=5267g9576<5?=m6??k;|q1g04=838:wS?=c:?51c513a89316288h70882;31g>;1?:0:>n52666957e<5?=>6<2b9>22>=9;i01;96:00`?800i3;9o6397c826f=:>>i1=?m4=75g>44d34<{t:j?<6=4={_36g>;1>h08mo5rs3a6om;|q1g0g=838pR<8n;<453?5fj2wx>n;m:181[71127=:;4vP>669>233=;hh0q~7}Y9?<01;8;:2ca?xu5k5<5sW;=86396380eg=z{;i=<7>52z\226=:>?;1?ll4}r0`24<72;qU=;<4=743>6ge3ty9o;<50;0xZ43f34<>j7=nb:p6f042908=v395d815<=:>?l14;5267d9<==:>?l144526629<3=:>>:145526629<<=:>>;14;526639<==:>>;144526609<3=:>>8145526609<<=:>>914;526619<==:>>9144526669<3=:>>>145526669<<=:>>?14;526679<==:>>?144526649<3=:>><145526649<<=:>>=14;526659<==:>>=1445266:9<3=:>>21455266:9<<=:>>314;5266;9<==:>>31445266c9<3=:>>k1455266c9<<=:>>h14;5266`9<==:>>h1445266a9<3=:>>i1455266a9<<=:>>n14;5266f9<==:>>n1445266g9<3=:>>o1455266g9<<=z{;i=87>52z?51c<6;816:;h53``8yv4d><0;6?u26729567<5?=;6>om;|q1g30=838p1;8>:012?800939jn6s|2b44>5<5s4<=>7?<1:?537<4ik1v?m98;296~;1>:0:?<5266197dd232=9:;01;9;:2ca?xu5k?k1<745634<<97=nb:p6f0e2909w0896;305>;1??08mo5rs3a5g?6=:r7=::4>309>221=;hh0q~7}:>?21=>?4=75;>6ge3ty9o;k50;0x930>289:70889;1bf>{t:jv396c8274=:>>h1?ll4}r0`34<72;q6:;m51238931d2:ki7p}=c6094?4|5?;<44`?5fj2wx>n9<:181801m3;8=6397d80eg=z{;i<87>510y>23`=9;:01;9?:003?80093;9<639738265=:>>91=?>4=757>44734<<97?=0:?533<6:916::951328931?288;70889;314>;1?h0:>=5266`9576<5?=h6<219>22`=;990qpl98183>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c4;5?6=93:1m<;n02=?6=3th=4?4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm69794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd10?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo877;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3>?290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:5750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`55<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7:`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>1n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl98d83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0?n3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;7?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2<7=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=5?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5=1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5==<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5=f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl99e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=5h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd11o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5e5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9a083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=m?4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>n9::1825~X>m27=5?474:?5=6n99:181[73n27=m<4>309~w7e0?3:1>vP>4e9>2d6=9:;0q~7}Y9=i01;7i:012?xu5k>31<7m3;8=6s|2b5b>5<5sW;?m6399e8274=z{;i52z\20<=:>0i1=>?4}r0`3f<72;qU=874=7;a>4563ty9o:j50;0xZ43?34<2m7?<1:p6f1b2909wS?:7:?5=<<6;81v?m8f;296~X6=<16:4651238yv4d090;6?uQ146893?0289:7p}=c9394?4|V8?870866;305>{t:j296=4={_366>;11<0:?<5rs3a;7?6=:rT:9<526869567;|q1g=3=838pR<:7;<4:6?7492wx>n69:181[5d?27=m?4=1b9~w7e??3:1=?uQ132893>5288;70873;314>;10=0:>=526979576<5?2=6<219>2=?=9;:01;6n:003?80?j3;9<6398b8265=:>1n1=?>4=7:f>44734<3j7?=0:?5=5<6:916:4?5132893g52;;o7p}=c9:94?46sW;9o639838f<>;10:0n4639858f<>;10<0n4639878f<>;10>0n4639898f<>;1000n46398`8f<>;10k0n46398b8f<>;10m0n46398d8f<>;10o0n4639918f<>;1180n463993826f=:>091=?m4=7;7>44d34<297?=c:?5=3<6:j16:49513a893??288h70869;31g>;11h0:>n5268`957e<5?3h6<2b9>2<`=9;i01;o?:00`?80f93;9o6s|2b::>5<5sW;==6399080eg=z{;i3m7>52z\21c=:>0:1?ll4}r0`6ge3ty9o5m50;0xZ43c34<3i7=nb:p6f>c2909wS?:c:?5e2:ki7p}=c8294?4|V8{t:j3:6=4={_35=>;10008mo5rs3a:6?6=:rT:::5269:97dd4?:3y]530<5?2<6>om;|q1g<2=838pR<8:;<4;2?5fj2wx>n7::181[71<27=484>3:1>vP>629>2=2=;hh0q~7}Y9?801;6<:2ca?xu5k021<75<49r7=4<4=189>2<4=0?16:4<5899>2<4=0016:4=5879>2<5=0116:4=5889>2<2=0?16:4:5899>2<2=0016:4;5879>2<3=0116:4;5889>2<0=0?16:485899>2<0=0016:495879>2<1=0116:495889>2<>=0?16:465899>2<>=0016:475879>2222222222<`=0116:4h5889>2d6=0?16:l>5899>2d6=0016:l?5879>2d7=0116:l?5889~w7e>i3:1>v39838274=:>081?ll4}r0`=g<72;q6:5=5123893?42:ki7p}=c8a94?4|5?2?6<=>;<4:0?5fj2wx>n7k:18180?=3;8=6399480eg=z{;i2i7>52z?5<3<6;816:4853``8yv4d1o0;6?u26959567<5?3<6>om;|q1gd6=838p1;67:012?80>039jn6s|2bc2>5<5s4<357?<1:?5=<<4ik1v?mn2;296~;10h0:?<5268c97dd4?:3y>2=d=9:;01;7m:2ca?xu5kh>1<745634<2o7=nb:p6fg22909w087d;305>;11m08mo5rs3ab2?6=:r7=4h4>309>27}:>1l1=>?4=7;e>6ge3ty9ol650;0x93?7289:708n0;1bf>{t:jk26=4={<4:5?74927=m<4219>2<0=9;:01;78:003?80>03;9<639988265=:>0k1=?>4=7;a>44734<2o7?=0:?5=a<6:916:4k5132893?a288;708n0;314>;1i80:>=526`097554?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o8n4;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd1i<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8n6;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3g0290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:l650;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5e<<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7ca>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>hi1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9ae83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0fm3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;oi:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2g6=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=n<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6c694?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1j<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5f3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9b683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=n54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1j00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5fd<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9bc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=nn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1jm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5f`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9bg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=o=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1k80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5g7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9c283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=o94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1k<0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:jki6=4>1z\:a>;1j<038639b78;0>;1j>038639b98;0>;1j0038639b`8;0>;1jk038639bb8;0>;1jm038639bd8;0>;1jo038639c18;0>;1k8038639c38;0>;1k:038639c58;0>{t:jkh6=4={_37b>;1k=0:?<5rs3ab`?6=:rT:8i526b19567;|q1gd`=838pR<:m;<4`5?7492wx>nl?:181[73i27=o=4>309~w7ee93:1>vP>489>2g`=9:;0q~7}Y9<301;lj:012?xu5kk91<75<5sW;>;639bb8274=z{;ii97>52z\210=:>kh1=>?4}r0`f3<72;qU=8:4=7`b>4563ty9oo950;0xZ434343708m5;305>{t:jhh6=4={_1`3>;1k<09=n5rs3aa`?6=9;qU=?>4=7c6>44734288;708na;314>;1ik0:>=526`a9576<5?ko6<219>2g6=9;:01;l>:003?80e:3;9<639b28265=:>k>1=?>4=7a6>77c3ty9ook50;02[75k27=m84j8:?5e34j8:?5f12b9>2g0=9;i01;l8:00`?80e03;9o639b8826f=:>kk1=?m4=7`a>44d34;1k80:>n526b0957e<5?i86<nli:181[71927=n94vP>5g9>2g5=;hh0q~7}Y95<5sW;>o639b180eg=z{;ih87>52z\21g=:>hl1?ll4}r0`g0<72;qU=;l4=7cf>6ge3ty9on850;0xZ40f34>16:ll53``8yv4dk00;6?uQ174893gf2:ki7p}=cbc94?4|V8<>708n9;1bf>{t:jii6=4={_350>;1i108mo5rs3a`g?6=:rT::>526`597ddom;|q1gfc=838pR<;n;<4b1?5fj2wx>nmi:1805~;1i=09=4526c79<3=:>k?145526c79<<=:>k<14;526c49<==:>k<144526c59<3=:>k=145526c59<<=:>k214;526c:9<==:>k2144526c;9<3=:>k3145526c;9<<=:>kk14;526cc9<==:>kk144526c`9<3=:>kh145526c`9<<=:>ki14;526ca9<==:>ki144526cf9<3=:>kn145526cf9<<=:>ko14;526cg9<==:>ko144526cd9<3=:>kl145526cd9<<=:>j:14;526b29<==:>j:144526b39<3=:>j;145526b39<<=:>j814;526b09<==:>j8144526b19<3=:>j9145526b19<<=:>j>14;526b69<==:>j>1445rs3ag4?6=:r7=m84>309>2g3=;hh0q~7}:>h<1=>?4=7`5>6ge3ty9oi<50;0x93g0289:708m7;1bf>{t:jn86=4={<4bv39a88274=:>k31?ll4}r0``0<72;q6:lo5123893df2:ki7p}=ce494?4|5?ki6<=>;<4af?5fj2wx>nj8:18180fk3;8=639bb80eg=z{;io47>52z?5ea<6;816:oj53``8yv4dl00;6?u26`g9567<5?hn6>om;|q1gag=838p1;oi:012?80en39jn6s|2bfa>5<5s42g4=9:;01;m=:2ca?xu5kmo1<745634;1k=08mo5rs3af4?6=98q6:o;5132893d1288;708m7;314>;1j10:>=526c;9576<5?hj6<219>2gb=9;:01;lj:003?80en3;9<639c18265=:>j;1=?>4=7a1>447345$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k51;294~"4k809=l5G3b`8L6e43f8:57>5;|`5g=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7ab>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>jh1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9cb83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0dl3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;mj:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2f`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=h=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6e194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1l=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8k5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3b1290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:i950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5`=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9d883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=hl4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1lk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5`f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9de83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=hh4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1lo0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9e083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=i?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1m:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9e483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=i;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1m>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5a=<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6fc6290:=vP6e:?5`=474:?5a1i708j4;305>{t:jo=6=4={_37e>;1m:0:?<5rs3af3?6=:rT:84526d09567;|q1g`?=838pR<;7;<4f4?7492wx>nkn:181[72?27=hk4>309~w7ebj3:1>vP>549>2ac=9:;0q~7}Y9<>01;jk:012?xu5kln1<75<5sW;>>639dc8274=z{;inj7>52z\214=:>mk1=>?4}r0`b5<72;qU=8>4=7f:>4563ty9ok?50;0xZ42?34jh1=?>4=7a`>44734;1l;0:>=526e19576<5?n?6<219>2a1=9;:01;k7:33g?xu5ko>1<7<>{_31g>;1k10n4639c88f<>;1kh0n4639cc8f<>;1kj0n4639ce8f<>;1kl0n4639cg8f<>;1l90n4639d08f<>;1l;0n4639d28f<>;1l=0n4639d48f<>;1l?0n4639d68f<>;1l10:>n526e;957e<5?nj6<2b9>2ab=9;i01;jj:00`?80cn3;9o639e1826f=:>l;1=?m4=7g1>44d34{t:jl>6=4={_355>;1l>08mo5rs3ae2?6=:rT:9k526e497dd6>om;|q1gc>=838pR<;k;<4g0?5fj2wx>nh6:181[72k27=h>4vP>5c9>2a4=;hh0q~7}Y9?h01;j>:2ca?xu5koi1<75<5sW;=5639cg80eg=z{;imi7>52z\222=:>jo1?ll4}r0`bc<72;qU=;84=7ag>6ge3ty9h=>50;0xZ40234:16:no53``8yv4c8:0;6?uQ170893e>2:ki7p}=d1694?4|V8?j708l8;1bf>{t:m:>6=4<1z?5g2<59016:i65879>2a>=0116:i65889>2a?=0?16:i75899>2a?=0016:io5879>2ag=0116:io5889>2ad=0?16:il5899>2ad=0016:im5879>2ae=0116:im5889>2ab=0?16:ij5899>2ab=0016:ik5879>2ac=0116:ik5889>2a`=0?16:ih5899>2a`=0016:h>5879>2`6=0116:h>5889>2`7=0?16:h?5899>2`7=0016:h<5879>2`4=0116:h<5889>2`5=0?16:h=5899>2`5=0016:h:5879>2`2=0116:h:5889>2`3=0?16:h;5899>2`3=0016:h85879>2`0=0116:h85889>2`1=0?16:h95899>2`1=001v?j?6;296~;1k10:?<526e:97dd2f?=9:;01;j6:2ca?xu5l921<7456342909w08lb;305>;1lk08mo5rs3f3e?6=:r7=on4>309>2ae=;hh0q~7}:>jn1=>?4=7fg>6ge3ty9h=m50;0x93eb289:708ke;1bf>{t:m:o6=4={<4`b?74927=hk4v39d18274=:>l:1?ll4}r0g4c<72;q6:i?5123893c62:ki7p}=d0294?4|5?n96<=>;<4f6?5fj2wx>i?>:18180c;3;8=639e280eg=z{;n:>7>52z?5`1<6;816:h:53``8yv4c9:0;6?u26e79567<5?o>6>om;|q1`42=838p1;j9:012?80b>39jn6s|2e36>5<5s46;2954}:>m21=?>4=7f:>44734;1lo0:>=526d29576<5?o:6<4>219>2`2=9;:01;k::003?80b>3;9<639e68265=:>l21?==4}|`5a<<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g0bi3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl9ec83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg0bk3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn;kk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a2`c=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th=ik4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm6g094?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd1n:0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo8i4;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f3`2290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi:k850;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`5b2<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb7d:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e>ok1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl9fc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th=jn4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd1nm0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`5b`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl9fg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0880;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`447<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd08<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`443<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<<54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0800;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`44d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl80c83>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2e34>5<69rT2i639fc8;0>;1nj038639fe8;0>;1nl038639fg8;0>;089038638008;0>;08;038638028;0>;08=038638048;0>;08?038638068;0>;081038638088;0>;08h0386s|2e3;>5<5sW;?j6380`8274=z{;n:57>52z\20a=:?931=>?4}r0g5d<72;qU=9m4=62;>4563ty9hd;296~X6<016;=;51238yv4c9l0;6?uQ14;89263289:7p}=d0d94?4|V8?3709?3;305>{t:m8;6=4={_363>;08;0:?<5rs3f15?6=:rT:98527139567?4?:3y]502<5>:;6<=>;|q1`75=838pR<;<;<4eb?7492wx>i<;:181[72:27=jh4>309~w7b5=3:1>vP>509>2cb=9:;0q~7}Y9<:01;hl:012?xu5l;=1<75<5sW9h;6380c815f=z{;n957>513y]576<5?oi6<219>2`c=9;:01;ki:003?80a83;9<639f08265=:>o81=?>4=7d0>44734;1n00:>=526gc9576<5>:i6??k;|q1`7g=838:wS?=c:?5ag;1no0:>n52712957e<5>::6<4>2b9>352=9;i01:>::00`?817>3;9o63806826f=:?921=?m4=62:>44d34=;m7?=c:p6a4e2909wS?91:?5bd<4ik1v?j=c;296~X6=o16:k753``8yv4c:m0;6?uQ14g893`?2:ki7p}=d3g94?4|V8?o708i7;1bf>{t:m8m6=4={_36g>;1n?08mo5rs3f04?6=:rT:9o526g797ddom;|q1`64=838pR<8n;<4e7?5fj2wx>i=<:181[71127=j?4vP>669>2c7=;hh0q~7}Y9?<01;h?:2ca?xu5l:<1<75<5sW;=8639ed80eg=z{;n847>52z\226=:>ln1?ll4}r0g7<<72;qU=;<4=7g`>6ge3ty9h>o50;0xZ43f34oh14;526g`9<==:>oh144526ga9<3=:>oi145526ga9<<=:>on14;526gf9<==:>on144526gg9<3=:>oo145526gg9<<=:>ol14;526gd9<==:>ol144527129<3=:?9:145527129<<=:?9;14;527139<==:?9;144527109<3=:?98145527109<<=:?9914;527119<==:?99144527169<3=:?9>145527169<<=:?9?14;527179<==:?9?144527149<3=:?9<145527149<<=:?9=14;527159<==:?9=1445271:9<3=:?921455271:9<<=:?9314;5271;9<==:?931445271c9<3=:?9k1455271c9<<=z{;n8o7>52z?5ag<6;816:kl53``8yv4c;m0;6?u26da9567<5?lh6>om;|q1`6c=838p1;kk:012?80al39jn6s|2e1e>5<5s42c6=9:;01:>?:2ca?xu5l=81<745634=;=7=nb:p6a242909w08i2;305>;08;08mo5rs3f70?6=:r7=j>4>309>355=;hh0q~7}:>o>1=>?4=627>6ge3ty9h9850;0x93`2289:709?5;1bf>{t:m><6=4={<4e2?74927<<;4v39f68274=:?9=1?ll4}r0g0<<72;q6:k651238926?2:ki7p}=d5c94?4|5?l26<=>;<53=?5fj2wx>i:m:18180ai3;8=6380`80eg=z{;n?o7>510y>2cd=9;:01;hl:003?80al3;9<639fd8265=:>ol1=?>4=623>44734=;=7?=0:?447<6:916;==513289263288;709?5;314>;08?0:>=527159576<5>:36<219>35d=;990qpl80b83>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c53`?6=93:1m<;n02=?6=3th<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4;;6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm70394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd09;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f273290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;<;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`453<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb63;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?831<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl81`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg16j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:?l:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a34b=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<=h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd09o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`465<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0::0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`461<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0:>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`46=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0:k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`46f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl82e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<>h4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>i:k:1825~X>m27<=h474:?45c=474:?464?474:?4669474:?460;474:?4625474:?46<l474:?46gn474:?46ai:j:181[73n27<>i4>309~w7b3n3:1>vP>4e9>37e=9:;0q~7}Y9=i01:5<5sW;?m638288274=z{;n>?7>52z\20<=:?;21=>?4}r0g11<72;qU=874=604>4563ty9h8;50;0xZ43?34=9:7?<1:p6a312909wS?:7:?460<6;81v?j:7;296~X6=<16;?:51238yv4c=10;6?uQ14689244289:7p}=d4;94?4|V8?8709=2;305>{t:m?j6=4={_366>;0:80:?<5rs3f6f?6=:rT:9<527329567;m6<=>;|q1`0b=838pR<:7;<52a?7492wx>i;j:181[5d?27<>h4=1b9~w7b2n3:1=?uQ1328926b288;709?f;314>;0990:>=527039576<5>;96<219>343=9;:01:?9:003?816?3;9<638198265=:?831=?>4=63b>44734=:n7?=0:?45f<6:916;;08o0n4638118f<>;0980n4638138f<>;09:0n4638158f<>;09<0n4638178f<>;09>0n4638198f<>;0900n46381`8f<>;09k0n46381b8f<>;09m0n46381d826f=:?8l1=?m4=603>44d34=9=7?=c:?467<6:j16;?=513a89243288h709=5;31g>;0:?0:>n52735957e<5>836<l4>2b9>37d=9;i01:5<5sW;==6381e80eg=z{;n=>7>52z\21c=:?8i1?ll4}r0g26<72;qU=8k4=63a>6ge3ty9h;:50;0xZ43c34=:m7=nb:p6a022909wS?:c:?45<<4ik1v?j96;296~X6=k16;<653``8yv4c>>0;6?uQ17`892702:ki7p}=d7:94?4|V86;1bf>{t:m<26=4={_35=>;09<08mo5rs3f5e?6=:rT:::5270697dd;86>om;|q1`3e=838pR<8:;<526?5fj2wx>i8k:181[71<27<=<4vP>629>346=;hh0q~7}Y9?801:>i:2ca?xu5l>:1<75<49r7<34c=0?16;34c=0016;34`=0116;376=0?16;?>5899>376=0016;??5879>377=0116;??5889>374=0?16;?<5899>374=0016;?=5879>375=0116;?=5889>372=0?16;?:5899>372=0016;?;5879>373=0116;?;5889>370=0?16;?85899>370=0016;?95879>371=0116;?95889>37>=0?16;?65899>37>=0016;?75879>37?=0116;?75889>37g=0?16;?o5899>37g=0016;?l5879>37d=0116;?l5889>37e=0?16;?m5899>37e=0016;?j5879>37b=0116;?j5889~w7b0:3:1>v380d8274=:?8o1?ll4}r0g36<72;q6;=h51238927a2:ki7p}=d6694?4|5>;;6<=>;<514?5fj2wx>i9::18181693;8=6382080eg=z{;n<:7>52z?457<6;816;?<53``8yv4c?>0;6?u27019567<5>886>om;|q1`2>=838p1:?;:012?815<39jn6s|2e5:>5<5s4=:97?<1:?460<4ik1v?j8a;296~;09?0:?<5273497dd341=9:;01:<8:2ca?xu5l>i1<745634=947=nb:p6a1c2909w09>9;305>;0:008mo5rs3f4a?6=:r7<=l4>309>37g=;hh0q~7}:?8h1=>?4=60a>6ge3ty9h5>50;0x927d289:709=c;1bf>{t:m2:6=4={<52`?74927<>i4;m6<<4>219>374=9;:01:<<:003?815<3;9<638248265=:?;<1=?>4=604>44734=947?=0:?46<<6:916;?o51328924e288;709=c;314>;0:m0:>=5273g9755k4?:37967<4>rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o9<0;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd0;80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9<2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f254290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;>:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`470<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb614>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?:21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl83883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg14i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:=m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a36e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?49m6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm75294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0<80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`407<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<894?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0<<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`403<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<854?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0<00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`40d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<8n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`40`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl84g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<9=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0=80;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:m286=4>1z\:a>;0<8038638438;0>;0<:038638458;0>;0<<038638478;0>;0<>038638498;0>;0<00386384`8;0>;0;0;0{t:m2?6=4={_37b>;0=90:?<5rs3f;1?6=:rT:8i5275d9567>n6<=>;|q1`=1=838pR<:m;<57`?7492wx>i67:181[73i27<8n4>309~w7b?13:1>vP>489>31d=9:;0q~7}Y9<301::n:012?xu5l1h1<75<5sW;>;638498274=z{;n3h7>52z\210=:?==1=>?4}r0g<`<72;qU=8:4=665>4563ty9h5h50;0xZ43434=?97?<1:p6a?72909wS?:2:?401<6;81v?j61;296~X6=816;9=51238yv4c1;0;6?uQ14289225289:7p}=d8194?4|V8>3709;1;305>{t:m3?6=4={_1`3>;0=809=n5rs3f:1?6=9;qU=?>4=612>44734=8>7?=0:?476<6:916;>:513289252288;709<6;314>;0;>0:>=5272:9576<5>926<219>36e=9;:01:=k:003?814m3;9<6383g8265=:?=:1=?>4=672>77c3ty9h4850;02[75k274j8:?4712b9>314=9;i01::<:00`?813<3;9o63844826f=:?=<1=?m4=664>44d34=?47?=c:?40<<6:j16;9o513a8922e288h709;c;31g>;0n5275g957e<5>>m6<i78:181[71927<8=403:1>vP>5g9>36`=;hh0q~7}Y95<5sW;>o6383b80eg=z{;n2o7>52z\21g=:?:h1?ll4}r0g=a<72;qU=;l4=61b>6ge3ty9h4k50;0xZ40f34=857=nb:p6a?a2909wS?99:?47=<4ik1v?jn0;296~X6>>16;>953``8yv4ci80;6?uQ174892512:ki7p}=d`094?4|V8<>709<5;1bf>{t:mk86=4={_350>;0;=08mo5rs3fb0?6=:rT::>5272197dd996>om;|q1`d0=838pR<;n;<505?5fj2wx>io8:1805~;0;909=4527539<3=:?=;145527539<<=:?=814;527509<==:?=8144527519<3=:?=9145527519<<=:?=>14;527569<==:?=>144527579<3=:?=?145527579<<=:?=<14;527549<==:?=<144527559<3=:?==145527559<<=:?=214;5275:9<==:?=21445275;9<3=:?=31455275;9<<=:?=k14;5275c9<==:?=k1445275`9<3=:?=h1455275`9<<=:?=i14;5275a9<==:?=i1445275f9<3=:?=n1455275f9<<=:?=o14;5275g9<==:?=o1445275d9<3=:?=l1455275d9<<=:?<:14;527429<==:?<:1445rs3fb309>317=;hh0q~7}:?:81=>?4=661>6ge3ty9hlo50;0x9254289:709;3;1bf>{t:mki6=4={<500?74927<894v38348274=:?=?1?ll4}r0gea<72;q6;>85123892212:ki7p}=d`g94?4|5>9<6<=>;<573?5fj2wx>ioi:18181403;8=6384980eg=z{;ni<7>52z?47<<6;816;9753``8yv4cj80;6?u272c9567<5>>j6>om;|q1`g4=838p1:=m:012?813j39jn6s|2e`0>5<5s4=8o7?<1:?40f<4ik1v?jm4;296~;0;m0:?<5275f97dd36c=9:;01::j:2ca?xu5lk<1<745634=?j7=nb:p6ad02909w09;0;305>;0=908mo5rs3fa;0<=0:>=527579576<5>>=6<219>31?=9;:01::n:003?813j3;9<6384b8265=:?=n1=?>4=66f>44734=?j7?=0:?415<6:916;8?53118yxd0=;0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k=>?7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`411<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<97>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb675>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?<=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl85983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1213:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:;n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a30d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<9n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4?n6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm74d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0>90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo991;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f205290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;;=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`421<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`42=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`42f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl86e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<:h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0>o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`435<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl87083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<;?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0?:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`431<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6ad>290:=vP6e:?421474:p6adf2909wS?;f:?436<6;81v?jmb;296~X6i70980;305>{t:mhn6=4={_37e>;0>o0:?<5rs3fab?6=:rT:845277g9567;|q1`f7=838pR<;7;<55g?7492wx>im=:181[72?27<:o4>309~w7bd;3:1>vP>549>33g=9:;0q~7}Y9<>01:86:012?xu5lj?1<75<5sW;>>638668274=z{;nh;7>52z\214=:??<1=>?4}r0gg=<72;qU=8>4=646>4563ty9hn750;0xZ42?34==87?<1:p6aef2909wS=l7:?431<59j1v?jlb;2957}Y9;:01:;;:003?812=3;9<638578265=:?<=1=?>4=67;>44734=>57?=0:?41d<6:916;8l51328923d288;709:d;314>;0=l0:>=5274d9576<5><;6<219>335=9;:01:9;:33g?xu5lji1<7<>{_31g>;0==0n4638548f<>;0=?0n4638568f<>;0=10n4638588f<>;0=h0n46385c8f<>;0=j0n46385e8f<>;0=l0n46385g8f<>;0>90n4638608f<>;0>;0n4638628f<>;0>=0:>n52777957e<5><=6<2b9>33?=9;i01:8n:00`?811j3;9o6386b826f=:??n1=?m4=64f>44d34==j7?=c:?435<6:j16;:?513a89215288h70983;31g>{t:mio6=4={_355>;0>:08mo5rs3f`a?6=:rT:9k5277097dd<:6>om;|q1`a6=838pR<;k;<554?5fj2wx>ij>:181[72k27<9k4vP>5c9>30c=;hh0q~7}Y9?h01:;k:2ca?xu5lm>1<75<5sW;=56385c80eg=z{;no:7>52z\222=:?6ge3ty9hi650;0xZ40234=>47=nb:p6ab>2909wS?94:?412<4ik1v?jka;296~X6>:16;8853``8yv4clk0;6?uQ170892322:ki7p}=dea94?4|V8?j709:4;1bf>{t:mno6=4<1z?416<59016;;:5879>332=0116;;:5889>333=0?16;;;5899>333=0016;;85879>330=0116;;85889>331=0?16;;95899>331=0016;;65879>33>=0116;;65889>33?=0?16;;75899>33?=0016;;o5879>33g=0116;;o5889>33d=0?16;;l5899>33d=0016;;m5879>33e=0116;;m5889>33b=0?16;;j5899>33b=0016;;k5879>33c=0116;;k5889>33`=0?16;;h5899>33`=0016;:>5879>326=0116;:>5889>327=0?16;:?5899>327=0016;:<5879>324=0116;:<5889>325=0?16;:=5899>325=001v?jke;296~;0==0:?<5277697dd303=9:;01:8::2ca?xu5ll:1<745634==:7=nb:p6ac62909w09:7;305>;0>>08mo5rs3ff6?6=:r7<954>309>33>=;hh0q~7}:?<31=>?4=64:>6ge3ty9hh:50;0x923f289:7099a;1bf>{t:mo>6=4={<56f?74927<:o43:1>v385b8274=:??i1?ll4}r0ga2<72;q6;8j51238920c2:ki7p}=dd:94?4|5>?n6<=>;<55a?5fj2wx>ik6:181812n3;8=6386g80eg=z{;nnm7>52z?425<6;816;:>53``8yv4cmk0;6?u27739567<5>=:6>om;|q1``e=838p1:8=:012?810:39jn6s|2egg>5<5s4==?7?<1:?436<4ik1v?jje;2954}:??>1=?>4=646>44734==:7?=0:?422<6:916;;651328920>288;7099a;314>;0>k0:>=5277a9576<5>219>326=9;:01:9>:003?810:3;9<638728265=:?>>1?==4}|`430<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g10>3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl87683>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1003:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:96:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a32g=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th<;o4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4=o6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm76g94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0?o0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo970;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2>6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;5<50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4<6<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6:6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?1<1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl88683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<454?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0000;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4<<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<4n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd00m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4<`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl88g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<5=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0180;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4=7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl89283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th<594?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd01<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4=3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl89683>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2ege>5<69rT2i638868;0>;001038638888;0>;00h0386388c8;0>;00j0386388e8;0>;00l0386388g8;0>;019038638908;0>;01;038638928;0>;01=038638948;0>;01?0386s|2ed3>5<5sW;?j638978274=z{;nm=7>52z\20a=:?0?1=>?4}r0gb7<72;qU=9m4=6;7>4563ty9hk=50;0xZ42e34=2?7?<1:p6a`32909wS?;a:?4=7<6;81v?ji5;296~X6<016;4?51238yv4cn?0;6?uQ14;892?7289:7p}=dg594?4|V8?37097f;305>{t:ml36=4={_363>;00l0:?<5rs3fe=?6=:rT:985279f95672h6<=>;|q1`cd=838pR<;<;<5;f?7492wx>ihl:181[72:27<4l4>309~w7bal3:1>vP>509>3=?=9:;0q~7}Y9<:01:67:012?xu5lol1<75<5sW9h;63896815f=z{;o;=7>513y]576<5>=<6<219>32g=9;:01:9m:003?810k3;9<6387e8265=:?>o1=?>4=65e>44734=3<7?=0:?4<4<6:916;5<5132892>4288;70974;314>;00<0:>=527949576<5>3<6??k;|q1a54=838:wS?=c:?432>288h7097a;31g>;00k0:>n5279a957e<5>2o6<2b9>3<6=9;i01:7>:00`?81>:3;9o63892826f=:?0>1=?m4=6;6>44d34=2:7?=c:p6`642909wS?91:?4<3<4ik1v?k?4;296~X6=o16;5;53``8yv4b8<0;6?uQ14g892>32:ki7p}=e1494?4|V8?o70973;1bf>{t:l:<6=4={_36g>;00;08mo5rs3g32;6>om;|q1a5g=838pR<8n;<54b?5fj2wx>h>m:181[71127<;h4vP>669>32b=;hh0q~7}Y9?<01:9l:2ca?xu5m9o1<75<5sW;=86387`80eg=z{;o:<7>52z\226=:?>31?ll4}r0f54<72;qU=;<4=65;>6ge3ty9i<<50;0xZ43f34=<;7=nb:p6`742908=v3877815<=:?1=14;527959<==:?1=1445279:9<3=:?121455279:9<<=:?1314;5279;9<==:?131445279c9<3=:?1k1455279c9<<=:?1h14;5279`9<==:?1h1445279a9<3=:?1i1455279a9<<=:?1n14;5279f9<==:?1n1445279g9<3=:?1o1455279g9<<=:?1l14;5279d9<==:?1l144527829<3=:?0:145527829<<=:?0;14;527839<==:?0;144527809<3=:?08145527809<<=:?0914;527819<==:?09144527869<3=:?0>145527869<<=:?0?14;527879<==:?0?144527849<3=:?0<145527849<<=z{;o:87>52z?432<6;816;5953``8yv4b9<0;6?u276:9567<5>236>om;|q1a40=838p1:96:012?81?139jn6s|2d34>5<5s4=8;296~;0?k0:?<5279`97dd32e=9:;01:6l:2ca?xu5m8k1<745634=3h7=nb:p6`7e2909w098e;305>;00l08mo5rs3g2g?6=:r7<;k4>309>3=`=;hh0q~7}:?1:1=>?4=6;3>6ge3ty9i6289:70961;1bf>{t:l;m6=4={<5;6?74927<5?4v38828274=:?091?ll4}r0f64<72;q6;5:5123892?32:ki7p}=e3094?4|5>2>6<=>;<5:1?5fj2wx>h<<:18181?>3;8=6389780eg=z{;o987>510y>3=1=9;:01:67:003?81?13;9<6388`8265=:?1h1=?>4=6:`>44734=3h7?=0:?4<`<6:916;5h5132892?7288;70961;314>;01;0:>=527819576<5>3?6<219>3<1=;990qpl89983>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c5:=?6=93:1m<;n02=?6=3th<5l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?43h6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm78f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd01l0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo96f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2g7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;l?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4e7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6c7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?h?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8a783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1f?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:o7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3d?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0ik0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4ef<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8ae83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0io0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0j:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0j>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4f=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8b883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>h<::1825~X>m27h<9:181[73n27309~w7c5?3:1>vP>4e9>3g>=9:;0q~7}Y9=i01:l8:012?xu5m;31<73;8=6s|2d0b>5<5sW;?m638b48274=z{;o9n7>52z\20<=:?k>1=>?4}r0f6f<72;qU=874=6`0>4563ty9i?j50;0xZ43?34=i>7?<1:p6`4b2909wS?:7:?4f4<6;81v?k=f;296~X6=<16;o>51238yv4b;90;6?uQ146892ga289:7p}=e2394?4|V8?8709ne;305>{t:l996=4={_366>;0im0:?<5rs3g07?6=:rT:9<527`a9567ki6<=>;|q1a63=838pR<:7;<5be?7492wx>h=9:181[5d?27;01j0:>=5278f9576<5>3n6<219>3d7=9;:01:o=:003?81f;3;9<638a58265=:?h?1=?>4=6c5>44734=j;7?=0:?4e=<6:916;l75132892df2;;o7p}=e2:94?46sW;9o6389`8f<>;01k0n46389b8f<>;01m0n46389d8f<>;01o0n4638a18f<>;0i80n4638a38f<>;0i:0n4638a58f<>;0i<0n4638a78f<>;0i>0n4638a98f<>;0i00n4638a`826f=:?hh1=?m4=6c`>44d34=jh7?=c:?4e`<6:j16;lh513a892d7288h709m1;31g>;0j;0:>n527c1957e<5>h?6<2b9>3g1=9;i01:l7:00`?81e13;9o6s|2d1:>5<5sW;==638a880eg=z{;o8m7>52z\21c=:?h21?ll4}r0f7g<72;qU=8k4=6c4>6ge3ty9i>m50;0xZ43c34=j:7=nb:p6`5c2909wS?:c:?4e0<4ik1v?k{t:l>:6=4={_35=>;0i808mo5rs3g76?6=:rT:::527`297dd4?:3y]530<5>3m6>om;|q1a12=838pR<8:;<5:a?5fj2wx>h:::181[71<27<5i43:1>vP>629>37}Y9?801:7m:2ca?xu5m=21<7i39jn6s|2d6:>5<49r7<544=189>3dg=0?16;lo5899>3dg=0016;ll5879>3dd=0116;ll5889>3de=0?16;lm5899>3de=0016;lj5879>3db=0116;lj5889>3dc=0?16;lk5899>3dc=0016;lh5879>3d`=0116;lh5889>3g6=0?16;o>5899>3g6=0016;o?5879>3g7=0116;o?5889>3g4=0?16;o<5899>3g4=0016;o=5879>3g5=0116;o=5889>3g2=0?16;o:5899>3g2=0016;o;5879>3g3=0116;o;5889>3g0=0?16;o85899>3g0=0016;o95879>3g1=0116;o95889>3g>=0?16;o65899>3g>=0016;o75879>3g?=0116;o75889~w7c3i3:1>v389`8274=:?hk1?ll4}r0f0g<72;q6;4l5123892ge2:ki7p}=e5a94?4|5>3h6<=>;<5bg?5fj2wx>h:k:18181>l3;8=638ae80eg=z{;o?i7>52z?4=`<6;816;lk53``8yv4bkm6>om;|q1a06=838p1:o?:012?81e839jn6s|2d72>5<5s4=j=7?<1:?4f4<4ik1v?k:2;296~;0i;0:?<527c097dd4?:3y>3d5=9:;01:l<:2ca?xu5m<>1<745634=i87=nb:p6`322909w09n5;305>;0j<08mo5rs3g62?6=:r7309>3g0=;hh0q~7}:?h=1=>?4=6`4>6ge3ty9i8650;0x92g?289:709m8;1bf>{t:l?26=4={<5b=?74927ki6<219>3dc=9;:01:oi:003?81e83;9<638b08265=:?k81=?>4=6`0>44734=i87?=0:?4f0<6:916;o85132892d0288;709m8;314>;0j00:>=527cc9755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o9mc;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd0jm0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9me;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2da290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;n>50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4g4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6a0>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?j>1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8c483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1d>3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:m8:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3f>=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4ii6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7ba94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0km0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4g`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8cg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8d283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`3<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8d683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0l00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4`d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8dc83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0lm0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:l?i6=4>1z\:a>;0km038638cd8;0>;0ko038638d18;0>;0l8038638d38;0>;0l:038638d58;0>;0l<038638d78;0>;0l>038638d98;0>;0l0038638d`8;0>;0lk038638db8;0>{t:l?h6=4={_37b>;0lj0:?<5rs3g6`?6=:rT:8i527e`9567nj6<=>;|q1a0`=838pR<:m;<5g=?7492wx>h8?:181[73i27309~w7c193:1>vP>489>3a1=9:;0q~7}Y9<301:j9:012?xu5m?91<75<5sW;>;638d58274=z{;o=97>52z\210=:?m91=>?4}r0f23<72;qU=8:4=6f1>4563ty9i;950;0xZ43434=o=7?<1:p6`0?2909wS?:2:?4`5<6;81v?k99;296~X6=816;nh51238yv4b>h0;6?uQ142892eb289:7p}=e7`94?4|V8>3709ld;305>{t:l;0lm09=n5rs3g5`?6=9;qU=?>4=6`g>44734=ii7?=0:?4fc<6:916;n>5132892e6288;709l2;314>;0k:0:>=527b69576<5>i>6<219>3f>=9;:01:m6:003?81di3;9<638cc8265=:?ji1=?>4=6fg>77c3ty9i;k50;02[75k274j8:?4g12b9>3fc=9;i01:mi:00`?81c83;9o638d0826f=:?m81=?m4=6f0>44d34=o87?=c:?4`0<6:j16;i8513a892b0288h709k8;31g>;0l00:>n527ec957e<5>ni6<h8i:181[71927vP>5g9>3fd=;hh0q~7}Y981<75<5sW;>o638c980eg=z{;o<87>52z\21g=:?j=1?ll4}r0f30<72;qU=;l4=6a5>6ge3ty9i:850;0xZ40f34=h97=nb:p6`102909wS?99:?4g1<4ik1v?k88;296~X6>>16;n=53``8yv4b?00;6?uQ174892e52:ki7p}=e6c94?4|V8<>709l1;1bf>{t:l=i6=4={_350>;0k908mo5rs3g4g?6=:rT::>527cd97ddhn6>om;|q1a2c=838pR<;n;<5a`?5fj2wx>h9i:1805~;0jj09=4527bf9<3=:?jn145527bf9<<=:?jo14;527bg9<==:?jo144527bd9<3=:?jl145527bd9<<=:?m:14;527e29<==:?m:144527e39<3=:?m;145527e39<<=:?m814;527e09<==:?m8144527e19<3=:?m9145527e19<<=:?m>14;527e69<==:?m>144527e79<3=:?m?145527e79<<=:?m<14;527e49<==:?m<144527e59<3=:?m=145527e59<<=:?m214;527e:9<==:?m2144527e;9<3=:?m3145527e;9<<=:?mk14;527ec9<==:?mk144527e`9<3=:?mh145527e`9<<=:?mi14;527ea9<==:?mi1445rs3g;4?6=:r7309>3fb=;hh0q~7}:?ko1=>?4=6af>6ge3ty9i5<50;0x92da289:709lf;1bf>{t:l286=4={<5`4?74927v38c08274=:?m;1?ll4}r0f<0<72;q6;n<5123892b52:ki7p}=e9494?4|5>i86<=>;<5g7?5fj2wx>h68:18181d<3;8=638d580eg=z{;o347>52z?4g0<6;816;i;53``8yv4b000;6?u27b49567<5>n=6>om;|q1a=g=838p1:m8:012?81c?39jn6s|2d:a>5<5s4=h47?<1:?4`=<4ik1v?k7c;296~;0k00:?<527e;97dd3fg=9:;01:jn:2ca?xu5m1o1<745634=on7=nb:p6`>a2909w09lc;305>;0lj08mo5rs3g:4?6=98q6;nj5132892eb288;709lf;314>;0l90:>=527e39576<5>n96<219>3a3=9;:01:j9:003?81c?3;9<638d98265=:?m31=?>4=6fb>44734=on7?=0:?4`f<6:916;ij53118yxd0ll0;6?;523802~N4k:1/?n?535c7?_c02:q:57k5f;j=`<72-9j477k;o1b3?6<3`;?j7>5$2c;>42b3g9j;7>4;h37`?6=,:k36<:j;o1b3?7<3`;?o7>5$2c;>42b3g9j;7<4;h37f?6=,:k36<:j;o1b3?5<3`;?m7>5$2c;>42b3g9j;7:4;h37=?6=,:k36<:j;o1b3?3<3`;>57>5$2c;>42b3g9j;784;h36;7>5$2c;>42b3g9j;764;h361?6=,:k36<:j;o1b3??<3`;>87>5$2c;>42b3g9j;7o4;h367?6=,:k36<:j;o1b3?d<3`;>>7>5$2c;>42b3g9j;7m4;h365?6=,:k36<:j;o1b3?b<3`;><7>5$2c;>42b3g9j;7k4;h375;h314?6=3`9i57>5;h31g?6=3f;==7>5$2c;>4073g9j;7>4;n36b?6=,:k36<8?;o1b3?7<3f;>i7>5$2c;>4073g9j;7<4;n36`?6=,:k36<8?;o1b3?5<3f;>o7>5$2c;>4073g9j;7:4;n36f?6=,:k36<8?;o1b3?3<3f;=n7>5$2c;>4073g9j;784;n35e?6=,:k36<8?;o1b3?1<3f;=57>5$2c;>4073g9j;764;n353?6=,:k36<8?;o1b3??<3f;=:7>5$2c;>4073g9j;7o4;n351?6=,:k36<8?;o1b3?d<3f;=87>5$2c;>4073g9j;7m4;n357?6=,:k36<8?;o1b3?b<3f;=>7>5$2c;>4073g9j;7k4;n36e?6=,:k36<8?;o1b3?`<3k=oj7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`4a5<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb6g1>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e?l91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl8e583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg1b=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn:k9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a3`1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4oj6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm7d`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd0mj0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo9jd;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f2cb290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi;hh50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`4b5<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0n:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4b1<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0n>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4b=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8f883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0nk0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`4bf<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl8fe83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd0no0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;45<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p6`?6290:=vP6e:?4b5474:?4b1i709ic;305>{t:l3=6=4={_37e>;0nk0:?<5rs3g:3?6=:rT:84527gc9567l26<=>;|q1ah7n:181[72?27309~w7c>j3:1>vP>549>3c0=9:;0q~7}Y9<>01:h::012?xu5m0n1<75<5sW;>>638f28274=z{;o2j7>52z\214=:?o81=>?4}r0fe5<72;qU=8>4=6d2>4563ty9il?50;0xZ42?34=m<7?<1:p6`g52909wS=l7:?;45<59j1v?kn3;2957}Y9;:01:k?:003?81b93;9<638e38265=:?l91=?>4=6g7>44734=n97?=0:?4a3<6:916;h95132892c?288;709j9;314>;0mh0:>=527d`9576<5>oh6<219>3``=9;:015>?:33g?xu5mh>1<7<>{_31g>;0m90n4638e08f<>;0m;0n4638e28f<>;0m=0n4638e48f<>;0m?0n4638e68f<>;0m10n4638e88f<>;0mh0n4638ec8f<>;0mj0n4638ee8f<>;0ml0n4638eg8f<>;0n90:>n527g3957e<5>l96<2b9>3c3=9;i01:h9:00`?81a?3;9o638f9826f=:?o31=?m4=6db>44d34=mn7?=c:?4bf<6:j16;kj513a892`b288h709if;31g>{t:lk>6=4={_355>;0mo08mo5rs3gb2?6=:rT:9k527dg97ddoo6>om;|q1ad>=838pR<;k;<5fg?5fj2wx>ho6:181[72k27vP>5c9>3`g=;hh0q~7}Y9?h01:k6:2ca?xu5mhi1<75<5sW;=5638e680eg=z{;oji7>52z\222=:?l<1?ll4}r0fec<72;qU=;84=6g6>6ge3ty9io>50;0xZ40234=n87=nb:p6`d62909wS?94:?4a6<4ik1v?km2;296~X6>:16;h<53``8yv4bj:0;6?uQ170892c62:ki7p}=ec694?4|V8?j709j0;1bf>{t:lh>6=4<1z?4`c<59016;k>5879>3c6=0116;k>5889>3c7=0?16;k?5899>3c7=0016;k<5879>3c4=0116;k<5889>3c5=0?16;k=5899>3c5=0016;k:5879>3c2=0116;k:5889>3c3=0?16;k;5899>3c3=0016;k85879>3c0=0116;k85889>3c1=0?16;k95899>3c1=0016;k65879>3c>=0116;k65889>3c?=0?16;k75899>3c?=0016;ko5879>3cg=0116;ko5889>3cd=0?16;kl5899>3cd=0016;km5879>3ce=0116;km5889>3cb=0?16;kj5899>3cb=0016;kk5879>3cc=0116;kk5889>3c`=0?16;kh5899>3c`=001v?km6;296~;0m90:?<527g297dd3`7=9:;01:h>:2ca?xu5mk21<745634=m>7=nb:p6`d>2909w09j3;305>;0n:08mo5rs3gae?6=:r7309>3c2=;hh0q~7}:?l?1=>?4=6d6>6ge3ty9iom50;0x92c1289:709i6;1bf>{t:lho6=4={<5f3?74927v38e98274=:?o21?ll4}r0ffc<72;q6;h75123892`>2:ki7p}=eb294?4|5>oj6<=>;<5ee?5fj2wx>hm>:18181bj3;8=638fc80eg=z{;oh>7>52z?4af<6;816;km53``8yv4bk:0;6?u27df9567<5>lo6>om;|q1af2=838p1:kj:012?81am39jn6s|2da6>5<5s4=nj7?<1:?4bc<4ik1v?kl6;2954}:?o:1=?>4=6d2>44734=m>7?=0:?4b6<6:916;k:5132892`2288;709i6;314>;0n>0:>=527g:9576<5>l26<219>3ce=9;:01:hk:003?81am3;9<638fg8265=:09:1?==4}|`;44<72;?1>?4<6zJ0g6=#;j;1?9o;;[g4>6}613o1j7sf9d83>!5f033o7c=n7;28?l73n3:1(>o7:06f?k5f?3:07d?;d;29 6g?28>n7c=n7;38?l73k3:1(>o7:06f?k5f?3807d?;b;29 6g?28>n7c=n7;18?l73i3:1(>o7:06f?k5f?3>07d?;9;29 6g?28>n7c=n7;78?l7213:1(>o7:06f?k5f?3<07d?:8;29 6g?28>n7c=n7;58?l72?3:1(>o7:06f?k5f?3207d?:5;29 6g?28>n7c=n7;;8?l72<3:1(>o7:06f?k5f?3k07d?:3;29 6g?28>n7c=n7;`8?l72:3:1(>o7:06f?k5f?3i07d?:1;29 6g?28>n7c=n7;f8?l7283:1(>o7:06f?k5f?3o07d?;8;29 6g?28>n7c=n7;d8?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7193:1(>o7:043?k5f?3:07b?:f;29 6g?28<;7c=n7;38?j72m3:1(>o7:043?k5f?3807b?:d;29 6g?28<;7c=n7;18?j72k3:1(>o7:043?k5f?3>07b?:b;29 6g?28<;7c=n7;78?j71j3:1(>o7:043?k5f?3<07b?9a;29 6g?28<;7c=n7;58?j7113:1(>o7:043?k5f?3207b?97;29 6g?28<;7c=n7;;8?j71>3:1(>o7:043?k5f?3k07b?95;29 6g?28<;7c=n7;`8?j71<3:1(>o7:043?k5f?3i07b?93;29 6g?28<;7c=n7;f8?j71:3:1(>o7:043?k5f?3o07b?:a;29 6g?28<;7c=n7;d8?g>7:3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17pl70283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>7<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5>::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<50=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3<:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm81c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?8k0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6?c;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4=k50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;4c<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb932>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0881<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl71283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?9<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;53<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?900;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;5d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3=n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?9m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;5`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl71g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3>=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?:80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;67<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl72283>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|2da4>5<69rT2i637128;0>;?9=038637148;0>;?9?038637168;0>;?91038637188;0>;?9h0386371c8;0>;?9j0386371e8;0>;?9l0386371g8;0>;?:9038637208;0>;?:;0386s|2da;>5<5sW;?j637238274=z{;oh57>52z\20a=:0;;1=>?4}r0fgd<72;qU=9m4=903>4563ty9inl50;0xZ42e342:j7?<1:p6`ed2909wS?;a:?;5`<6;81v?kld;296~X6<0164b;305>{t:ln;6=4={_363>;?9h0:?<5rs3gg5?6=:rT:985280;9567;|q1aa5=838pR<;<;<:23?7492wx>hj;:181[72:273=;4>309~w7cc=3:1>vP>509><43=9:;0q~7}Y9<:015?;:012?xu5mm=1<76;3;8=6s|2df;>5<5sW9h;63722815f=z{;oo57>513y]576<51:86<219><50=9;:015>8:003?8>703;9<637088265=:09k1=?>4=92a>447342;o7?=0:?;4a<6:9164=k513289=6a288;706>0;314>;?980:>=528009576<51886??k;|q1aag=838:wS?=c:?;466;31g>;?9>0:>n5280:957e<51;26<2b9><4e=9;i015?k:00`?8>6m3;9o6371g826f=:0;:1=?m4=902>44d3429>7?=c:p6`be2909wS?91:?;57<4ik1v?kkc;296~X6=o164{t:lnm6=4={_36g>;?8l08mo5rs3gf4?6=:rT:9o5281f97ddom;|q1a`4=838pR<8n;<:3f?5fj2wx>hk<:181[711273vP>669><5?=;hh0q~7}Y9?<015>7:2ca?xu5ml<1<77?39jn6s|2dg4>5<5sW;=86370780eg=z{;on47>52z\226=:09?1?ll4}r0fa<<72;qU=;<4=927>6ge3ty9iho50;0xZ43f342;?7=nb:p6`ce2908=v3703815<=:08914;528019<==:089144528069<3=:08>145528069<<=:08?14;528079<==:08?144528049<3=:08<145528049<<=:08=14;528059<==:08=1445280:9<3=:0821455280:9<<=:08314;5280;9<==:0831445280c9<3=:08k1455280c9<<=:08h14;5280`9<==:08h1445280a9<3=:08i1455280a9<<=:08n14;5280f9<==:08n1445280g9<3=:08o1455280g9<<=:08l14;5280d9<==:08l144528329<3=:0;:145528329<<=:0;;14;528339<==:0;;144528309<3=:0;8145528309<<=z{;ono7>52z?;46<6;8164<=53``8yv4bmm0;6?u28169567<51;?6>om;|q1a`c=838p15>::012?8>6=39jn6s|2dge>5<5s42;:7?<1:?;53<4ik1v?ki0;296~;?8>0:?<5280597dd<5>=9:;015?7:2ca?xu5mo81<7456342:57=nb:p6``42909w06?a;305>;?9h08mo5rs3ge0?6=:r73309><4d=;hh0q~7}:09i1=>?4=93`>6ge3ty9ik850;0x9=6c289:706>d;1bf>{t:ll<6=4={<:3a?749273=h4v370g8274=:08l1?ll4}r0fb<<72;q64<>512389=472:ki7p}=egc94?4|51;:6<=>;<:15?5fj2wx>hhm:1818>6:3;8=6372380eg=z{;omo7>510y><45=9;:015?;:003?8>6=3;9<637178265=:08=1=?>4=93;>447342:57?=0:?;5d<6:9164d;314>;?9l0:>=5280d9576<518;6<?4>219><75=;990qpl72583>73=:;08:vF4;h37b?6=,:k36<:j;o1b3?6<3`;?h7>5$2c;>42b3g9j;7?4;h37g?6=,:k36<:j;o1b3?4<3`;?n7>5$2c;>42b3g9j;7=4;h37e?6=,:k36<:j;o1b3?2<3`;?57>5$2c;>42b3g9j;7;4;h36=?6=,:k36<:j;o1b3?0<3`;>47>5$2c;>42b3g9j;794;h363?6=,:k36<:j;o1b3?><3`;>97>5$2c;>42b3g9j;774;h360?6=,:k36<:j;o1b3?g<3`;>?7>5$2c;>42b3g9j;7l4;h366?6=,:k36<:j;o1b3?e<3`;>=7>5$2c;>42b3g9j;7j4;h364?6=,:k36<:j;o1b3?c<3`;?47>5$2c;>42b3g9j;7h4;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n355?6=,:k36<8?;o1b3?6<3f;>j7>5$2c;>4073g9j;7?4;n36a?6=,:k36<8?;o1b3?4<3f;>h7>5$2c;>4073g9j;7=4;n36g?6=,:k36<8?;o1b3?2<3f;>n7>5$2c;>4073g9j;7;4;n35f?6=,:k36<8?;o1b3?0<3f;=m7>5$2c;>4073g9j;794;n35=?6=,:k36<8?;o1b3?><3f;=;7>5$2c;>4073g9j;774;n352?6=,:k36<8?;o1b3?g<3f;=97>5$2c;>4073g9j;7l4;n350?6=,:k36<8?;o1b3?e<3f;=?7>5$2c;>4073g9j;7j4;n356?6=,:k36<8?;o1b3?c<3f;>m7>5$2c;>4073g9j;7h4;c:11?6=93:1m<;n02=?6=3th3>;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm83;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?:h0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6=b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=4d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4?j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;6`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb913>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0:;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl73383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>4;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5=;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<63=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3?;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;7=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl73883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3?l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;7f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl73e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3?h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?;o0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;05<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl74083><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th38?4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?<:0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;01<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl74483><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th38;4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx>hhk:1825~X>m273?;474:?;72hhj:181[73n273884>309~w7can3:1>vP>4e9><12=9:;0q~7}Y9=i015:<:012?xu5n9;1<73:3;8=6s|2g21>5<5sW;?m637408274=z{;l;?7>52z\20<=:0=:1=>?4}r0e41<72;qU=874=91e>4563ty9j=;50;0xZ43?3428i7?<1:p6c612909wS?:7:?;7a<6;81v?h?7;296~X6=<164>m51238yv4a810;6?uQ14689=5e289:7p}=f1;94?4|V8?8706{t:o:j6=4={_366>;?;00:?<5rs3d3f?6=:rT:9<5282:9567;|q1b5b=838pR<:7;<:02?7492wx>k>j:181[5d?2738;4=1b9~w7`7n3:1=?uQ13289=41288;706=7;314>;?:10:>=5283;9576<518j6<n4>219><7b=9;:0155n3;9<637318265=:0:;1=?>4=911>4473428?7?=0:?;71<6:9164>;513289=212;;o7p}=f0294?46sW;9o637278f<>;?:>0n4637298f<>;?:00n46372`8f<>;?:k0n46372b8f<>;?:m0n46372d8f<>;?:o0n4637318f<>;?;80n4637338f<>;?;:0n4637358f<>;?;<0n463737826f=:0:=1=?m4=91;>44d342857?=c:?;7d<6:j164>l513a89=5d288h706;?;l0:>n5282d957e<51>;6<2b9><15=9;i015:;:00`?8>3=3;9o6s|2g32>5<5sW;==6373480eg=z{;l:>7>52z\21c=:0:>1?ll4}r0e56<72;qU=8k4=910>6ge3ty9j<:50;0xZ43c3428>7=nb:p6c722909wS?:c:?;74<4ik1v?h>6;296~X6=k164>>53``8yv4a9>0;6?uQ17`89=4a2:ki7p}=f0:94?4|V8{t:o;26=4={_35=>;?:m08mo5rs3d2e?6=:rT:::5283a97ddom;|q1b4e=838pR<8:;<:1e?5fj2wx>k?k:181[71<273>44vP>629><7>=;hh0q~7}Y9?8015<8:2ca?xu5n;:1<75>39jn6s|2g02>5<49r73>84=189><60=0?164>85899><60=00164>95879><61=01164>95889><6>=0?164>65899><6>=00164>75879><6?=01164>75889><6g=0?164>o5899><6g=00164>l5879><6d=01164>l5889><6e=0?164>m5899><6e=00164>j5879><6b=01164>j5889><6c=0?164>k5899><6c=00164>h5879><6`=01164>h5889><16=0?1649>5899><16=001649?5879><17=011649?5889><14=0?1649<5899><14=001649=5879><15=011649=5889><12=0?1649:5899><12=001649;5879><13=011649;5889~w7`5:3:1>v37278274=:0:<1?ll4}r0e66<72;q64?9512389=502:ki7p}=f3694?4|51836<=>;<:0k<::1818>513;8=6373880eg=z{;l9:7>52z?;6d<6;8164>o53``8yv4a:>0;6?u283`9567<519i6>om;|q1b7>=838p154k39jn6s|2g0:>5<5s429h7?<1:?;7a<4ik1v?h=a;296~;?:l0:?<5282g97ddo4?:3y><7`=9:;015=i:2ca?xu5n;i1<7456342?<7=nb:p6c4c2909w06<1;305>;?<808mo5rs3d1a?6=:r73??4>309><14=;hh0q~7}:0:91=>?4=960>6ge3ty9j>>50;0x9=53289:706;4;1bf>{t:o9:6=4={<:01?749273884219><6g=9;:015=m:003?8>4k3;9<6373e8265=:0:o1=?>4=91e>447342?<7?=0:?;04<6:91649<513289=24288;706;4;314>;?<<0:>=528549755rB8o>5+3b3971g33So<6>u>9;g9b?{n1l0;6)=n8;;g?k5f?3:07d?;f;29 6g?28>n7c=n7;28?l73l3:1(>o7:06f?k5f?3;07d?;c;29 6g?28>n7c=n7;08?l73j3:1(>o7:06f?k5f?3907d?;a;29 6g?28>n7c=n7;68?l7313:1(>o7:06f?k5f?3?07d?:9;29 6g?28>n7c=n7;48?l7203:1(>o7:06f?k5f?3=07d?:7;29 6g?28>n7c=n7;:8?l72=3:1(>o7:06f?k5f?3307d?:4;29 6g?28>n7c=n7;c8?l72;3:1(>o7:06f?k5f?3h07d?:2;29 6g?28>n7c=n7;a8?l7293:1(>o7:06f?k5f?3n07d?:0;29 6g?28>n7c=n7;g8?l7303:1(>o7:06f?k5f?3l07d=l7;29?l7583:17d=m9;29?l75k3:17b?91;29 6g?28<;7c=n7;28?j72n3:1(>o7:043?k5f?3;07b?:e;29 6g?28<;7c=n7;08?j72l3:1(>o7:043?k5f?3907b?:c;29 6g?28<;7c=n7;68?j72j3:1(>o7:043?k5f?3?07b?9b;29 6g?28<;7c=n7;48?j71i3:1(>o7:043?k5f?3=07b?99;29 6g?28<;7c=n7;:8?j71?3:1(>o7:043?k5f?3307b?96;29 6g?28<;7c=n7;c8?j71=3:1(>o7:043?k5f?3h07b?94;29 6g?28<;7c=n7;a8?j71;3:1(>o7:043?k5f?3n07b?92;29 6g?28<;7c=n7;g8?j72i3:1(>o7:043?k5f?3l07o6;8;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd?<00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6;a;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=2e290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi49m50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;0a<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb96e>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0<:1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl75083>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>2:3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5;<:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<02=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3984?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm84:94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?=00;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;1d<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl75c83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th39n4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?=m0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;1`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl75g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?>80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;27<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl76283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?><0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`;23<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl76683><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th3:54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd?>00;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:o986=4>1z\:a>;?=00386375`8;0>;?=k0386375b8;0>;?=m0386375d8;0>;?=o038637618;0>;?>8038637638;0>;?>:038637658;0>;?><038637678;0>;?>>038637698;0>{t:o9?6=4={_37b>;?>10:?<5rs3d01?6=:rT:8i528759567;|q1b61=838pR<:m;<:51?7492wx>k=7:181[73i273:94>309~w7`413:1>vP>489><35=9:;0q~7}Y9<30158=:012?xu5n:h1<7193;8=6s|2g1`>5<5sW;>;637618274=z{;l8h7>52z\210=:0?4}r0e7`<72;qU=8:4=97f>4563ty9j>h50;0xZ434342>h7?<1:p6c272909wS?:2:?;1f<6;81v?h;1;296~X6=81648l51238yv4a<;0;6?uQ14289=3f289:7p}=f5194?4|V8>3706:9;305>{t:o>?6=4={_1`3>;?>009=n5rs3d71?6=9;qU=?>4=96:>447342?m7?=0:?;0g<6:91649m513289=2c288;706;e;314>;?=528429576<51?:6<4>219><02=9;:015;::003?8>2>3;9<637568265=:0<21=?>4=94:>77c3ty9j9850;02[75k273844j8:?;0d4j8:?;112b9><0g=9;i015;m:00`?8>2k3;9o6375e826f=:044d342=<7?=c:?;24<6:j164;<513a89=04288h70694;31g>;?><0:>n52874957e<51<<6<k:8:181[719273954vP>5g9><01=;hh0q~7}Y92=39jn6s|2g6a>5<5sW;>o6375580eg=z{;l?o7>52z\21g=:0<91?ll4}r0e0a<72;qU=;l4=971>6ge3ty9j9k50;0xZ40f342>=7=nb:p6c2a2909wS?99:?;15<4ik1v?h:0;296~X6>>1649h53``8yv4a=80;6?uQ17489=2b2:ki7p}=f4094?4|V8<>706;d;1bf>{t:o?86=4={_350>;?5285`97ddj6>om;|q1b00=838pR<;n;<:7=?5fj2wx>k;8:1805~;?<109=45284;9<3=:0<31455284;9<<=:014;528769<==:0?>144528779<3=:0??145528779<<=:0?<14;528749<==:0?<144528759<3=:0?=145528759<<=:0?214;5287:9<==:0?21445rs3d6309><0?=;hh0q~7}:0=k1=>?4=97b>6ge3ty9j8o50;0x9=2e289:706:b;1bf>{t:o?i6=4={<:7g?7492739n4v374e8274=:0m6<=>;<:6b?5fj2wx>k;i:1818>283;8=6376180eg=z{;l=<7>52z?;14<6;8164;?53``8yv4a>80;6?u28409567<51<96>om;|q1b34=838p15;<:012?8>1;39jn6s|2g40>5<5s42>87?<1:?;21<4ik1v?h94;296~;?=<0:?<5287797dd<00=9:;01589:2ca?xu5n?<1<7456342=;7=nb:p6c002909w06:8;305>;?>108mo5rs3d5;?=j0:>=5284f9576<51?n6<219><37=9;:0158=:003?8>1;3;9<637658265=:0??1=?>4=945>447342=;7?=0:?;2=<6:9164;753118yxd?>h0;6>k539857~N4k:1/?n?51c3a?_c024;hc3>5<#;h21m<5a3`595>=n9>?1<7*=n9>81<7*65f16394?"4i10:;95a3`597>=n9>:1<7*=n9?o1<7*=n90n1<7*=n90h1<7*=n9031<7*=n91o1<7*=n9>21<7*5<#;h21=::4n2c4>47<3`k36=4+3`:9e2=i;h=1<65fa783>!5f03k<7c=n7;38?l5d03:17d?=0;29?l5e13:17d?=c;29?j7f?3:1(>o7:0c5?k5f?3:07b?n5;29 6g?28k=7c=n7;38?j7f<3:1(>o7:0c5?k5f?3807b?n3;29 6g?28k=7c=n7;18?j7f:3:1(>o7:0c5?k5f?3>07b?n1;29 6g?28k=7c=n7;78?j7f83:1(>o7:0c5?k5f?3<07b?6f;29 6g?28k=7c=n7;58?j7dn3:1(>o7:0c5?k5f?3207b?le;29 6g?28k=7c=n7;;8?j7dl3:1(>o7:0c5?k5f?3k07b?lc;29 6g?28k=7c=n7;`8?j7dj3:1(>o7:0c5?k5f?3i07b?la;29 6g?28k=7c=n7;f8?j7d83:1(>o7:0c5?k5f?3o07b?m5;29 6g?28k=7c=n7;d8?j7fi3:1(>o7:0c5?k5f?3;;76a>9d83>!5f03;j:6`=h9m31<7*=h9m<1<7*65`1e794?"4i10:h55a3`597>=h9m>1<7*=h9m81<7*=h:9;1<7*=h9ol1<7*=h9on1<7*=h9o81<7*=h9mi1<7*5<#;h21=i64n2c4>47<3k2=n7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`;2f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb94f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl77183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>093:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn59=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<25=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3;94?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm86594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd??10;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo689;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=1f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4:l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;3f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb95f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0>l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl78183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn56=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<=5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3494?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm89594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?010;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo679;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=>f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi45l50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9:f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e01l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl79183>a<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th35<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=6<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3584?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=2<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3544?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=g<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th35i4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bmm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`;=c<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th3m<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t:o<26=4>3z\b6>;?1903:637908;2>;?1;03:637928;2>;?1=03:637948;2>;?1?03:637968;2>;?1103:637988;2>;?1h03:6379c8;2>;?1j03:6379e8;2>;?1l03:6379g8;2>;?i903:637a08;2>{t:o3z\b4>;?19038637908;0>;?1;038637928;0>;?1=038637948;0>;?1?038637968;0>;?11038637988;0>;?1h0386379c8;0>;?1j0386379e8;0>;?1l0386379g8;0>;?i9038637a08;0>{t:o;?i80:?<5rs3d5g?6=:rT:;>528`29567;|q1b3c=838pR<9>;<::a?7492wx>k8i:181[7082735i4>309~w7`083:1>vP>6g9><7}Y9?o01576:012?xu5n>81<7>j3;8=6s|2g50>5<5sW;2h6379`8274=z{;l<87>52z\2=f=:0021=>?4}r0e30<72;qU=4l4=9;4>4563ty9j:850;0xZ4?f3422:7?<1:p6c102909wS?69:?;=0<6;81v?h88;296~X6111644:51238yv4a?00;6?uQ19g89=?4289:7p}=f6c94?4|V82870660;305>{t:o=i6=4={_34<>;?1;0:?<5rs3d4g?6=:rT::n528839567<513;6?>9;<::5?47>2735?4=079><<5=:9<0157;:325?8>>=38;:637978143=:00=1>=84=9;;>761342257;?1l09<;5288d9650<51k;6?>9;<:b5?47>2wx>k9j:1827~Xf>2735=4=049><<7=:9?0157=:326?8>>;38;9637958140=:00?1>=;4=9;5>7623422;77066b;031>;?1j09<85288f9653<513n6?>:;<::b?47=273m=4=049>7}Y;j2015o=:33`?xu5n1:1<7<9{_314>;?>j0:>=5287f9576<51219><27=9;:0159=:003?8>0;3;9<637758265=:0>?1=?>4=955>447342<;7?=0:?;3=<6:9164:7513289=1f288;7068b;314>;??j0:>=5286f9576<51=n6<219><=7=9;:0156=:003?8>?;3;9<637858265=:01?1=?>4=9:5>4473423;7?=0:?;<=<6:916457513289=>f288;7067b;314>;?0j0:>=5289f9576<512n6<:d:89=152l20159<:d:89=132l20159::d:89=112l201598:d:89=1?2l201596:d:89=1f2l20159m:d:89=1d2l20159k:d:89=1b2l20159i:d:89=>72l20156>:d:89=>52l20156<:d:89=>32l20156::d:89=>12l201568:d:89=>?2l201566:d:89=>f2l20156m:d:89=>d2l20156k:d:89=>b2l20156i:d:89=?72:i=70661;1`2>;?1;08o;5288197f0<513?6>m9;<::1?5d>2735;4<<1=;j<01577:2a5?8>>139h:6379`80g3=:00h1?n84=9;`>6e13422h7=l6:?;=`<4k?1644h53b489=g72:i=706n1;1`2>{t:o296=4={_3b3>;??m08mo5rs3d;7?6=:rT:m85286a97ddom;|q1b=3=838pRk69:181[7f:273;44vP>a09><2>=;hh0q~7}Y9h:01598:2ca?xu5n131<70>39jn6s|2g:b>5<5sW;hj6377480eg=z{;l3n7>52z\2g`=:0>>1?ll4}r0e6ge3ty9j5j50;0xZ4ed342<>7=nb:p6c>b2909wS?lb:?;34<4ik1v?h7f;296~X6kh164:>53``8yv4a190;6?uQ1b289=0a2:ki7p}=f8394?4|V8h>7069e;1bf>{t:o396=4={_3be>;?>m08mo5rs3d:7?6=:rT:5h5287a97ddom;|q1b<3=838pRk79:181[7c>2734i4?3:1>vP>d49><=e=;hh0q~7}Y9m>0156m:2ca?xu5n031<7?i39jn6s|2g;b>5<5sW;o>6378880eg=z{;l2n7>52z\2`4=:0121?ll4}r0e=f<72;qU>=?4=9:4>6ge3ty9j4j50;0xZ7673423:7=nb:p6c?b2909wS?if:?;<0<4ik1v?h6f;296~X6nl1645:53``8yv4ai90;6?uQ1gf89=>42:ki7p}=f`394?4|V8lh70672;1bf>{t:ok96=4={_3e6>;?0808mo5rs3db7?6=:rT:i:5289297ddom;|q1bd3=838pRko9:187=~;?>k09=4528829<==:00:144528829651<513;6?>7;<::5?>?3422=766;<::5?47?2735<4=099><<4=011644<5889><<4=:9=0157=:32;?8>>;32370663;::?8>>;38;;63792814==:00>145528869<<=:00>1>=94=9;7>76?34229767;<::1?>>342297<<0=0016448521589=?12;:370667;:;?8>>?32270667;033>;?1>09<55288:9<==:0021445288:9651<51336?>7;<::=?>?34225766;<::=?47?273544=099><<>j3237066b;::?8>>j38;;6379c814==:00i1455288a9<<=:00i1>=94=9;`>76?3422h767;<::`?>>3422h7<>n3227066f;033>;?1o09<5528`29<==:0h:144528`29651<51k;6?>7;<:b5?>?342j=766;<:b5?47?273m<4=099~w7`f?3:1>v376b8274=:00;1?ok4}r0ee=<72;q64;j512389=?52:hn7p}=f`;94?4|51;<::4?5em2wx>kon:1818>1n3;8=6379280f`=z{;ljn7>52z?;35<6;81644:53cg8yv4aij0;6?u28639567<513>6>lj;|q1bdb=838p159=:012?8>>>39ii6s|2gcf>5<5s42<23=9:;0157n:2`f?xu5nk;1<74563422n7=me:p6cd52909w0687;305>;?1008nh5rs3da7?6=:r73;54>309><7}:0>31=>?4=9;g>6db3ty9jo;50;0x9=1f289:7066e;1aa>{t:oh=6=4={<:4f?7492735k4v377b8274=:0h:1?ok4}r0ef=<72;q64:j512389=g62:hn7p}=fc;94?4|51=n6<=>;<::5?47<2wx>kln:1818>0n3;8=637938141=z{;lin7>52z?;<5<6;81644>52168yv4ajj0;6?u28939567<51386?>;;|q1bgb=838p156=:012?8>><38;86s|2g`f>5<5s423?7?<1:?;=0<58=1v?hmf;296~;?0=0:?<528849652<=3=9:;01578:327?xu5nj;1<7456342247;?1h09<95rs3d`7?6=:r73454>309><0q~7}:0131=>?4=9;:>7633ty9jn;50;0x9=>f289:7066c;030>{t:oi=6=4={<:;f?7492735i4=059~w7`d?3:1>v378b8274=:00o1>=:4}r0eg=<72;q645j512389=?a2;:?7p}=fb;94?4|512n6<=>;<:b4?47<2wx>kmn:1818>?n3;8=637a08141=z{;lhn7>512y><<6=;j=0157>:2a4?8>>:39h;6379280g2=:00>1?n94=9;6>6e03422:7=l7:?;=2<4k>1644653b589=?>2:i<7066a;1`3>;?1k08o:5288a97f1<513o6>m8;<::a?5d?2735k4:2a4?8>f:39;?6srb9c0>5<4m3936;=tH2a0?!5d93;i=n5Ue68613=9=0?:7?8:0:9ylg5290/?l65a09m7d1=821bm=4?:%1b5$2c;>4133g9j;7?4;h346?6=,:k36<9;;o1b3?4<3`;<=7>5$2c;>4133g9j;7=4;h344?6=,:k36<9;;o1b3?2<3`;=j7>5$2c;>4133g9j;7;4;h35a?6=,:k36<9;;o1b3?0<3`;=h7>5$2c;>4133g9j;794;h3:`?6=,:k36<9;;o1b3?><3`;2o7>5$2c;>4133g9j;774;h3:f?6=,:k36<9;;o1b3?g<3`;2m7>5$2c;>4133g9j;7l4;h3:=?6=,:k36<9;;o1b3?e<3`;247>5$2c;>4133g9j;7j4;h3;a?6=,:k36<9;;o1b3?c<3`;3?7>5$2c;>4133g9j;7h4;h341:9je=<72-9j47o8;o1b3?6<3`k=6=4+3`:9e2=i;h=1=65f3b:94?=n9;:1<75f3c;94?=n9;i1<75`1`594?"4i10:m;5a3`594>=h9h?1<7*=h9h91<7*=h9h;1<7*=h90l1<7*=h9jo1<7*=h9ji1<7*=h9jk1<7*=h9k?1<7*5$2c;>4b?3g9j;7?4;n3g2?6=,:k365$2c;>4b?3g9j;7=4;n3g0?6=,:k365$2c;>4b?3g9j;7;4;n3g6?6=,:k365$2c;>4b?3g9j;794;n035?6=,:k36<3f8;<7>5$2c;>4b?3g9j;774;n3eb?6=,:k365$2c;>4b?3g9j;7l4;n3e`?6=,:k365$2c;>4b?3g9j;7j4;n3e6?6=,:k365$2c;>4b?3g9j;7h4;n3gg?6=,:k361:9at$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3m:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8`c94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?ik0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6nc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=gc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4lk50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;ec<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9`2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0k81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7b283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>e<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5l::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3n:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8cc94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?jk0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6mc;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=dc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4ok50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;fc<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9a2>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0j81<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7c283>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>d<3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5m::187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3o:4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=ef290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9aa>5N4kk1C?n=4$302>3=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5ml:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=eb290o6=4?{%1`5?5fm2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9ae>5N4kk1C?n=4$302>2=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j?:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=b5290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9f0>5N4kk1C?n=4$302>2=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j;:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=b1290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9f4>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn5j7:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f=bf290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb9fa>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c5<=0<51ij6584=9aa>=0<51ih6584=9ag>=0<51in6584=9ae>=0<51n;6584=9f2>=0<51n96584=9f0>=0<51n?6584=9f6>=0<51n=6584=9f4>=0<51n36584=9f:>=0<51nj6584}r0ega<7289pRl>4=9a:>=2<51ij65:4=9aa>=2<51ih65:4=9ag>=2<51in65:4=9ae>=2<51n;65:4=9f2>=2<51n965:4=9f0>=2<51n?65:4=9f6>=2<51n=65:4=9f4>=2<51n365:4=9f:>=2<51nj65:4}r0eg`<72;qU=:;4=9fb>4563ty9jnh50;0xZ414342o57?<1:p6cb72909wS?82:?;`=<6;81v?hk1;296~X6?8164i951238yv4al;0;6?uQ16289=b1289:7p}=fe194?4|V8{t:on?6=4={_35a>;?l;0:?<5rs3dg1?6=:rT::i528e69567;|q1ba1=838pR<7l;<:g5?7492wx>kj7:181[7>j273h=4>309~w7`c13:1>vP>9`9>7}Y903015mj:012?xu5nmh1<7dl3;8=6s|2gf`>5<5sW;3i637cb8274=z{;loh7>52z\2<6=:0j31=>?4}r0e``<72;qU=:64=9aa>4563ty9jih50;0xZ40d342hm7?<1:p6cc7290:?vPn8:?;g<<58?164no521489=ee2;:=706lc;032>;?km09<;528bg9650<51im6?>9;<:g4?47>273h<4=079>c<38;:637d48143=:0m<1>=84=9f4>761342o472;:>706la;031>;?kk09<8528ba9653<51io6?>:;<:`a?47=273ok4=049>:326?8>c:38;9637d28140=:0m>1>=;4=9f6>762342o:72;:>706ka;031>{t:oo96=4={_1`<>;?lk09=n5rs3df7?6=:?qU=?>4=9c6>447342j:7?=0:?;e2<6:9164l6513289=g>288;706na;314>;?ik0:>=528`a9576<51ko6<219>:003?8>e:3;9<637b28265=:0k>1=?>4=9`6>447342i:7?=0:?;f2<6:9164o6513289=d>288;706ma;314>;?jk0:>=528ca9576<51ho6<219>:003?8>d:3;9<637c28265=:0j>1=?>4=9a6>447342h:7?=0:?;g2<6:9164n6513289=be2;;o7p}=fd694?50sW;9o637a48f<>;?i?0n4637a68f<>;?i10n4637a88f<>;?ih0n4637ac8f<>;?ij0n4637ae8f<>;?il0n4637ag8f<>;?j90n4637b08f<>;?j;0n4637b28f<>;?j=0n4637b48f<>;?j?0n4637b68f<>;?j10n4637b88f<>;?jh0n4637bc8f<>;?jj0n4637be8f<>;?jl0n4637bg8f<>;?k90n4637c08f<>;?k;0n4637c28f<>;?k=0n4637c48f<>;?k?0n4637c68f<>;?k10n4637c880g3=:0jk1?n84=9aa>6e1342ho7=l6:?;ga<4k?164nk53b489=ea2:i=706k0;1`2>;?l808o;528e097f0<51n86>m9;<:g0?5d>273h84c039h:637d880g3=:0mk1?n84}r0ea0<72;qU=l94=9`5>6ge3ty9jh850;0xZ4g2342i97=nb:p6cc02909wS?n4:?;f1<4ik1v?hj8;296~X6i:164o=53``8yv4am00;6?uQ1`089=d52:ki7p}=fdc94?4|V8k:706m1;1bf>{t:ooi6=4={_3b4>;?j908mo5rs3dfg?6=:rT:5k528`d97ddom;|q1b`c=838pRkki:181[7dl273mn4vP>cb9>7}Y9jh015on:2ca?xu5no81<7f139jn6s|2gd0>5<5sW;h<637a980eg=z{;lm87>52z\2f0=:0h=1?ll4}r0eb0<72;qU=lo4=9c5>6ge3ty9jk850;0xZ4?b342j97=nb:p6c`02909wS?k9:?;g=<4ik1v?hi8;296~X6l>164n953``8yv4an00;6?uQ1e489=e12:ki7p}=fgc94?4|V8n>706l5;1bf>{t:oli6=4={_3g0>;?k=08mo5rs3deg?6=:rT:h>528b197ddom;|q1bcc=838pR;<:`5?5fj2wx>khi:181[479273o=4vP=019>7}Y9ol015lj:2ca?xu48981<7el39jn6s|3120>5<5sW;mh637bb80eg=z{::;87>52z\2bf=:0kh1?ll4}r1340<72;qU=k<4=9`b>6ge3ty8<=850;0xZ4c0342i57=nb:p75602909wS?kc:?;f=<4ik1v>>?8;296~X6l9164o953``8yv57800;697t=9c7>77>342h5767;<:`=?>>342h57dj322706lb;033>;?kk09<5528ba9<==:0ji144528ba9651<51ih6?>7;<:``?>?342hh766;<:``?47?273oi4=099>dn323706lf;::?8>dn38;;637cg814==:0m:145528e29<<=:0m:1>=94=9f3>76?342o=767;<:g5?>>342o=7c;322706k3;033>;?l:09<5528e69<==:0m>144528e69651<51n?6?>7;<:g1?>?342o9766;<:g1?47?273h84=099>c?323706k7;::?8>c?38;;637d6814==:0m2145528e:9<<=:0m21>=94=9f;>76?342o5767;<:g=?>>342o576<=>;<:`e?5em2wx?=>m:1818>f>3;8=637cc80f`=z{::;o7>52z?;e2<6;8164n753cg8yv578m0;6?u28`:9567<51ih6>lj;|q045c=838p15o6:012?8>dl39ii6s|312e>5<5s42jm7?<1:?;g`<4jl1v>>>0;296~;?ik0:?<528bd97gc456342o=7=me:p75742909w06ne;305>;?l:08nh5rs2220?6=:r73mk4>309>7}:0k:1=>?4=9f1>6db3ty8<<850;0x9=d6289:706k5;1aa>{t;9;<6=4={<:a6?749273h;4v37b28274=:0m=1?ok4}r135<<72;q64o:512389=b?2:hn7p}<00c94?4|51h>6<=>;<:g=?5em2wx?=?m:1818>e>3;8=637d`80f`=z{:::o7>52z?;f2<6;8164no52168yv579m0;6?u28c:9567<51ii6?>;;|q044c=838p15l6:012?8>d138;86s|313e>5<5s42im7?<1:?;gf<58=1v>>=0;296~;?jk0:?<528bf9652<4?:3y>456342hj7;?l909<95rs2210?6=:r73nk4>309>0q~=?2483>7}:0j:1=>?4=9f0>7633ty8{t;98<6=4={<:`6?749273h?4=059~w66503:1>v37c28274=:0m?1>=:4}r136<<72;q64n:512389=b12;:?7p}<03c94?4|51i>6<=>;<:g3?47<2wx?=d>3;8=637d98141=z{::9o7>52z?;g2<6;8164i752168yv57:m0;6?u28b:9567<51nj6?>;;|q047c=83;8w06l9;1`3>;?kh08o:528b`97f1<51ih6>m8;<:``?5d?273oh4c939h;637d380g2=:0m91?n94=9f7>6e0342o97=l7:?;`3<4k>164i953b589=b?2:i<706k9;1`3>;?lh08o:528e`97555+3b395g7c3So<68u;5;37>10=9>0:47sfa383>!5f03k:7c=n7;28?lg7290/?l65a09m7d1=921b=:;50;&0e=<6?=1e?l950:9j525=83.8m54>759m7d1=921b=:<50;&0e=<6?=1e?l952:9j527=83.8m54>759m7d1=;21b=:>50;&0e=<6?=1e?l954:9j53`=83.8m54>759m7d1==21b=;k50;&0e=<6?=1e?l956:9j53b=83.8m54>759m7d1=?21b=4j50;&0e=<6?=1e?l958:9j5759m7d1=121b=4l50;&0e=<6?=1e?l95a:9j5759m7d1=j21b=4750;&0e=<6?=1e?l95c:9j5<>=83.8m54>759m7d1=l21b=5k50;&0e=<6?=1e?l95e:9j5=5=83.8m54>759m7d1=n21b=:650;&0e=<6?=1e?l951198m40d290/?l651668j6g028;07do7:18'7d>=i>1e?l950:9je3<72-9j47o8;o1b3?7<3`9h47>5;h314?6=3`9i57>5;h31g?6=3f;j;7>5$2c;>4g13g9j;7>4;n3b1?6=,:k365$2c;>4g13g9j;7<4;n3b7?6=,:k367>5$2c;>4g13g9j;7:4;n3b5?6=,:k365$2c;>4g13g9j;784;n3:b?6=,:k365$2c;>4g13g9j;764;n3`a?6=,:k365$2c;>4g13g9j;7o4;n3`g?6=,:k365$2c;>4g13g9j;7m4;n3`e?6=,:k365$2c;>4g13g9j;7k4;n3a1?6=,:k365$2c;>4g13g9j;7??;:m2=`<72-9j47?n6:l0e2<6921d=i750;&0e=<6l11e?l950:9l5a1=83.8m54>d99m7d1=921d=i850;&0e=<6l11e?l952:9l5a3=83.8m54>d99m7d1=;21d=i:50;&0e=<6l11e?l954:9l5a5=83.8m54>d99m7d1==21d=i<50;&0e=<6l11e?l956:9l5a7=83.8m54>d99m7d1=?21d>=?50;&0e=<6l11e?l958:9l656=83.8m54>d99m7d1=121d=kh50;&0e=<6l11e?l95a:9l5cc=83.8m54>d99m7d1=j21d=kj50;&0e=<6l11e?l95c:9l5ce=83.8m54>d99m7d1=l21d=k<50;&0e=<6l11e?l95e:9l5`1=83.8m54>d99m7d1=n21d=im50;&0e=<6l11e?l951198k4b7290/?l651e:8j6g028;07o6kd;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xd?ll0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6kf;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=c7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4h?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;a7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9g7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0l?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7e783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>b?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5k7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a<`?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3il4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8df94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?ml0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6jf;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f=`7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi4k?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`;b7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb9d7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e0o?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl7f783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg>a?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn5h7:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th3jl4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm8gf94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd?nl0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo6if;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<67290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5=?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:47<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2<94?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b8<0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:43<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2085?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2<54?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b800;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:4d<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2084?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th25}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b8m0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:4`<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2==4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b980;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:57<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2=94?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx?=272<9476:?:40272<;476:?:42272<5476:?:4<272272272272==476:?:54272=?476:?:562wx?==?:1827~Xf8272:181[70=272=>4>309~w664:3:1>vP>729>=44=9:;0q~=?3283>7}Y9>8014?>:012?xu48:>1<75<5sW;<<6360g8274=z{::8:7>52z\22c=:19o1=>?4}r1372<72;qU=;k4=82a>4563ty8<>650;0xZ40c343;h7?<1:p755>2909wS?6d:?:4f<6;81v>>289:7p}<02a94?4|V83j707?8;305>{t;99o6=4={_3:=>;>8>0:?<5rs220a?6=:rT:555291495676<=>;|q0416=838pR<6<;<;36?7492wx?=:>:181[700272<94>309~w663:3:1>vP>6b9>=55=9:;0q~=?4283>45|Vh2014>=:325?8?7;38;:636058143=:19?1>=84=825>761343;;7;>8j09<;5291f9650<50:n6?>9;<;3b?47>272==4=079>=47=:9<014?=:325?8?6;38;:6s|3167>5<6;rTj:636038140=:1991>=;4=827>762343;97707?9;031>;>8h09<85291`9653<50:h6?>:;<;3`?47=272=5`=:9?014??:326?8?6938;9636138140=:1891>=;4}r1300<72;qU?n64=837>77d3ty8<9850;05[758273hh4>219>b93;9<637e38265=:0l91=?>4=9g7>447342n97?=0:?;a3<6:9164h9513289=c?288;706j9;314>;?mh0:>=528d`9576<51oh6<219><``=9;:015h?:003?8>a93;9<637f38265=:0o91=?>4=9d7>447342m97?=0:?;b3<6:9164k9513289=`?288;706i9;314>;?nh0:>=528g`9576<51lh6<219>?:003?8?793;9<63615815a=z{::?;7>536y]57e<51nn6h64=9fe>`><51o;6h64=9g2>`><51o96h64=9g0>`><51o?6h64=9g6>`><51o=6h64=9g4>`><51o36h64=9g:>`><51oj6h64=9ga>`><51oh6h64=9gg>`><51on6h64=9ge>`><51l;6h64=9d2>`><51l96h64=9d0>`><51l?6h64=9d6>`><51l=6h64=9d4>`><51l36h64=9d:>`><51lj6h64=9da>`><51lh6h64=9dg>`><51ln6h64=9de>`><50:;6h64=822>`><50:96>m9;<;37?5d>272<94=53=;j<014>9:2a5?8?7?39h:6360980g3=:1931?n84=82b>6e1343;n7=l6:?:4f<4k?165=j53b489<6b2:i=707?f;1`2>;>9908o;5290397f0<50;96>m9;<;27?5d>2wx?=:7:181[7f?273ik4vP>a49><`c=;hh0q~=?4`83>7}Y9h>015kk:2ca?xu48=h1<7bk39jn6s|316`>5<5sW;j>637ec80eg=z{::?h7>52z\2e4=:0lk1?ll4}r130`<72;qU=l>4=9g:>6ge3ty8<9h50;0xZ4?a342n47=nb:p75372909wS?lf:?;a2<4ik1v>>:1;296~X6kl164h853``8yv57=;0;6?uQ1bf89=c22:ki7p}<04194?4|V8ih706j4;1bf>{t;9??6=4={_3`f>;?m:08mo5rs2261?6=:rT:ol528d097ddom;|q0401=838pRvP>9d9>7}Y9m3014>>:2ca?xu485<5sW;o:637fg80eg=z{::>h7>52z\2`0=:0oo1?ll4}r131`<72;qU=i:4=9dg>6ge3ty8<8h50;0xZ4b4342mo7=nb:p75072909wS?k2:?;bg<4ik1v>>91;296~X6l8164ko53``8yv57>;0;6?uQ21389=`>2:ki7p}<07194?4|V;:;706i8;1bf>{t;9;?n>08mo5rs2251?6=:rT:jh528g497dd6>om;|q0431=838pR4vP>e69>7}Y9mi015h>:2ca?xu48?h1<7a839jn6s|314`>5<31r73hi4=189>=54=01165=<5889>=54=:9=014>=:32;?8?7;323707?3;::?8?7;38;;63602814==:19>145529169<<=:19>1>=94=827>76?343;9767;<;31?>>343;97=50=00165=8521589<612;:3707?7;:;?8?7?322707?7;033>;>8>09<55291:9<==:1921445291:9651<50:36?>7;<;3=?>?343;5766;<;3=?47?272<44=099>=5g=01165=o5889>=5g=:9=014>n:32;?8?7j323707?b;::?8?7j38;;6360c814==:19i1455291a9<<=:19i1>=94=82`>76?343;h767;<;3`?>>343;h7=5c=00165=k521589<6b2;:3707?f;:;?8?7n322707?f;033>;>8o09<5529029<==:18:144529029651<50;;6?>7;<;25?>?343:=766;<;25?47?272=<4=099>=44=01165<<5889>=44=:9=014?=:32;?8?6;323707>3;::?8?6;38;;63612814==z{::=h7>52z?;``<6;8165==53cg8yv57>l0;6?u28ed9567<50:?6>lj;|q043`=838p15k?:012?8?7:39ii6s|3153>5<5s42n=7?<1:?:40<4jl1v>>81;296~;?m;0:?<5291497gc<`5=9:;014>8:2`f?xu48>91<7456343;47=me:p75132909w06j5;305>;>8008nh5rs2241?6=:r73i;4>309>=5g=;ko0q~=?7783>7}:0l=1=>?4=82`>6db3ty8<:950;0x9=c?289:707?d;1aa>{t;9=36=4={<:f=?749272v37e`8274=:19o1?ok4}r133d<72;q64hl512389<6a2:hn7p}<06`94?4|51oh6<=>;<;24?5em2wx?=9l:1818>bl3;8=6361080f`=z{::52z?;a`<6;8165<<53cg8yv57?l0;6?u28dd9567<50;86>lj;|q042`=838p15h?:012?8?7;38;86s|31:3>5<5s42m=7?<1:?:41<58=1v>>71;296~;?n;0:?<529109652::327?xu48191<7456343;:732909w06i5;305>;>8>09<95rs22;1?6=:r73j;4>309>=5>=:9>0q~=?8783>7}:0o=1=>?4=82:>7633ty8<5950;0x9=`?289:707?a;030>{t;9236=4={<:e=?749272v37f`8274=:19n1>=:4}r13;<;3a?47<2wx?=6l:1818>al3;8=6360g8141=z{::3h7>52z?;b`<6;8165<>52168yv570l0;6?u28gd9567<50;:6?>;;|q04=`=838p14>?:012?8?6:38;86s|31;3>5<5s43;=7?<1:?:56<58=1v>>61;2956}:1981?n94=820>6e0343;87=l7:?:40<4k>165=853b589<602:i<707?8;1`3>;>8008o:5291c97f1<50:i6>m8;<;3g?5d?272=5c=;j=014>i:2a4?8?6839h;6361080g2=:1881?n94=830>6e0343:87=?3:~f<722908i7=7:71xL6e43-9h=7?m1d9Ya2<2s=?1=94;6;34>4>=u`k96=4+3`:9e4=i;h=1<65fa183>!5f03k:7c=n7;38?l70=3:1(>o7:057?k5f?3:07d?83;29 6g?28=?7c=n7;38?l70:3:1(>o7:057?k5f?3807d?81;29 6g?28=?7c=n7;18?l7083:1(>o7:057?k5f?3>07d?9f;29 6g?28=?7c=n7;78?l71m3:1(>o7:057?k5f?3<07d?9d;29 6g?28=?7c=n7;58?l7>l3:1(>o7:057?k5f?3207d?6c;29 6g?28=?7c=n7;;8?l7>j3:1(>o7:057?k5f?3k07d?6a;29 6g?28=?7c=n7;`8?l7>13:1(>o7:057?k5f?3i07d?68;29 6g?28=?7c=n7;f8?l7?m3:1(>o7:057?k5f?3o07d?73;29 6g?28=?7c=n7;d8?l7003:1(>o7:057?k5f?3;;76g>6b83>!5f03;<86`=ni10;6)=n8;c4?k5f?3:07do9:18'7d>=i>1e?l951:9j7f>=831b=?>50;9j7g?=831b=?m50;9l5d1=83.8m54>a79m7d1=821d=l;50;&0e=<6i?1e?l951:9l5d2=83.8m54>a79m7d1=:21d=l=50;&0e=<6i?1e?l953:9l5d4=83.8m54>a79m7d1=<21d=l?50;&0e=<6i?1e?l955:9l5d6=83.8m54>a79m7d1=>21d=4h50;&0e=<6i?1e?l957:9l5f`=83.8m54>a79m7d1=021d=nk50;&0e=<6i?1e?l959:9l5fb=83.8m54>a79m7d1=i21d=nm50;&0e=<6i?1e?l95b:9l5fd=83.8m54>a79m7d1=k21d=no50;&0e=<6i?1e?l95d:9l5f6=83.8m54>a79m7d1=m21d=o;50;&0e=<6i?1e?l95f:9l5dg=83.8m54>a79m7d1=9910c<7j:18'7d>=9h<0b>o8:038?j7c13:1(>o7:0f;?k5f?3:07b?k7;29 6g?28n37c=n7;38?j7c>3:1(>o7:0f;?k5f?3807b?k5;29 6g?28n37c=n7;18?j7c<3:1(>o7:0f;?k5f?3>07b?k3;29 6g?28n37c=n7;78?j7c:3:1(>o7:0f;?k5f?3<07b?k1;29 6g?28n37c=n7;58?j4793:1(>o7:0f;?k5f?3207bo7:0f;?k5f?3k07b?ie;29 6g?28n37c=n7;`8?j7al3:1(>o7:0f;?k5f?3i07b?ic;29 6g?28n37c=n7;f8?j7a:3:1(>o7:0f;?k5f?3o07b?j7;29 6g?28n37c=n7;d8?j7ck3:1(>o7:0f;?k5f?3;;76a>d183>!5f03;o46`=e18<1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rb834>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1821<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl61883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?6i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4?m:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=4e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2=i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm93294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>:80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7=2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<44290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5?:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:60<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb804>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1;21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl62883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?5i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn45<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=7e=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2>i4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm92294?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>;80;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7<2;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<54290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5>:50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:70<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb814>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1:21<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl63883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?4i3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4=m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:69j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<5c290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb81f>5N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4=i:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<;6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<26290o6=4?{%1`5?5fm2B8oo5G3b18 7462>1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb861>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:<:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:79j<1<722c3:7>5;h:;>5<?6=4k:183!5d939ji6F5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<22290o6=4?{%1`5?5fm2B8oo5G3b18 7462?1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb865>5N4kk1C?n=4$302>3=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:8:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:69j<1<722c3:7>5;h:;>5<36=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<2>290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rb86b>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vn4:m:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<h6=4k:183!5d939ji6F>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~f<2c29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv571;0;6<=t^`089<5e21<014=l:9489<5c21<014=j:9489<5a21<014:?:9489<2621<014:=:9489<2421<014:;:9489<2221<014:9:9489<2021<014:7:9489<2>21<014:n:9489<2e21<014:l:948yv571:0;6<=t^`289<5e21>014=l:9689<5c21>014=j:9689<5a21>014:?:9689<2621>014:=:9689<2421>014:;:9689<2221>014:9:9689<2021>014:7:9689<2>21>014:n:9689<2e21>014:l:968yv571=0;6?uQ16789<2d289:7p}<08794?4|V8=8707;b;305>{t;93=6=4={_346>;>36<=>;|q04309~w66>j3:1>vP>6e9>=10=9:;0q~=?9b83>7}Y90n014:::012?xu480n1<75<5sW;2n636438274=z{::2j7>52z\2=d=:1=;1=>?4}r13e5<72;qU=474=863>4563ty8>n3;296~X60:165>l51238yv57i=0;6?uQ16:89<5c289:7p}<0`794?4|V8{t;9k=6=4>3z\b<>;>;k09<;5292a9650<509o6?>9;<;0a?47>272?k4=079>=16=:9<014:>:325?8?3:38;:636428143=:1=>1>=84=866>761343?:72;:=707;a;032>;>:;<;0g?47=272?i4=049>=6c=:9?014=i:326?8?3838;9636408140=:1=81>=;4=860>762343?87707;8;031>;><009<85295c9653<50>i6?>:;<;7g?47=2wx?=o7:181[5d02728i4=1b9~w66f13:1>;uQ13289<70288;707>8;314>;>900:>=5290c9576<50;i6<219>=4c=9;:014?i:003?8?583;9<636208265=:1;81=?>4=800>447343987?=0:?:60<6:9165?8513289<40288;707=8;314>;>:00:>=5293c9576<508i6<i4>219>=7c=9;:0144=810>447343887?=0:?:70<6:9165>8513289<50288;707<8;314>;>;00:>=5292c9576<50>o6??k;|q04dg=839=4j8:?:64?4j8:?:6694j8:?:60;4j8:?:6254j8:?:6<l4j8:?:6gn4j8:?:6ah4j8:?:6cm53b489<5c2:i=707;>;o08o;5295297f0<50>:6>m9;<;76?5d>2728>4=12=;j<014:::2a5?8?3>39h:6364680g3=:1=21?n84=86:>6e1343?m7=l6:?:0g<4k?1659m53b48yv57ik0;6?uQ1`589<4?2:ki7p}<0`a94?4|V8k>707=7;1bf>{t;9ko6=4={_3b0>;>:?08mo5rs22ba?6=:rT:m>5293797ddom;|q04g6=838pR;<;17?5fj2wx?=l>:181[7f8272>?4vP>9g9>=77=;hh0q~=?b283>7}Y9jl0141<75<5sW;hh6361d80eg=z{::i:7>52z\2gf=:18n1?ll4}r13f2<72;qU=nl4=83`>6ge3ty82909wS?l0:?:5d<4ik1v>>ma;296~X6j<165<753``8yv57jk0;6?uQ1`c89<7?2:ki7p}<0ca94?4|V83n707>7;1bf>{t;9ho6=4={_3g=>;>;h08mo5rs22aa?6=:rT:h:5292;97ddom;|q04f6=838pR:181[7c<272?;4vP>d29>=63=;hh0q~=?c283>7}Y9m8014=;:2ca?xu48j>1<75<5sW8;=6363380eg=z{::h:7>52z\145=:1:;1?ll4}r13g2<72;qU=kh4=813>6ge3ty82909wS?id:?:6`<4ik1v>>la;296~X6nj165?j53``8yv57kk0;6?uQ1g089<4d2:ki7p}<0ba94?4|V8o<707=b;1bf>{t;9io6=4={_3gg>;>:h08mo5rs22`a?6=:rT:h=5293;97dd;>;k09<55292a9<==:1:i1445292a9651<509h6?>7;<;0`?>?3438h766;<;0`?47?272?i4=099>=6c=01165>k5889>=6c=:9=014=j:32;?8?4n323707=94=863>76?343?=767;<;75?>>343?=7=14=001659<521589<252;:3707;3;:;?8?3;322707;3;033>;><:09<5529569<==:1=>144529569651<50>?6?>7;<;71?>?343?9766;<;71?47?272884=099>=10=01165985889>=10=:9=014:9:32;?8?3?323707;7;::?8?3?38;;63646814==:1=21455295:9<<=:1=21>=94=86;>76?343?5767;<;7=?>>343?57=1g=001659o521589<2f2;:3707;b;:;?8?3j322707;b;033>;>h6?>7;|q04a6=838p14?8:012?8?4k39ii6s|31f2>5<5s43:47?<1:?:7a<4jl1v>>k2;296~;>900:?<5292`97gc4?:3y>=4g=9:;014=j:2`f?xu48m>1<74563438j7=me:p75b22909w07>c;305>;><908nh5rs22g2?6=:r72=i4>309>=17=;ko0q~=?d683>7}:18o1=>?4=861>6db3ty8{t;9n26=4={<;14?749272884v36208274=:1=<1?ok4}r13`g<72;q65?<512389<232:hn7p}<0ea94?4|50886<=>;<;73?5em2wx?=jk:1818?5<3;8=6364980f`=z{::oi7>52z?:60<6;81659753cg8yv57lo0;6?u29349567<50>j6>lj;|q04`6=838p14<8:012?8?3j39ii6s|31g2>5<5s43947?<1:?:0f<4jl1v>>j2;296~;>:00:?<5292a96524?:3y>=7g=9:;014=k:327?xu48l>1<74563438n7;>;l09<95rs22f2?6=:r72>i4>309>=6`=:9>0q~=?e683>7}:1;o1=>?4=863>7633ty8{t;9o26=4={<;04?7492728?4=059~w66bi3:1>v36308274=:1=91>=:4}r13ag<72;q65><512389<222;:?7p}<0da94?4|50986<=>;<;72?47<2wx?=kk:1818?4<3;8=636458141=z{::ni7>52z?:70<6;81659952168yv57mo0;6?u29249567<50>36?>;;|q04c6=838p14=8:012?8?3138;86s|31d2>5<5s43847?<1:?:0d<58=1v>>i2;296~;>;00:?<5295`96524?:3y>=6g=9:;014:l:327?xu48o>1<7?<{<;0f?5d?272?n4=6b=;j=014=j:2a4?8?4n39h;6364180g2=:1=;1?n94=861>6e0343??7=l7:?:01<4k>1659;53b589<212:i<707;7;1`3>;><108o:5295;97f1<50>j6>m8;<;7f?5d?2728n4=1b=;990qpl64d83>6c=;10=?vF8;je7<72-9j47o>;o1b3?6<3`k;6=4+3`:9e4=i;h=1=65f16794?"4i10:;95a3`594>=n9>91<7*=n9>;1<7*=n9?l1<7*=n9?n1<7*=n90i1<7*=n90k1<7*=n9021<7*=n9191<7*5<#;h21m:5a3`594>=ni?0;6)=n8;c4?k5f?3;07d=l8;29?l7583:17d=m9;29?l75k3:17b?n7;29 6g?28k=7c=n7;28?j7f=3:1(>o7:0c5?k5f?3;07b?n4;29 6g?28k=7c=n7;08?j7f;3:1(>o7:0c5?k5f?3907b?n2;29 6g?28k=7c=n7;68?j7f93:1(>o7:0c5?k5f?3?07b?n0;29 6g?28k=7c=n7;48?j7>n3:1(>o7:0c5?k5f?3=07b?lf;29 6g?28k=7c=n7;:8?j7dm3:1(>o7:0c5?k5f?3307b?ld;29 6g?28k=7c=n7;c8?j7dk3:1(>o7:0c5?k5f?3h07b?lb;29 6g?28k=7c=n7;a8?j7di3:1(>o7:0c5?k5f?3n07b?l0;29 6g?28k=7c=n7;g8?j7e=3:1(>o7:0c5?k5f?3l07b?na;29 6g?28k=7c=n7;33?>i61l0;6)=n8;3b2>h4i>0:=65`1e;94?"4i10:h55a3`594>=h9m=1<7*=h9m?1<7*=h9m91<7*=h9m;1<7*=h:9:1<7*=h9oo1<7*=h9oi1<7*=h9l=1<7*m<;n02=?6=3th29=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm94194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>==0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7:5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<31290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi58950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:1=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<57>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb87b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e16gj8;29?l7583:17d?<1;29?j5fj3:17pl65b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?2l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4;j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=0`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2:=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm97194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>>=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo795;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f<01290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi5;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:2=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb84b>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1?h1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl66b83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?1l3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn48j:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=3`=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2;=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm96194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>?=0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:30<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;:4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b?10;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:3<<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;o4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b?j0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:3a<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2;k4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b090;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80<7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:<4<72m0;6=u+3b397dc<@:ii7E=l3:&164<13`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th24>4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4:;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b0=0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:<0<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17d6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|31d6>5<6;rTj>636758;2>;>?<03:636778;2>;>?>03:636798;2>;>?003:6367`8;2>;>?k03:6367b8;2>;>?m03:6367d8;2>;>?o03:636818;2>;>0803:636838;2>;>0:03:636858;2>;>0<03:6s|31d5>5<6;rTj<636758;0>;>?<038636778;0>;>?>038636798;0>;>?00386367`8;0>;>?k0386367b8;0>;>?m0386367d8;0>;>?o038636818;0>;>08038636838;0>;>0:038636858;0>;>0<0386s|31d4>5<5sW;<9636848274=z{::m47>52z\236=:11>1=>?4}r13b<<72;qU=:<4=8:0>4563ty87?<1:p75`e2909wS?80:?:<4<6;81v>>ic;296~X6>o1655>51238yv57nm0;6?uQ17g89<1c289:7p}<0gg94?4|V8{t;9lm6=4={_3:`>;>?l0:?<5rs2334?6=:rT:5n5296a9567;|q0554=838pR<7n;<;4e?7492wx?<><:181[7>1272;44>309~w677<3:1>vP>999>=2>=9:;0q~=>0483>7}Y91o01498:012?xu499<1<75<5sW;<4636778274=z{:;;47>52z\22f=:1>?1=>?4}r124<<7289pRl64=857>761343<97;>?h09<;5296`9650<50=h6?>9;<;4`?47>272;h4=079>=2`=:9<0146?:325?8??938;:636838143=:1191>=84=8:7>76134339770787;031>;>?109<85296;9653<50=j6?>:;<;4f?47=272;n4=049>=2b=:9?0149j:326?8?0n38;9636818140=:11;1>=;4=8:1>7623433?712;;h7p}<11a94?41sW;9<636518265=:1<;1=?>4=871>447343>?7?=0:?:11<6:91658;513289<31288;707:7;314>;>=10:>=5294;9576<50?j6<219>=0b=9;:014;j:003?8?2n3;9<636618265=:1?;1=?>4=841>447343=?7?=0:?:21<6:9165;;513289<01288;70797;314>;>>10:>=5297;9576<50219>=3b=9;:0148j:003?8?1n3;9<636718265=:1>;1=?>4=851>447343??d;2972}Y9;i014;?:d:89<362l2014;=:d:89<342l2014;;:d:89<322l2014;9:d:89<302l2014;7:d:89<3>2l2014;n:d:89<3e2l2014;l:d:89<3c2l2014;j:d:89<3a2l20148?:d:89<062l20148=:d:89<042l20148;:d:89<022l201489:d:89<002l201487:d:89<0>2l20148n:d:89<0e2l20148l:d:89<0c2l20148j:d:89<0a2l20149?:d:89<162l20149=:d:89<142l20149;:2a5?8?0=39h:6367780g3=:1>=1?n84=85;>6e1343<57=l6:?:3d<4k?165:l53b489<1d2:i=7078d;1`2>;>?l08o;5296d97f0<502;6>m9;<;;5?5d>2724?4==5=;j<0146;:2a5?8??=39h:6s|302f>5<5sW;j;6366080eg=z{:;;j7>52z\2e0=:1?:1?ll4}r1255<72;qU=l:4=87e>6ge3ty8=i7=nb:p74752909wS?n2:?:1a<4ik1v>?>3;296~X6i81658m53``8yv569=0;6?uQ1`289<3e2:ki7p}<10794?4|V83m707:a;1bf>{t;8;=6=4={_3`b>;>=008mo5rs2323?6=:rT:oh5294:97ddom;|q054?=838pRvP>c`9>=02=;hh0q~=>1b83>7}Y9j:014;<:2ca?xu498n1<75<5sW;jm6365080eg=z{:;:j7>52z\2=`=:1<:1?ll4}r1265<72;qU=i74=850>6ge3ty8=??50;0xZ4b0343<>7=nb:p74452909wS?k6:?:34<4ik1v>?=3;296~X6l<165:>53``8yv56:=0;6?uQ1e689<0a2:ki7p}<13794?4|V8n87079e;1bf>{t;88=6=4={_3g6>;>>m08mo5rs2313?6=:rT:h<5297a97dd54?:3y]657<50om;|q057?=838pR?>?;<;5e?5fj2wx?<vP>fd9>=3>=;hh0q~=>2b83>7}Y9on01488:2ca?xu49;n1<739jn6s|300f>5<5sW;m>6366480eg=z{:;9j7>52z\2a2=:1?>1?ll4}r1275<72;qU=im4=840>6ge3ty8=>?50;0xZ4b7343=>7=nb:p7455290?5v364g815<=:1>>145529669<<=:1>>1>=94=857>76?343<9767;<;41?>>343<97=20=00165:8521589<112;:370787;:;?8?0?32270787;033>;>?>09<55296:9<==:1>21445296:9651<50=36?>7;<;4=?>?343<5766;<;4=?47?272;44=099>=2g=01165:o5889>=2g=:9=0149n:32;?8?0j3237078b;::?8?0j38;;6367c814==:1>i1455296a9<<=:1>i1>=94=85`>76?343>343=2c=00165:k521589<1b2;:37078f;:;?8?0n3227078f;033>;>?o09<5529929<==:11:144529929651<502;6?>7;<;;5?>?3433=766;<;;5?47?2724<4=099>==4=011655<5889>==4=:9=0146=:32;?8??;32370773;::?8??;38;;63682814==:11>145529969<<=:11>1>=94=8:7>76?34339767;<;;1?>>343397?<3;296~;>=90:?<5296797gc=07=9:;01499:2`f?xu49:?1<7456343<87=me:p74512909w07:3;305>;>?>08nh5rs2303?6=:r72994>309>=2>=;ko0q~=>3983>7}:1?4=85:>6db3ty8=>750;0x9<31289:7078a;1aa>{t;89j6=4={<;63?749272;o4v36598274=:1>i1?ok4}r127f<72;q6587512389<1b2:hn7p}<12f94?4|50?j6<=>;<;4b?5em2wx?<=j:1818?2j3;8=6367e80f`=z{:;8j7>52z?:1f<6;81655>53cg8yv56<90;6?u294f9567<502:6>lj;|q0517=838p14;j:012?8??:39ii6s|3061>5<5s43>j7?<1:?:<6<4jl1v>?;3;296~;>>90:?<5299697gc=37=9:;0146::2`f?xu49=?1<7456343<97;>??09<95rs2373?6=:r72:94>309>=22=:9>0q~=>4983>7}:1??1=>?4=854>7633ty8=9750;0x9<01289:70788;030>{t;8>j6=4={<;53?749272;44=059~w673j3:1>v36698274=:1>k1>=:4}r120f<72;q65;7512389<1e2;:?7p}<15f94?4|50;<;4g?47<2wx?<:j:1818?1j3;8=6367d8141=z{:;?j7>52z?:2f<6;8165:h52168yv56=90;6?u297f9567<50=o6?>;;|q0507=838p148j:012?8??838;86s|3071>5<5s43=j7?<1:?:<4<58=1v>?:3;296~;>?90:?<529909652=27=9:;0146<:327?xu49456343387;>0<09<95rs2363?6=9:q65::53b589<122:i<70786;1`3>;>?>08o:5296:97f1<50=26>m8;<;4e?5d?272;o4=2e=;j=0149k:2a4?8?0m39h;6367g80g2=:11:1?n94=8:2>6e03433>7=l7:?:<6<4k>1655:53b589<>22:i<70776;137>{zj02<6=46`|@:i87)=l1;3a65=]m>0>w9;515872?702821qdo=:18'7d>=i81e?l950:9je5<72-9j47o>;o1b3?7<3`;<97>5$2c;>4133g9j;7>4;h347?6=,:k36<9;;o1b3?7<3`;<>7>5$2c;>4133g9j;7<4;h345?6=,:k36<9;;o1b3?5<3`;<<7>5$2c;>4133g9j;7:4;h35b?6=,:k36<9;;o1b3?3<3`;=i7>5$2c;>4133g9j;784;h35`?6=,:k36<9;;o1b3?1<3`;2h7>5$2c;>4133g9j;764;h3:g?6=,:k36<9;;o1b3??<3`;2n7>5$2c;>4133g9j;7o4;h3:e?6=,:k36<9;;o1b3?d<3`;257>5$2c;>4133g9j;7m4;h3:5$2c;>4133g9j;7k4;h3;7?6=,:k36<9;;o1b3?`<3`;<47>5$2c;>4133g9j;7??;:k22f<72-9j47?84:l0e2<6921bm54?:%1b4;hc5>5<#;h21m:5a3`595>=n;j21<75f13294?=n;k31<75f13a94?=h9h=1<7*=h9h>1<7*65`1`194?"4i10:m;5a3`597>=h9h81<7*=h9h:1<7*=h9jl1<7*=h9jn1<7*=h9jh1<7*=h9j:1<7*=h9hk1<7*5<#;h21=l84n2c4>47<3f;o57>5$2c;>4b?3g9j;7>4;n3g3?6=,:k365$2c;>4b?3g9j;7<4;n3g1?6=,:k365$2c;>4b?3g9j;7:4;n3g7?6=,:k367>5$2c;>4b?3g9j;784;n3g5?6=,:k365$2c;>4b?3g9j;764;n034?6=,:k365$2c;>4b?3g9j;7o4;n3ea?6=,:k365$2c;>4b?3g9j;7m4;n3eg?6=,:k367>5$2c;>4b?3g9j;7k4;n3f3?6=,:k365$2c;>4b?3g9j;7??;:m2`5<72-9j47?k8:l0e2<6921i55650;394?6|,:i:6??n;I1`f>N4k:1d><750;9~f<>>290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi55o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8:g>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e11o1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl68g83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?>83:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn47>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=<4=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th25>4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?46=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm98494?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>1>0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo768;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi54o50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:=g<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2085?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th25i4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17b1l0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:=c<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m<4?:e83>5}#;j;1?lk4H2aa?M5d;2.9><48;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bi;0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:e6<72m0;6=u+3b397dc<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m84?:e83>5}#;j;1?lk4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bi?0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80=7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:e2<72m0;6=u+3b397dc<@:ii7E=l3:&164<23`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2m44?:e83>5}#;j;1?lk4H2aa?M5d;2.9><49;h:7>5<>o?13:17d?<1;29?l47=3:17d3:17d=l7;29?j5em3:17bih0;6i4?:1y'7f7=;ho0D>mm;I1`7>"5:80>7d6;:188m=0=831b454?::k;=?6=3`;8=7>5;h031?6=3`8;:7>5;h033?6=3`8;47>5;h1`2?6=3`9h;7>5;n1aa?6=3f8;87>5;|`:eg<72m0;6=u+3b397dc<@:ii7E=l3:&164<03`2?6=44i9494?=n010;66g79;29?l7493:17d3:17da<729q/?n?53`g8L6ee3A9h?6*=2086?l>32900e5850;9j<=<722c357>5;h305?6=3`8;97>5;h032?6=3`8;;7>5;h035;h1`3?6=3f9ii7>5;n030?6=3th2mi4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx?<;7:1827~Xf:2725o476:?:=f2725i476:?:=`2725k476:?:e5272m<476:?:e7272m>476:?:e1272m8476:?:e3272m:476:?:e=272m4476:?:ed272mo476:?:ef2wx?<;6:1827~Xf82725o474:?:=f474:?:e1309~w672j3:1>vP>729>=dd=9:;0q~=>5b83>7}Y9>8014on:012?xu495<5sW;<<636a98274=z{:;>j7>52z\22c=:1h=1=>?4}r1225<72;qU=;k4=8c7>4563ty8=;?50;0xZ40c343j:7?<1:p74052909wS?6d:?:e0<6;81v>?93;296~X61j165l=51238yv56>=0;6?uQ18`89{t;8<=6=4={_3:=>;>i90:?<5rs2353?6=:rT:555298d9567;|q053?=838pR<6<;<;:f?7492wx?<8n:181[7002725i4>309~w671j3:1>vP>6b9>=6b83>45|Vh20147m:325?8?>k38;:6369e8143=:10o1>=84=8;e>761343j<7;>i<09<;529`49650<50k<6?>9;<;b272m44=079>=dg=:9<014om:325?8?fk38;:6s|304g>5<6;rTj:6369c8140=:10i1>=;4=8;g>7623432i7521789707n2;031>;>i:09<8529`69653<50k>6?>:;<;b2?47=272m:4=049>=d>=:9?014o6:326?8?fi38;9636ac8140=:1hi1>=;4}r122`<72;qU?n64=8cg>77d3ty8=;h50;37[758272444>219>==g=9;:0146m:003?8??k3;9<6368e8265=:11o1=?>4=8:e>4473432<7?=0:?:=4<6:91654<513289;>1<0:>=529849576<503<6<219>=:1<7<:{_31g>;>000n46368`8f<>;>0k0n46368b8f<>;>0m0n46368d8f<>;>0o0n4636918f<>;>180n4636938f<>;>1:0n4636958f<>;>1<0n4636978f<>;>1>0n4636998f<>;>100n46369`8f<>;>1k08o;5298a97f0<503o6>m9;<;:a?5d>2725k4=d6=;j<014o>:2a5?8?f:39h:636a280g3=:1h>1?n84=8c6>6e1343j:7=l6:?:e2<4k?165l653b4892:i=707na;1`2>;>ik08o;529`a97f0om;|q0524=838pR272554vP>d49>=<1=;hh0q~=>7483>7}Y9m>01479:2ca?xu49><1<7=39jn6s|3054>5<5sW;o>6369580eg=z{:;<47>52z\2`4=:1091?ll4}r123<<72;qU>=?4=8;1>6ge3ty8=:o50;0xZ7673432=7=nb:p741e2909wS?if:?:=5<4ik1v>?8c;296~X6nl1655h53``8yv56?m0;6?uQ1gf89<>b2:ki7p}<16g94?4|V8lh7077d;1bf>{t;8=m6=4={_3e6>;>0j08mo5rs23;4?6=:rT:i:5299`97ddom;|q05=4=838pR0109=45298`9<==:10h1445298`9651<503i6?>7;<;:g?>?3432o766;<;:g?47?2725n4=099>==m3237076e;::?8?>m38;;6369d814==:10l1455298d9<<=:10l1>=94=8;e>76?343j<767;<;b4?>>343j<7=d7=00165l?521589;>i;09<5529`19<==:1h9144529`19651<50k86?>7;<;b0?>?343j8766;<;b0?47?272m94=099>=d3=01165l;5889>=d3=:9=014o::32;?8?f>323707n6;::?8?f>38;;636a7814==:1h=145529`59<<=:1h=1>=94=8c4>76?343j4767;<;b>343j47=d?=00165l75215892;:3707na;:;?8?fi322707na;033>;>ih09<5529``9<==:1hh144529``9651<50ki6?>7;<;bg?>?343jo766;<;bg?47?272mn4=099~w67?<3:1>v36888274=:10i1>=:4}r12<0<72;q655o512389;<;:f?47<2wx?<68:1818??k3;8=6369d8141=z{:;347>52z?:;;|q05=g=838p146i:012?8?f938;86s|30:a>5<5s432<7?<1:?:e7<58=1v>?7c;296~;>180:?<529`19652=<4=9:;014o::327?xu491o1<7456343j:7a2909w0764;305>;>i=09<95rs23:4?6=:r72584>309>=d1=:9>0q~=>9083>7}:10<1=>?4=8c;>7633ty8=4<50;0x9{t;8386=4={<;:<3:1>v36988274=:1hh1>=:4}r12=0<72;q654o5123891654j53b589;>i908o:529`397f1<50k96>m8;<;b7?5d?272m94=d3=;j=014o9:2a4?8?f?39h;636a980g2=:1h31?n94=8cb>6e0343jn7=l7:?:ef<4k>165lj53118yxd>il0;6<;51382a~N4k:1/?n?535c6?_c02:q:576?:909yl?b290/?l659e9m7d1=821b=8950;&0e=<6=?1e?l950:9j503=83.8m54>579m7d1=921b=8:50;&0e=<6=?1e?l952:9j505=83.8m54>579m7d1=;21b=8<50;&0e=<6=?1e?l954:9j507=83.8m54>579m7d1==21b=8>50;&0e=<6=?1e?l956:9j51>=83.8m54>579m7d1=?21b?n950;9j576=831b?o750;9j57e=831d=;750;&0e=<6>11e?l950:9l531=83.8m54>699m7d1=921d=;850;&0e=<6>11e?l952:9l533=83.8m54>699m7d1=;21d=;:50;&0e=<6>11e?l954:9l535=83.8m54>699m7d1==21d=;<50;&0e=<6>11e?l956:9l50g=83.8m54>699m7d1=?21i5lh50;394?6|,:i:6??n;I1`f>N4k:1d><750;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:f7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8`7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1k?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6b783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?e?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4l7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4ll:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn4m?:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8m77d2900e??k:188k6642900q~=>9683><}Y1l165o65859>=g?=0=165oo5859>=gd=0=165om5859>=gb=0=165ok5859>=g`=0=1v>?68;296~X6=>165oh51238yv56100;6?uQ14789{t;83i6=4={_367>;>jj0:?<5rs23:g?6=:rT:9?529c`9567;|q05309~w67f83:1>vP=f6=:8i0q~=>a083>d}Y9;:014l?:003?8?e93;9<636b38265=:1k91=?>4=8`7>447343i97?=0:?:f3<6:9165o9513289;>j80n4636b38f<>;>j:0n4636b58f<>;>j<0n4636b78f<>;>j>0n4636b9826f=:1k31=?m4=8`b>44d343in7?=c:?:ff<6:j165oj513a89{t;8k86=4={_35=>;>j>08mo5rs23b0?6=:rT:::529c497dd6>om;|q05d0=838pR<8:;<;a0?5fj2wx?4vP>629>=g4=;hh0q~=>a883>7}Y9?8014l>:2ca?xu49hk1<75<61r72mk4=189>=g>=0?165o65899>=g>=00165o75879>=g?=01165o75889>=gg=0?165oo5899>=gg=00165ol5879>=gd=01165ol5889>=ge=0?165om5899>=ge=00165oj5879>=gb=01165oj5889>=gc=0?165ok5899>=gc=00165oh5879>=g`=01165oh5889~w67fk3:1>v36b18274=:1k21?ll4}r12ea<72;q65o?5123892:ki7p}<1`g94?4|50h96<=>;<;ae?5fj2wx?52z?:f1<6;8165om53``8yv56j80;6?u29c79567<50ho6>om;|q05g4=838p14l9:012?8?em39jn6s|30`0>5<5s43i;7?<1:?:fc<4ik1v>?m4;29=~;>j10:>=529c;9576<50hj6<219>=gb=9;:014lj:003?8?en3;9<636c18046=zuk3h=7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76l6c383>4<729q/?n?520c8L6ee3A9h?6a=1883>>{e1j91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6c583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?d=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn4m9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a=f1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th2o54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm9b`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5nm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1jn1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=fc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9bd94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi5i>50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e1m;1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a=a4=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm9e194?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs23a1?6=1rT2i636cc8;0>;>kj038636ce8;0>;>kl038636cg8;0>;>l9038636d08;0>;>l;0386s|30`5>5<5sW;>;636d38274=z{:;i;7>52z\210=:1m;1=>?4}r12f=<72;qU=8:4=8f3>4563ty8=o750;0xZ434343hj7?<1:p74df2909wS?:2:?:g`<6;81v>?mb;296~X6=8165nj51238yv56jj0;6?uQ142893707lb;305>{t;8hn6=4={_1`3>;>l:09=n5rs23ab?6=irT:>=529b19576<50i?6<219>=f1=9;:014m7:003?8?d13;9<636c`8265=:1m91>2b9>=fb=9;i014mj:00`?8?dn3;9o636d1826f=:1m;1=?m4=8f1>44d3ty8=n?50;0xZ40>343hm7=nb:p74e52909wS?97:?:g<<4ik1v>?l3;296~X6>?165n653``8yv56k=0;6?uQ17789{t;8i=6=4={_357>;>k<08mo5rs23`3?6=:rT::?529b697ddom;|q05f?=83;2w07l2;02=>;>kk03:636cc8;<>;>kk035636cb8;2>;>kj034636cb8;=>;>km03:636ce8;<>;>km035636cd8;2>;>kl034636cd8;=>;>ko03:636cg8;<>;>ko035636d18;2>;>l9034636d18;=>;>l803:636d08;<>;>l8035636d38;2>;>l;034636d38;=>{t;8ij6=4={<;`7?749272oo4v36c58274=:1ji1?ll4}r12gf<72;q65n;512389;<;`a?5fj2wx?52z?:g=<6;8165i>53``8yv56l90;6?u29b;9567<50n:6>om;|q05a7=838p14mn:012?8?c:39jn6s|30f1>5<>s43hn7?=0:?:gf<6:9165nj513289;>l90:>=529e39576<50n96<m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;c;g1?6=93:1m<;n02=?6=3th2h;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sm9e;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd>lh0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo7kb;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:``<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6dg83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2i=4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>m80;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:a7<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pl6e283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th2i94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd>m<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`:a3<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p74b42902wS7j;<;ga?>3343oj76;;<;f4?>3343n=76;;<;f6?>3343n?76;;<;f0?>3343n976;;|q05a2=838pR<;8;<;f1?7492wx?309~w67c>3:1>vP>559>=`5=9:;0q~=>d683>7}Y9<9014k=:012?xu49m21<75<5sW;>=636e18274=z{:;om7>52z\215=:1ml1=>?4}r12`g<72;qU=964=8ff>4563ty8=im50;0xZ6e0343n:7<>c:p74bc290jwS?=0:?:`3<6:9165i9513289;>lh0:>=529e`9576<50nh6<;>m90:>n529d3957e<50o96<2b9>=`3=9;i0q~=>dg83>7}Y9?3014jk:2ca?xu49l:1<75<5sW;=:636dc80eg=z{:;n>7>52z\220=:1mk1?ll4}r12a6<72;qU=;:4=8f:>6ge3ty8=h:50;0xZ404343o47=nb:p74c22909wS?92:?:`2<4ik1v>?j6;296~X6=h165i853``8yv56m>0;6<7t=8f6>77>343oi769;<;ga?>?343oi766;<;gb?>1343oj767;<;gb?>>343n<769;<;f4?>?343n<766;<;f5?>1343n=767;<;f5?>>343n>769;<;f6?>?343n>766;<;f7?>1343n?767;<;f7?>>343n8769;<;f0?>?343n8766;<;f1?>1343n9767;<;f1?>>3ty8=h650;0x9{t;8o26=4={<;g3?749272hk4v36d98274=:1l:1?ll4}r12ag<72;q65i7512389;<;f6?5fj2wx?52z?:`f<6;8165h:53``8yv56mo0;6?u29ef9567<50o>6>om;|q05c6=833p14jj:003?8?cn3;9<636e18265=:1l;1=?>4=8g1>447343n?7?=0:?:a1<6:9165h;513289j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698f2900qo7j9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`:af<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb8gf>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1ll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6f183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?a93:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7i3;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?a=3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo7i7;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg?a13:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu49o;1<77t^8g89<`621>014h=:9689<`421>014h;:9689<`221>014h9:9689<`021>014h7:968yv56n;0;6?uQ14589<`?289:7p}<1g194?4|V8?>707i7;305>{t;8l?6=4={_360>;>n?0:?<5rs23e1?6=:rT:9>529g79567;|q05c1=838pR<;>;<;e7?7492wx?309~w67a13:1>vP>499>=c7=9:;0q~=>f`83>7}Y;j=014h6:33`?xu49oh1<7ot^003?8?b13;9<636e`8265=:1lh1=?>4=8g`>447343nh7?=0:?:a`<6:9165hh513289<`7288;707i9;02`>{t;8lh6=4>1z\26f=:1l31i5529dc9a==:1lh1i5529da9a==:1ln1i5529dg9a==:1ll1i5529g29a==:1o;1=?m4=8d1>44d343m?7?=c:?:b1<6:j165k;513a89<`1288h707i7;31g>;>n10:>n5rs23e`?6=:rT::4529g297ddom;|q05c`=838pR<89;<;fa?5fj2wx??>?:181[71=272ii4vP>659>=`e=;hh0q~==0383>7}Y9?9014km:2ca?xu4:991<75<5sW;>m636e880eg=z{:8;97>518y>=`>=:83014h>:9489<`6212014h>:9;89<`521<014h=:9:89<`5213014h<:9489<`4212014h<:9;89<`321<014h;:9:89<`3213014h::9489<`2212014h::9;89<`121<014h9:9:89<`1213014h8:9489<`0212014h8:9;89<`?21<014h7:9:89<`?2130q~==0783>7}:1l31=>?4=8d2>6ge3ty8>=950;0x9{t;;:36=4={<;ff?749272j>4v36eb8274=:1o>1?ll4}r114d<72;q65hj512389<`22:ki7p}<21`94?4|50on6<=>;<;e2?5fj2wx??>l:1818?bn3;8=636f680eg=z{:8;h7>52z?:b5<6;8165k653``8yv558l0;64u29g39576<50l96<219>=c3=9;:014h9:003?8?a?3;9<636f98265=:1o31?==4}|`:bd<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=e1oh1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rb8d`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e1on1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl6fd83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg?an3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl>?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae57=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd6229026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`25>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl>8:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd6>29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`2b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl>m:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<14952a179<1=:i9<14952a159<1=:i9214952a1;9<1=:i9k14952a1`9<1=z{:8:<7>52z\212=:i9h1=>?4}r1154<72;qU=8;4=`2b>4563ty8><<50;0xZ43334k;57?<1:p77742909wS?:3:?b4=<6;81v><>4;296~X6=;16m=951238yv559<0;6?uQ14389d61289:7p}<20494?4|V8?;70o?5;305>{t;;;<6=4={_37<>;f8=0:?<5rs202219>=c`=9;:01l>?:003?8g793;9<63n038265=:i991=?>4=`2`>77c3ty8>2b9>e53=9;i01l>9:00`?8g7?3;9o63n09826f=:i931=?m4=`2b>44d34k;n7?=c:p777e2909wS?99:?b46<4ik1v><>c;296~X6>>16m=<53``8yv559m0;6?uQ17489d662:ki7p}<20g94?4|V8<>70o?0;1bf>{t;;;m6=4={_350>;>no08mo5rs2014?6=:rT::>529gg97dd<4?:3y]534<50lo6>om;|q0674=838pR<;n;<;eg?5fj2wx??<<:182=~;>nk09=452a169<3=:i9>14552a169<<=:i9?14;52a179<==:i9?14452a149<3=:i9<14552a149<<=:i9=14;52a159<==:i9=14452a1:9<3=:i9214552a1:9<<=:i9314;52a1;9<==:i9314452a1c9<3=:i9k14552a1c9<<=:i9h14;52a1`9<==:i9h1445rs2010?6=:r72jn4>309>e52=;hh0q~==2483>7}:1on1=>?4=`26>6ge3ty8>?850;0x9<`b289:70o?6;1bf>{t;;8<6=4={<;eb?74927j<:4v3n018274=:i921?ll4}r116<<72;q6m=?512389d6>2:ki7p}<23c94?4|5h:96<=>;59z?b41<6:916m=;513289d61288;70o?7;314>;f810:>=52a1;9576<5h:j6<2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1b5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj==4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma0194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf9=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo>5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd71290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim<950;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei821<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae4?=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma0c94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimli;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei8i1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae4b=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma0g94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimN4k:1/>??57d9j64e=831b><=d;29=~X>m27j=:474:?b5=309~w645n3:1>vP>549>e4b=9:;0q~==3183>7}Y9<>01l?l:012?xu4::;1<75<5sW;>>63n1`8274=z{:88?7>52z\214=:i831=>?4}r1171<72;qU=8>4=`3;>4563ty8>>;50;0xZ42?34k:;7?<1:p77512909wS=l7:?b5c<59j1v><<7;29e~X6:916m=h513289d77288;70o>1;314>;f9;0:>=52a019576<5h;?6<219>e4`=:8n0q~==3983>47|V88h70o?f;g;?8g683o370o>1;g;?8g6:3o370o>3;g;?8g6<3o370o>5;g;?8g6>3o370o>7;31g>;f910:>n52a0;957e<5h;j6<2b9>e4b=9;i01l?j:00`?xu4::31<739jn6s|331b>5<5sW;=;63n1480eg=z{:88n7>52z\223=:i8>1?ll4}r117f<72;qU=;;4=`30>6ge3ty8>>j50;0xZ40334k:>7=nb:p775b2909wS?93:?b54<4ik1v><;16m<>53``8yv55<90;6?uQ14c89d6a2:ki7p}<25394?7>s4k;i7<>9:?b5227j=:478:?b5227j=4478:?b5<27j=o478:?b5g27j=i478:?b5a;f9>08mo5rs2077?6=:r7j==4>309>e4>=;hh0q~==4583>7}:i8;1=>?4=`3:>6ge3ty8>9;50;0x9d75289:70o>a;1bf>{t;;>=6=4={v3n158274=:i8i1?ll4}r110=<72;q6m<;512389d7c2:ki7p}<25;94?4|5h;=6<=>;4=`3b>44734k:n7?=0:?b5f<6:916mf;137>{zjh8;6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07oo=1;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xdf:;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo=3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd43290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim?;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b63<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`0;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei;31<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln2`83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj>o4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf:j0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b6a<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln2d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj>k4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf;90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b74<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln3383>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|336a>5<>sW3n70o=a;:7?8g5j32?70o=c;:7?8g5l32?70o=e;:7?8g5n32?70o<0;:7?8g4932?7p}<25a94?4|V8?<70o<1;305>{t;;>o6=4={_361>;f;90:?<5rs207a?6=:rT:9952a3d9567;|q0606=838pR<;=;:181[72927j>n4>309~w642:3:1>vP>519>e7d=9:;0q~==5283>7}Y9=201l1<754=`07>44734k997?=0:?b63<6:916m?9513289d4?288;70o=9;314>;f;;09=i5rs2062?6=98qU=?m4=`01>`><5h886h64=`07>`><5h8>6h64=`05>`><5h8<6h64=`0;>`><5h826h64=`0b>44d34k9n7?=c:?b6f<6:j16m?j513a89d4b288h70o=f;31g>;f;90:>n52a23957eom;|q060>=838pR<88;27j>:4vP>649>e70=;hh0q~==5c83>7}Y9?>01l<::2ca?xu4:5<5sW;=>63n2280eg=z{:8>i7>52z\21d=:i;81?ll4}r111c<7283p1l<>:33:?8g5i32=70o=a;:;?8g5i32270o=b;:5?8g5j32370o=b;::?8g5k32=70o=c;:;?8g5k32270o=d;:5?8g5l32370o=d;::?8g5m32=70o=e;:;?8g5m32270o=f;:5?8g5n32370o=f;::?8g4832=70o<0;:;?8g4832270o<1;:5?8g4932370o<1;::?xu4:?:1<745634k9m7=nb:p77062909w0o=3;305>;f:k08mo5rs2056?6=:r7j>94>309>e7e=;hh0q~==6283>7}:i;?1=>?4=`0g>6ge3ty8>;:50;0x9d41289:70o=e;1bf>{t;;<>6=4={k43:1>v3n298274=:i::1?ll4}r1122<72;q6m?7512389d562:ki7p}<27:94??|5h8j6<n4>219>e7b=9;:01l4=`11>6643twim>=50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`15>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei:=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln3983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg413:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl=n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae6d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj?n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo5<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg383:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo;2;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg3<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q063?=833pR4k4=`1g>=2<5h9n65:4=`1e>=2<5h>;65:4=`62>=2<5h>965:4=`60>=2<5h>?65:4}r112d<72;qU=894=`67>4563ty8>;l50;0xZ43234k??7?<1:p770d2909wS?:4:?b07<6;81v><9d;296~X6=:16m9?51238yv55>l0;6?uQ14089d27289:7p}<27d94?4|V8?:70o{t;;=;6=4={_364>;f;l0:?<5rs2045?6=:rT:8552a2f9567>6??l;|q0625=83kpR<219>e61=9;:01l=7:003?8g413;9<63n3`8265=:i:h1=?>4=`1`>44734k?97<>d:p7713290:=vP>2b9>e63=m116m>85e99>e61=m116m>65e99>e6?=m116m>o5e99>e6d=m116m>m5e99>e6b=9;i01l=j:00`?8g4n3;9o63n41826f=:i=;1=?m4=`61>44d34k??7?=c:?b01<6:j1v><85;296~X6>016m>m53``8yv55??0;6?uQ17589d5e2:ki7p}<26594?4|V8<=70o{t;;=36=4={_351>;f;008mo5rs204=?6=:rT::952a2:97ddom;|q062d=838pR<8=;=><5h9o6574=`1f>=0<5h9n6564=`1f>=?<5h9m6584=`1e>=><5h9m6574=`63>=0<5h>;6564=`63>=?<5h>:6584=`62>=><5h>:6574=`61>=0<5h>96564=`61>=?<5h>86584=`60>=><5h>86574=`67>=0<5h>?6564=`67>=?e63=9:;01l=k:2ca?xu4:>l1<745634k8i7=nb:p77>72909w0o<7;305>;f;o08mo5rs20;5?6=:r7j?54>309>e16=;hh0q~==8383>7}:i:31=>?4=`62>6ge3ty8>5=50;0x9d5f289:70o;2;1bf>{t;;2?6=4={4v3n3b8274=:i=>1?ll4}r11<3<720q6m>j513289d5b288;70o;f<90:>=52a539576<5h>96<219>e13=;990qpln4783>43=9;0:ivF7Wk8:2y2=?>72181qd7j:18'7d>=1m1e?l950:9j501=83.8m54>579m7d1=821b=8;50;&0e=<6=?1e?l951:9j502=83.8m54>579m7d1=:21b=8=50;&0e=<6=?1e?l953:9j504=83.8m54>579m7d1=<21b=8?50;&0e=<6=?1e?l955:9j506=83.8m54>579m7d1=>21b=9650;&0e=<6=?1e?l957:9j7f1=831b=?>50;9j7g?=831b=?m50;9l53?=83.8m54>699m7d1=821d=;950;&0e=<6>11e?l951:9l530=83.8m54>699m7d1=:21d=;;50;&0e=<6>11e?l953:9l532=83.8m54>699m7d1=<21d=;=50;&0e=<6>11e?l955:9l534=83.8m54>699m7d1=>21d=8o50;&0e=<6>11e?l957:9ae11=83;1<7>t$2a2>77f3A9hn6F5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae1?=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj8l4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4h6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sma5f94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfmm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo;f;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd3729026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`72>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl;=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`76>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl;9:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd3?29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv550>0;64uQ9d9>e06=0=16m8?5859>e04=0=16m8=5859>e02=0=16m8;5859>e00=0=16m895859~w64?03:1>vP>569>e01=9:;0q~==8883>7}Y95<5sW;>?63n558274=z{:83o7>52z\217=:i<91=>?4}r114563ty8>5k50;0xZ43734k>=7?<1:p77>a2909wS?;8:?b15<6;81v><60;296~X4k>16m86520a8yv55180;6luQ13289d2?288;70o;9;314>;f=52a5`9576<5h>h6<219>e1`=9;:01l;7:33g?xu4:081<7?>{_31g>;f<10n463n488f<>;f;f;f;f=90:>n52a43957e<5h?96<2b9>e03=9;i01l;9:00`?8g2?3;9o6s|33;0>5<5sW;=563n4g80eg=z{:8287>52z\222=:i=o1?ll4}r11=0<72;qU=;84=`6g>6ge3ty8>4850;0xZ40234k?o7=nb:p77?02909wS?94:?b0g<4ik1v><68;296~X6>:16m9o53``8yv55100;6?uQ17089d2>2:ki7p}<28c94?4|V8?j70o;8;1bf>{t;;3i6=4>9z?b02<59016m8>5879>e06=0116m8>5889>e07=0?16m8?5899>e07=0016m8<5879>e04=0116m8<5889>e05=0?16m8=5899>e05=0016m8:5879>e02=0116m8:5889>e03=0?16m8;5899>e03=0016m885879>e00=0116m885889>e01=0?16m895899>e01=001v><6c;296~;f<10:?<52a4297dde1?=9:;01l;>:2ca?xu4:0o1<745634k>>7=nb:p77?a2909w0o;b;305>;f=:08mo5rs20b4?6=:r7j8n4>309>e02=;hh0q~==a083>7}:i=n1=>?4=`76>6ge3ty8>l<50;0x9d2b289:70o:6;1bf>{t;;k86=4={4=`71>44734k>?7?=0:?b11<6:916m8;513289d31288;70o:7;314>;f=108<>5r}cc6=?6=9<0:>7?j{I1`7>"4k8088l;4Zd597~7>21:14?4ri8g94?"4i102h6`o6=>0;6)=n8;362>h4i>0;76g>5483>!5f03;>:6`o6==0;6)=n8;362>h4i>0976g>5283>!5f03;>:6`o6=;0;6)=n8;362>h4i>0?76g>5083>!5f03;>:6`o6=90;6)=n8;362>h4i>0=76g>4983>!5f03;>:6`o4k>0;66g>2183>>o4j00;66g>2b83>>i6>00;6)=n8;35<>h4i>0;76a>6683>!5f03;=46`i6>?0;6)=n8;35<>h4i>0976a>6483>!5f03;=46`i6>=0;6)=n8;35<>h4i>0?76a>6283>!5f03;=46`i6>;0;6)=n8;35<>h4i>0=76a>5`83>!5f03;=46`df=h0;6<4?:1y'7f7=:8k0D>mm;I1`7>i5900;66sma4`94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf=j0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo:d;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd3b290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim8h50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b25<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`41>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei?91<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae32=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma7794??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim;850;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei?=1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ae3>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sma7;94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wim;o50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ei?h1<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5f20a94?=n:8n1<75`31194?=z{:8j97>59z\:a>;f>:03863n658;0>;f><03863n678;0>;f>>03863n698;0>;f>003863n6`8;0>{t;;k=6=4={_363>;f>h0:?<5rs20b3?6=:rT:9852a7;9567;|q06d?=838pR<;<;309~w64fj3:1>vP>509>e33=9:;0q~==ab83>7}Y9<:01l8;:012?xu4:hn1<75<5sW9h;63n6c815f=z{:8jj7>5az\265=:i4=`7`>44734k>h7?=0:?b1`<6:916m8h513289d07288;70o91;314>;f>;0:>=52a7`964bn7k7;h7k7;j7k7;;f>10:>n52a7;957e<5hvP>679>e36=;hh0q~==b583>7}Y9??01l;i:2ca?xu4:k?1<75<5sW;=?63n5e80eg=z{:8i;7>52z\227=:i6ge3ty8>o750;3:8g2i38:563n628;2>;f>:03463n628;=>;f>=03:63n658;<>;f>=03563n648;2>;f><03463n648;=>;f>?03:63n678;<>;f>?03563n668;2>;f>>03463n668;=>;f>103:63n698;<>;f>103563n688;2>;f>003463n688;=>;f>h03:63n6`8;<>;f>h0356s|33`b>5<5s4k>n7?<1:?b26<4ik1v>e0b=9:;01l8::2ca?xu4:kn1<745634k=:7=nb:p77db2909w0o:f;305>;f>>08mo5rs20ab?6=:r7j:=4>309>e3>=;hh0q~==c183>7}:i?;1=>?4=`4:>6ge3ty8>n?50;0x9d05289:70o9a;1bf>{t;;i96=46{219>e33=9;:01l89:003?8g1?3;9<63n698265=:i?31=?>4=`4b>44734k=n7=?3:~fd0d290:97?=:0gxL6e43-9h=7=;a49Ya2<4s8314=472;j=`<72-9j477k;o1b3?6<3`;>;7>5$2c;>4313g9j;7>4;h361?6=,:k36<;9;o1b3?7<3`;>87>5$2c;>4313g9j;7<4;h367?6=,:k36<;9;o1b3?5<3`;>>7>5$2c;>4313g9j;7:4;h365?6=,:k36<;9;o1b3?3<3`;><7>5$2c;>4313g9j;784;h375;h314?6=3`9i57>5;h31g?6=3f;=57>5$2c;>40?3g9j;7>4;n353?6=,:k36<87;o1b3?7<3f;=:7>5$2c;>40?3g9j;7<4;n351?6=,:k36<87;o1b3?5<3f;=87>5$2c;>40?3g9j;7:4;n357?6=,:k36<87;o1b3?3<3f;=>7>5$2c;>40?3g9j;784;n36e?6=,:k36<87;o1b3?1<3kk=h7>51;294~"4k809=l5G3b`8L6e43f8:57>5;|`b2`<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`53>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ei>;1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pln7383>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygg0;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl9;:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae23=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj;;4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf?>0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b3=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln7883><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj;l4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdf?k0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`b3f<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pln7e83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thj;h4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:k15f<722c9=i4?::m046<722wx??m<:18:[?b34k<:76;;334k<476;;334k334k33ty8>n:50;0xZ43034k0;6?uQ14189d1f289:7p}<2b:94?4|V8?970o89;305>{t;;i26=4={_365>;f?10:?<5rs20`e?6=:rT:9=52a659567<5h==6<=>;|q06fe=838pR>m8;219>e3`=9;:01l9?:003?8g093;9<63n738265=:i>91=?>4=`57>44734k<97?=0:?b3`<59m1v>31=?m4=`5b>44d34k{t;;n:6=4={_352>;f?:08mo5rs20g6?6=:rT::852a6097dd4?:3y]532<5h=:6>om;|q06a2=838pR<8<;3:1>vP>5`9>e3c=;hh0q~==d683>4?|5h134k<:767;>34k<;769;?34k<;766;134k<4767;>34k<5769;?34k<5766;134k>34k?34k134k>34k?34k=838p1l8j:012?8g0>39jn6s|33f:>5<5s4k=j7?<1:?b32<4ik1v>e27=9:;01l96:2ca?xu4:mi1<745634k;f?k08mo5rs20ga?6=:r7j;94>309>e2e=;hh0q~==dg83>7}:i>?1=>?4=`5g>6ge3ty8>h>50;;x9d11288;70o87;314>;f?10:>=52a6;9576<5h=j6<219>e2b=9;:01l9j:220?x{ei>l1<7?::0095`}O;j90(>m>:26b1>\b?39p=4470;:1>xo>m3:1(>o7:8f8j6g02910e<;8:18'7d>=9<<0b>o8:198m432290/?l651448j6g02810e<;;:18'7d>=9<<0b>o8:398m434290/?l651448j6g02:10e<;=:18'7d>=9<<0b>o8:598m436290/?l651448j6g02<10e<;?:18'7d>=9<<0b>o8:798m42?290/?l651448j6g02>10e>m8:188m4472900e>l6:188m44d2900c<86:18'7d>=9?20b>o8:198k400290/?l6517:8j6g02810c<89:18'7d>=9?20b>o8:398k402290/?l6517:8j6g02:10c<8;:18'7d>=9?20b>o8:598k404290/?l6517:8j6g02<10c<8=:18'7d>=9?20b>o8:798k43f290/?l6517:8j6g02>10nl6?:182>5<7s-9h=7<>a:J0gg=O;j90c??6:188ygg?93:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnl6=:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ae=5=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thj494?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66sma9594?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf010;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo79;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg?j3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo7d;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygg?n3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoo61;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?l46k3:17d<>d;29?j57;3:17p}<2d394??|V0o01l66:9689d>f21>01l6m:9689d>d21>01l6k:9689d>b21>01l6i:9689d?721>0q~==e383>7}Y9<=01l7?:012?xu4:l91<75<5sW;>863n8d8274=z{:8n97>52z\216=:i1n1=>?4}r11a3<72;qU=8<4=`:`>4563ty8>h950;0xZ43634k3n7?<1:p77c?2909wS?:0:?b;f0;0:>=52a919576<5h2?6<219>e=1=9;:01l67:003?8g>938:h6s|33g`>5<69rT:>n52a939a==:i181i552a919a==:i1>1i552a979a==:i1<1i552a959a==:i121i552a9;957e<5h2j6<2b9>e=b=9;i01l6j:00`?8g?n3;9o63n91826f=z{:8nh7>52z\22<=:i121?ll4}r11a`<72;qU=;94=`:4>6ge3ty8>hh50;0xZ40134k3:7=nb:p77`72909wS?95:?b<0<4ik1v>=16m5:53``8yv55n;0;6?uQ17189d>42:ki7p}<2g194?4|V8<970o72;1bf>{t;;l?6=4={_36e>;f0808mo5rs20e1?6=90q6m5>520;89d>>21<01l66:9:89d>>21301l6n:9489d>f21201l6n:9;89d>e21<01l6m:9:89d>e21301l6l:9489d>d21201l6l:9;89d>c21<01l6k:9:89d>c21301l6j:9489d>b21201l6j:9;89d>a21<01l6i:9:89d>a21301l7?:9489d?721201l7?:9;8yv55n?0;6?u2a939567<5h226>om;|q06c1=838p1l6=:012?8g?i39jn6s|33d;>5<5s4k3?7?<1:?be=3=9:;01l6k:2ca?xu4:oh1<745634k3i7=nb:p77`d2909w0o77;305>;f0o08mo5rs20e`?6=:r7j454>309>e<6=;hh0q~==fd83><}:i131=?>4=`:b>44734k3n7?=0:?bb288;70o7f;314>;f190:>=52a8397555+3b3971g23So<6>u>9;:3>=4=u`3n6=4+3`:9=a=i;h=1<65f14594?"4i10:9;5a3`594>=n9=n9<91<7*=n9<;1<7*=n9=21<7*=h9?=1<7*=h9??1<7*=h9?91<7*=h9m>:33b?M5dj2B8o>5`20;94?=zjh3?6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sma8794?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdf1?0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoo67;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fd??290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wim4750;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b=d<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnl7k:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fd?a29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`c3>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlo>:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdg429026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`c7>5<4290;w)=l1;01<>N4kk1C?n=4$302>2c5<;|q0754=838pR<;;;><:181[72;27jm=4>309~w657<3:1>vP>539>e<`=9:;0q~=<0483>7}Y9<;01l7j:012?xu4;9<1<7l3;8=6s|3224>5<5sW;?463n9b8274=z{:9;47>52z\0g2=:ih>1>4=`;7>44734k297?=0:?b=3<6:916m49513289d??288;70o69;314>;f1h0:>=52a8`9576<5hk?6??k;|q075g=83;:wS?=c:?b=1;fi90:>n52a`3957e<5hk96<>m:181[71127j5o4vP>669>e7}Y9?<01l76:2ca?xu4;9o1<7039jn6s|322e>5<5sW;=863n9680eg=z{:9:<7>52z\226=:i0<1?ll4}r1054<72;qU=;<4=`;6>6ge3ty8?<<50;0xZ43f34k287=nb:p7674290:5v3n92815<=:i0i14;52a8a9<==:i0i14452a8f9<3=:i0n14552a8f9<<=:i0o14;52a8g9<==:i0o14452a8d9<3=:i0l14552a8d9<<=:ih:14;52a`29<==:ih:14452a`39<3=:ih;14552a`39<<=:ih814;52a`09<==:ih814452a`19<3=:ih914552a`19<<=z{:9:87>52z?b=1<6;816m4m53``8yv549<0;6?u2a879567<5h3o6>om;|q0740=838p1l79:012?8g>m39jn6s|3234>5<5s4k2;7?<1:?b=c<4ik1v>=>8;296~;f110:?<52a`297dde:2ca?xu4;8k1<745634kj>7=nb:p767e2909w0o6b;305>;fi:08mo5rs212g?6=1r7j5n4>219>en3;9<63na18265=:ih;1=?>4=`c1>44734kj?7?=0:?be1<48:1vqoon5;2950<6:3;nwE=l3:&0g4<4=6=0;0ve4k50;&0e=<>l2d8m:4?;:k212<72-9j47?:6:l0e2<732c:984?:%1b2d8m:4>;:k211<72-9j47?:6:l0e2<532c:9>4?:%1b2d8m:4<;:k217<72-9j47?:6:l0e2<332c:9<4?:%1b2d8m:4:;:k215<72-9j47?:6:l0e2<132c:854?:%1b2d8m:48;:k0g2<722c:>=4?::k0f<<722c:>n4?::m22<<72-9j47?98:l0e2<732e:::4?:%1b;:m223<72-9j47?98:l0e2<532e::84?:%1b4?:%1bN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`be=<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`cb>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eihh1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plnab83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggfl3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnloj:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aed`=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smac294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimo?50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eik81<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aeg5=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smac694??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimo;50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eik<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aeg1=8391<7>t$2a2>74?3A9hn6F=>f;296~X6=<16mo;51238yv54:90;6?uQ14689dd3289:7p}<33394?4|V8?870om3;305>{t;:896=4={_366>;fj;0:?<5rs2117?6=:rT:9<52ac3956794?:3y]506<5hh;6<=>;|q0773=838pR<:7;<9:181[5d?27jn:4=1b9~w655?3:1mvP>219>ed1=9;:01lo7:003?8gf13;9<63na`8265=:ihh1=?>4=`c`>44734kjh7?=0:?be`<6:916mo9520f8yv54:10;644d34ki?7?=c:?bf1<6:j16mo;513a89dd1288h7p}<33;94?4|V8<270one;1bf>{t;:8j6=4={_353>;fim08mo5rs211f?6=:rT::;52a`a97ddn4?:3y]533<5hki6>om;|q077b=838pR<8;;vP>639>ed>=;hh0q~=<3183>7}Y927jn=478:?bf527jn?478:?bf7476:?bf6479:?bf127jn9478:?bf127jn;478:?bf3==:1818gf?3;8=63nag80eg=z{:98?7>52z?be=<6;816mo>53``8yv54;=0;6?u2a`;9567<5hh:6>om;|q0763=838p1lon:012?8ge:39jn6s|3215>5<5s4kjn7?<1:?bf6<4ik1v>=<7;296~;fij0:?<52ac697ddedb=9:;01ll::2ca?xu4;:31<745634ki:7=nb:p765f2902w0onf;314>;fj90:>=52ac39576<5hh96<219>eg3=9;:01ll9:003?8ge?39;?6srb``;>5<6=3;967sf9d83>!5f033o7c=n7;28?l72?3:1(>o7:075?k5f?3:07d?:5;29 6g?28?=7c=n7;38?l72<3:1(>o7:075?k5f?3807d?:3;29 6g?28?=7c=n7;18?l72:3:1(>o7:075?k5f?3>07d?:1;29 6g?28?=7c=n7;78?l7283:1(>o7:075?k5f?3<07d?;8;29 6g?28?=7c=n7;58?l5d?3:17d?=0;29?l5e13:17d?=c;29?j7113:1(>o7:04;?k5f?3:07b?97;29 6g?28<37c=n7;38?j71>3:1(>o7:04;?k5f?3807b?95;29 6g?28<37c=n7;18?j71<3:1(>o7:04;?k5f?3>07b?93;29 6g?28<37c=n7;78?j71:3:1(>o7:04;?k5f?3<07b?:a;29 6g?28<37c=n7;58?gge13:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17plnb`83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggej3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlll:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aegb=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thjnh4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smab394?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfk;0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bg6<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnc583><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjo84?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfk?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`bg2<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plnc983><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thjo44?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdfkh0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{t;:9i6=46{_;f?8gd:32?70ol3;:7?8gd<32?70ol5;:7?8gd>32?70ol7;:7?8gd032?70ol9;:7?xu4;:i1<75<5sW;>963nc98274=z{:98i7>52z\211=:ij=1=>?4}r107c<72;qU=8=4=`a5>4563ty8?9>50;0xZ43534kh97?<1:p76262909wS?:1:?bg1<6;81v>=;2;296~X6=916mn=51238yv54<:0;6?uQ15:89de5289:7p}<35694?4|V:i<70ola;02g>{t;:>>6=4n{_314>;fjh0:>=52ac`9576<5hhh6<219>eg`=9;:01lm?:003?8gd93;9<63nc`815a=z{:9?:7>510y]57e<5hhj6h64=``a>`><5hhh6h64=``g>`><5hhn6h64=``e>`><5hi;6h64=`a2>`><5hi96<2b9>ef3=9;i01lm9:00`?8gd?3;9o63nc9826f=:ij31=?m4}r1002<72;qU=;74=`a2>6ge3ty8?9650;0xZ40034kh<7=nb:p762>2909wS?96:?bfc<4ik1v>=;a;296~X6><16mok53``8yv54{t;:>o6=4={_356>;fjk08mo5rs217a?6=:rT:9l52acc97dd2;;270ol2;:5?8gd:32370ol2;::?8gd;32=70ol3;:;?8gd;32270ol4;:5?8gd<32370ol4;::?8gd=32=70ol5;:;?8gd=32270ol6;:5?8gd>32370ol6;::?8gd?32=70ol7;:;?8gd?32270ol8;:5?8gd032370ol8;::?8gd132=70ol9;:;?8gd13227p}<34294?4|5hhj6<=>;;>:1818gej3;8=63nc280eg=z{:9>>7>52z?bff<6;816mn:53``8yv54=:0;6?u2acf9567<5hi>6>om;|q0702=838p1llj:012?8gd>39jn6s|3276>5<5s4kij7?<1:?bg2<4ik1v>=:6;296~;fk90:?<52ab:97ddef7=9:;01lm6:2ca?xu4;<21<77t=`a1>44734kh?7?=0:?bg1<6:916mn;513289de1288;70ol7;314>;fk10:>=52ab;9576<5hij6>><;|aefd=83;>6<<51dyK7f5<,:i:6>:n5:Xf3?5|9003<76=:|k:a?6=,:k364j4n2c4>5=5<#;h21=884n2c4>4=5<#;h21=884n2c4>6=5<#;h21=884n2c4>0=5<#;h21=884n2c4>2=5<5<5<#;h21=;64n2c4>4=5<#;h21=;64n2c4>6=5<#;h21=;64n2c4>0=5<#;h21=;64n2c4>2=:183!5d938:m6Fm<;%015?4>o6:90;66g>3083>>i4ik0;66smabd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdfl90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qook1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fdb5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimi=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`b`1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggc>3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qook8;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yggci3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qookc;29=?6=8r.8o<45<5<5<53;294~"4k809>55G3b`8L6e43-89=79j;h02g?6=3`8:h7>5;n137?6=3ty8?8750;;xZ65:4=`f5>=2<5hn<65:4=`f;>=2<5hn265:4=`fb>=2<5hni65:4=`f`>=2;|q070d=838pR<;:;;l:181[72<27jhl4>309~w652l3:1>vP>529>ea?=9:;0q~=<5d83>7}Y9<801lj7:012?xu4;5<5sW;><63nd78274=z{:9==7>52z\20==:im?1=>?4}r1027<72;qU?n94=`fg>77d3ty8?;=50;cxZ44734khh7?=0:?bg`<6:916mnh513289db7288;70ok1;314>;fl;0:>=52ae19576<5hn?6<8;:1825~X6:j16mnj5e99>efc=m116mnh5e99>ea6=m116mi?5e99>ea4=m116mi=5e99>ea2=m116mi;513a89db1288h70ok7;31g>;fl10:>n52ae;957e<5hnj6<2b9~w651=3:1>vP>689>ea2=;hh0q~=<6783>7}Y9?=01lj<:2ca?xu4;?=1<75<5sW;=963nd080eg=z{:9=57>52z\221=:im:1?ll4}r102d<72;qU=;=4=`ae>6ge3ty8?;l50;0xZ40534khi7=nb:p760d2909wS?:a:?bga<4ik1v>=9d;295<}:iji1><74=`f6>=0<5hn>6564=`f6>=?<5hn=6584=`f5>=><5hn=6574=`f4>=0<5hn<6564=`f4>=?<5hn36584=`f;>=><5hn36574=`f:>=0<5hn26564=`f:>=?<5hnj6584=`fb>=><5hnj6574=`fa>=0<5hni6564=`fa>=?<5hnh6584=`f`>=><5hnh6574}r102`<72;q6mnj512389db22:ki7p}<37d94?4|5hin6<=>;9?:1818gdn3;8=63nd680eg=z{:9<=7>52z?b`5<6;816mi653``8yv54?;0;6?u2ae39567<5hn26>om;|q0725=838p1lj=:012?8gci39jn6s|3257>5<5s4ko?7?<1:?b`g<4ik1v>=85;296~;fl=0:?<52aea97ddea3=9;:01lj9:003?8gc?3;9<63nd98265=:im31=?>4=`fb>44734kon7?=0:?b`f<6:916mij53118yxdfll0;6<;51382a~N4k:1/?n?535c6?_c02:q:576?:909yl?b290/?l659e9m7d1=821b=8950;&0e=<6=?1e?l950:9j503=83.8m54>579m7d1=921b=8:50;&0e=<6=?1e?l952:9j505=83.8m54>579m7d1=;21b=8<50;&0e=<6=?1e?l954:9j507=83.8m54>579m7d1==21b=8>50;&0e=<6=?1e?l956:9j51>=83.8m54>579m7d1=?21b?n950;9j576=831b?o750;9j57e=831d=;750;&0e=<6>11e?l950:9l531=83.8m54>699m7d1=921d=;850;&0e=<6>11e?l952:9l533=83.8m54>699m7d1=;21d=;:50;&0e=<6>11e?l954:9l535=83.8m54>699m7d1==21d=;<50;&0e=<6>11e?l956:9l50g=83.8m54>699m7d1=?21imih50;394?6|,:i:6??n;I1`f>N4k:1d><750;9~fdc7290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wimh?50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ba7<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb`g7>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eil?1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plne783>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yggb?3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlk7:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdcf29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`ga>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlkl:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fdcb29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb`ge>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnlh?:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8m77d2900e??k:188k6642900q~=<7683><}Y1l16mh65859>e`?=0=16mho5859>e`d=0=16mhm5859>e`b=0=16mhk5859>e``=0=1v>=88;296~X6=>16mhh51238yv54?00;6?uQ14789dcb289:7p}<36c94?4|V8??70ojd;305>{t;:=i6=4={_367>;fmj0:?<5rs214g?6=:rT:9?52ad`9567;|q072c=838pR<;?;9i:181[73027ji54>309~w65?83:1>vPec6=:8i0q~=<8083>d}Y9;:01lk?:003?8gb93;9<63ne38265=:il91=?>4=`g7>44734kn97?=0:?ba3<6:916mh9513289d`72;;o7p}<39094?76sW;9o63ne18f<>;fm80n463ne38f<>;fm:0n463ne58f<>;fm<0n463ne78f<>;fm>0n463ne9826f=:il31=?m4=`gb>44d34knn7?=c:?baf<6:j16mhj513a89dcb288h70ojf;31g>{t;:286=4={_35=>;fm>08mo5rs21;0?6=:rT:::52ad497dd6>om;|q07=0=838pR<8:;68:181[71<27ji>4vP>629>e`4=;hh0q~=<8883>7}Y9?801lk>:2ca?xu4;1k1<75<61r7jhk4=189>e`>=0?16mh65899>e`>=0016mh75879>e`?=0116mh75889>e`g=0?16mho5899>e`g=0016mhl5879>e`d=0116mhl5889>e`e=0?16mhm5899>e`e=0016mhj5879>e`b=0116mhj5889>e`c=0?16mhk5899>e`c=0016mhh5879>e``=0116mhh5889~w65?k3:1>v3ne18274=:il21?ll4}r102:ki7p}<39g94?4|5ho96<=>;6i:1818gb;3;8=63nec80eg=z{:92<7>52z?ba1<6;816mhm53``8yv54180;6?u2ad79567<5hoo6>om;|q07<4=838p1lk9:012?8gbm39jn6s|32;0>5<5s4kn;7?<1:?bac<4ik1v>=64;29=~;fm10:>=52ad;9576<5hoj6<219>e`b=9;:01lkj:003?8gbn3;9<63nf18046=zukkm=7>514826?7bsA9h?6*h4i>0;76g>5683>!5f03;>:6`o6=<0;6)=n8;362>h4i>0:76g>5583>!5f03;>:6`o6=:0;6)=n8;362>h4i>0876g>5383>!5f03;>:6`o6=80;6)=n8;362>h4i>0>76g>5183>!5f03;>:6`o6<10;6)=n8;362>h4i>0<76g>o6:90;66g>o6:j0;66a>6883>!5f03;=46`i6>>0;6)=n8;35<>h4i>0:76a>6783>!5f03;=46`i6><0;6)=n8;35<>h4i>0876a>6583>!5f03;=46`i6>:0;6)=n8;35<>h4i>0>76a>6383>!5f03;=46`i6=h0;6)=n8;35<>h4i>0<76lnf383>4<729q/?n?520c8L6ee3A9h?6a=1883>>{eio91<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plnf583>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygga=3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnlh9:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aec1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thjj54?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smag`94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wimkm50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eion1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aecc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smagd94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win=>50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej9;1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af54=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb1194?5=83:p(>m>:30;?M5dj2B8o>5+23393`=n:8i1<75f20f94?=h;991<75rs21:1?6=1rT2i63nfc8;0>;fnj03863nfe8;0>;fnl03863nfg8;0>;e8903863m008;0>;e8;0386s|32;5>5<5sW;>;63m038274=z{:92;7>52z\210=:j9;1=>?4}r10==<72;qU=8:4=c23>4563ty8?4750;0xZ43434kmj7?<1:p76?f2909wS?:2:?bb`<6;81v>=6b;296~X6=816mkj51238yv541j0;6?uQ14289d`d289:7p}<38f94?4|V8>370oib;305>{t;:3n6=4={_1`3>;e8:09=n5rs21:b?6=irT:>=52ag19576<5hl?6<219>ec1=9;:01lh7:003?8ga13;9<63nf`8265=:j991>2b9>ecb=9;i01lhj:00`?8gan3;9o63m01826f=:j9;1=?m4=c21>44d3ty8?l?50;0xZ40>34kmm7=nb:p76g52909wS?97:?bb<<4ik1v>=n3;296~X6>?16mk653``8yv54i=0;6?uQ17789d`02:ki7p}<3`794?4|V8{t;:k=6=4={_357>;fn<08mo5rs21b3?6=:rT::?52ag697ddom;|q07d?=83;2w0oi2;02=>;fnk03:63nfc8;<>;fnk03563nfb8;2>;fnj03463nfb8;=>;fnm03:63nfe8;<>;fnm03563nfd8;2>;fnl03463nfd8;=>;fno03:63nfg8;<>;fno03563m018;2>;e8903463m018;=>;e8803:63m008;<>;e8803563m038;2>;e8;03463m038;=>{t;:kj6=4={v3nf58274=:ioi1?ll4}r10ef<72;q6mk;512389d`c2:ki7p}<3`f94?4|5hl=6<=>;oj:1818ga?3;8=63nfg80eg=z{:9jj7>52z?bb=<6;816n=>53``8yv54j90;6?u2ag;9567<5k::6>om;|q07g7=838p1lhn:012?8d7:39jn6s|32`1>5<>s4kmn7?=0:?bbf<6:916mkj513289d`b288;70oif;314>;e890:>=52b139576<5k:96<;:1821?7528opD>m<;%1`5?53i<1Qi:4<{0;9<54;h363?6=,:k36<;9;o1b3?6<3`;>97>5$2c;>4313g9j;7?4;h360?6=,:k36<;9;o1b3?4<3`;>?7>5$2c;>4313g9j;7=4;h366?6=,:k36<;9;o1b3?2<3`;>=7>5$2c;>4313g9j;7;4;h364?6=,:k36<;9;o1b3?0<3`;?47>5$2c;>4313g9j;794;h1`3?6=3`;9<7>5;h1a=?6=3`;9o7>5;n35=?6=,:k36<87;o1b3?6<3f;=;7>5$2c;>40?3g9j;7?4;n352?6=,:k36<87;o1b3?4<3f;=97>5$2c;>40?3g9j;7=4;n350?6=,:k36<87;o1b3?2<3f;=?7>5$2c;>40?3g9j;7;4;n356?6=,:k36<87;o1b3?0<3f;>m7>5$2c;>40?3g9j;794;c`31?6=93:1m<;n02=?6=3thi<;4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb1;94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde8h0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol?b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg6d290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win=j50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a4`<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm0g83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi==4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde980;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a57<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm1283><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi=94?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde9<0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a53<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2c9=n4?::k15a<722e8<>4?::p76d42902wS7j;<`3a?>334h;j76;;<`24?>334h:=76;;<`26?>334h:?76;;<`20?>334h:976;;|q07g2=838pR<;8;<`21?7492wx?>l::181[72=27i=94>309~w65e>3:1>vP>559>f45=9:;0q~=7}Y9<901o?=:012?xu4;k21<75<5sW;>=63m118274=z{:9im7>52z\215=:j9l1=>?4}r10fg<72;qU=964=c2f>4563ty8?om50;0xZ6e034h::7<>c:p76dc290jwS?=0:?a43<6:916n=9513289g6?288;70l?9;314>;e8h0:>=52b1`9576<5k:h6<8:d:89g6?2l201o>6:d:89g6f2l201o>m:d:89g6d2l201o>k:d:89g6b288h70l?f;31g>;e990:>n52b03957e<5k;96<2b9>f43=9;i0q~=7}Y9?301o>k:2ca?xu4;j:1<75<5sW;=:63m0c80eg=z{:9h>7>52z\220=:j9k1?ll4}r10g6<72;qU=;:4=c2:>6ge3ty8?n:50;0xZ40434h;47=nb:p76e22909wS?92:?a42<4ik1v>=l6;296~X6=h16n=853``8yv54k>0;6<7t=c26>77>34h;i769;<`3a?>?34h;i766;<`3b?>134h;j767;<`3b?>>34h:<769;<`24?>?34h:<766;<`25?>134h:=767;<`25?>>34h:>769;<`26?>?34h:>766;<`27?>134h:?767;<`27?>>34h:8769;<`20?>?34h:8766;<`21?>134h:9767;<`21?>>3ty8?n650;0x9g61289:70l?e;1bf>{t;:i26=4={<`33?74927iv3m098274=:j8:1?ll4}r10gg<72;q6n=7512389g762:ki7p}<3ba94?4|5k:j6<=>;<`26?5fj2wx?>mk:1818d7j3;8=63m1280eg=z{:9hi7>52z?a4f<6;816n<:53``8yv54ko0;6?u2b1f9567<5k;>6>om;|q07a6=833p1o>j:003?8d7n3;9<63m118265=:j8;1=?>4=c31>44734h:?7?=0:?a51<6:916n<;513289g712::87psmb0594?722881=huG3b18 6e62:>j96Tj7;1x5<o8:198m430290/?l651448j6g02910e<;::18'7d>=9<<0b>o8:098m433290/?l651448j6g02;10e<;<:18'7d>=9<<0b>o8:298m435290/?l651448j6g02=10e<;>:18'7d>=9<<0b>o8:498m437290/?l651448j6g02?10e<:7:18'7d>=9<<0b>o8:698m6e02900e<2900e<290/?l6517:8j6g02910c<88:18'7d>=9?20b>o8:098k401290/?l6517:8j6g02;10c<8::18'7d>=9?20b>o8:298k403290/?l6517:8j6g02=10c<8<:18'7d>=9?20b>o8:498k405290/?l6517:8j6g02?10c<;n:18'7d>=9?20b>o8:698fg7?290:6=4?{%1`5?46i2B8oo5G3b18k77>2900qol>9;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg7f290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722winN4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a5f<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc3f>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej8l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm2183>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd593:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol=3;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd5=3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol=7;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd513:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7d<>c;29?l46l3:17b=?3;29?xu4;m;1<77t^8g89g4621>01o<=:9689g4421>01o<;:9689g4221>01o<9:9689g4021>01o<7:968yv54l;0;6?uQ14589g4?289:7p}<3e194?4|V8?>70l=7;305>{t;:n?6=4={_360>;e:?0:?<5rs21g1?6=:rT:9>52b379567;|q07a1=838pR<;>;<`17?7492wx?>j7:181[72827i>?4>309~w65c13:1>vP>499>f77=9:;0q~=7}Y;j=01o<6:33`?xu4;mh1<7ot^003?8d613;9<63m1`8265=:j8h1=?>4=c3`>44734h:h7?=0:?a5`<6:916n{t;:nh6=4>1z\26f=:j831i552b0c9a==:j8h1i552b0a9a==:j8n1i552b0g9a==:j8l1i552b329a==:j;;1=?m4=c01>44d34h9?7?=c:?a61<6:j16n?;513a89g41288h70l=7;31g>;e:10:>n5rs21g`?6=:rT::452b3297ddom;|q07a`=838pR<89;<`2a?5fj2wx?>k?:181[71=27i=i4vP>659>f4e=;hh0q~=7}Y9?901o?m:2ca?xu4;l91<75<5sW;>m63m1880eg=z{:9n97>518y>f4>=:8301o<>:9489g4621201o<>:9;89g4521<01o<=:9:89g4521301o<<:9489g4421201o<<:9;89g4321<01o<;:9:89g4321301o<::9489g4221201o<::9;89g4121<01o<9:9:89g4121301o<8:9489g4021201o<8:9;89g4?21<01o<7:9:89g4?2130q~=7}:j831=>?4=c02>6ge3ty8?h950;0x9g7f289:70l=2;1bf>{t;:o36=4={<`2f?74927i>>4v3m1b8274=:j;>1?ll4}r10ad<72;q6n;<`12?5fj2wx?>kl:1818d6n3;8=63m2680eg=z{:9nh7>52z?a65<6;816n?653``8yv54ml0;64u2b339576<5k896<94>219>f73=9;:01o<9:003?8d5?3;9<63m298265=:j;31?==4}|`a6d<728?1=?4>ezJ0g6=#;j;1?9o:;[g4>6}6132;65<5}h;f>5<#;h215i5a3`594>=n9<=1<7*=n9<>1<7*65f14194?"4i10:9;5a3`597>=n9<81<7*=n9<:1<7*=n;j=1<75f13294?=n;k31<75f13a94?=h9?31<7*=h9?<1<7*65`17794?"4i10::55a3`597>=h9?>1<7*=h9?81<7*=ej;h1<7?50;2x 6e62;;j7E=lb:J0g6=h:831<75rbc0`>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej;n1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm2d83>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd5n3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno=?:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af67=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi??4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg5229026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc15>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno=8:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fg5>29026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbc1b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vno=m:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<5<5<14952b279<1=:j:<14952b259<1=:j:214952b2;9<1=:j:k14952b2`9<1=z{:9m<7>52z\212=:j:h1=>?4}r10b4<72;qU=8;4=c1b>4563ty8?k<50;0xZ43334h857?<1:p76`42909wS?:3:?a7=<6;81v>=i4;296~X6=;16n>951238yv54n<0;6?uQ14389g51289:7p}<3g494?4|V8?;70l<5;305>{t;:l<6=4={_37<>;e;=0:?<5rs21eh4>219>f7`=9;:01o=?:003?8d493;9<63m338265=:j:91=?>4=c1`>77c3ty8?ko50;32[75k27i>n4j8:?a6ah4j8:?a6c2b9>f63=9;i01o=9:00`?8d4?3;9o63m39826f=:j:31=?m4=c1b>44d34h8n7?=c:p76`e2909wS?99:?a76<4ik1v>=ic;296~X6>>16n><53``8yv54nm0;6?uQ17489g562:ki7p}<3gg94?4|V8<>70l<0;1bf>{t;:lm6=4={_350>;e:o08mo5rs2634?6=:rT::>52b3g97ddom;|q0054=838pR<;n;<`1g?5fj2wx?9><:182=~;e:k09=452b269<3=:j:>14552b269<<=:j:?14;52b279<==:j:?14452b249<3=:j:<14552b249<<=:j:=14;52b259<==:j:=14452b2:9<3=:j:214552b2:9<<=:j:314;52b2;9<==:j:314452b2c9<3=:j:k14552b2c9<<=:j:h14;52b2`9<==:j:h1445rs2630?6=:r7i>n4>309>f62=;hh0q~=;0483>7}:j;n1=>?4=c16>6ge3ty88=850;0x9g4b289:70l<6;1bf>{t;=:<6=4={<`1b?74927i?:4v3m318274=:j:21?ll4}r174<<72;q6n>?512389g5>2:ki7p}<41c94?4|5k996<=>;<`0e?5fj2wx?9>m:1818d4;3;8=63m3c80eg=z{:>;o7>59z?a71<6:916n>;513289g51288;70l<7;314>;e;10:>=52b2;9576<5k9j6<2;3fM5d;2.8o<4<4`78^`1=;r;265>5838~m2d8m:4?;:k210<72-9j47?:6:l0e2<632c:994?:%1b2d8m:4=;:k216<72-9j47?:6:l0e2<432c:9?4?:%1b2d8m:4;;:k214<72-9j47?:6:l0e2<232c:9=4?:%1b2d8m:49;:k20=<72-9j47?:6:l0e2<032c8o:4?::k265<722c8n44?::k26f<722e::44?:%1b5}#;j;1>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi8=4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?496=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smb5194?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xde<=0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol;5;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg21290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win9950;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej=21<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af1?=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb5c94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win9l50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{ej=i1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::af1b=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smb5g94??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722win9h50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9j64e=831b>:?d;29=~X>m27i8:474:?a0=j:181[72?27i8h4>309~w627n3:1>vP>549>f1b=9:;0q~=;1183>7}Y9<>01o:l:012?xu4<8;1<75<5sW;>>63m4`8274=z{:>:?7>52z\214=:j=31=>?4}r1751<72;qU=8>4=c6;>4563ty88<;50;0xZ42?34h?;7?<1:p71712909wS=l7:?a0c<59j1v>:>7;29e~X6:916n>h513289g27288;70l;1;314>;e<;0:>=52b519576<5k>?6<219>f1`=:8n0q~=;1983>47|V88h70l3o370l;7;31g>;e<10:>n52b5;957e<5k>j6<2b9>f1b=9;i01o:j:00`?xu4<831<739jn6s|353b>5<5sW;=;63m4480eg=z{:>:n7>52z\223=:j=>1?ll4}r175f<72;qU=;;4=c60>6ge3ty887=nb:p717b2909wS?93:?a04<4ik1v>:>f;296~X6>;16n9>53``8yv53:90;6?uQ14c89g5a2:ki7p}<43394?7>s4h8i7<>9:?a0227i8:478:?a0227i84478:?a0<27i8o478:?a0g27i8i478:?a0a;e<>08mo5rs2617?6=:r7i8=4>309>f1>=;hh0q~=;2583>7}:j=;1=>?4=c6:>6ge3ty88?;50;0x9g25289:70l;a;1bf>{t;=8=6=4={<`77?74927i8o4v3m458274=:j=i1?ll4}r176=<72;q6n9;512389g2c2:ki7p}<43;94?4|5k>=6<=>;<`7a?5fj2wx?94=c6b>44734h?n7?=0:?a0f<6:916n9j513289g2b288;70l;f;137>{zjk?;6=4>5;31>4c|@:i87)=l1;17e0=]m>08w<75818;6?{n1l0;6)=n8;;g?k5f?3:07d?:7;29 6g?28?=7c=n7;28?l72=3:1(>o7:075?k5f?3;07d?:4;29 6g?28?=7c=n7;08?l72;3:1(>o7:075?k5f?3907d?:2;29 6g?28?=7c=n7;68?l7293:1(>o7:075?k5f?3?07d?:0;29 6g?28?=7c=n7;48?l7303:1(>o7:075?k5f?3=07d=l7;29?l7583:17d=m9;29?l75k3:17b?99;29 6g?28<37c=n7;28?j71?3:1(>o7:04;?k5f?3;07b?96;29 6g?28<37c=n7;08?j71=3:1(>o7:04;?k5f?3907b?94;29 6g?28<37c=n7;68?j71;3:1(>o7:04;?k5f?3?07b?92;29 6g?28<37c=n7;48?j72i3:1(>o7:04;?k5f?3=07ol:1;295?6=8r.8o<4=1`9K7fd<@:i87b<>9;29?xde=;0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qol:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fg33290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722win8;50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`a13<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<;7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc7;>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej<31<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm5`83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi9o4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde=j0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a1a<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm5d83><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thi9k4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xde>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`a24<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plm6383>6<729q/?n?523:8L6ee3A9h?6*=2084a>o59j0;66g=1e83>>i48:0;66s|350a>5<>sW3n70l:a;:7?8d2j32?70l:c;:7?8d2l32?70l:e;:7?8d2n32?70l90;:7?8d1932?7p}<43a94?4|V8?<70l91;305>{t;=8o6=4={_361>;e>90:?<5rs261a?6=:rT:9952b4d9567k4?:3y]505<5k?n6<=>;|q0066=838pR<;=;<`6`?7492wx?9=>:181[72927i9n4>309~w624:3:1>vP>519>f0d=9:;0q~=;3283>7}Y9=201o;n:012?xu4<:>1<754=c77>44734h>97?=0:?a13<6:916n89513289g3?288;70l:9;314>;e>;09=i5rs2602?6=98qU=?m4=c71>`><5k?86h64=c77>`><5k?>6h64=c75>`><5k?<6h64=c7;>`><5k?26h64=c7b>44d34h>n7?=c:?a1f<6:j16n8j513a89g3b288h70l:f;31g>;e>90:>n52b73957eom;|q006>=838pR<88;<`627i9:4vP>649>f00=;hh0q~=;3c83>7}Y9?>01o;::2ca?xu4<:i1<75<5sW;=>63m5280eg=z{:>8i7>52z\21d=:j<81?ll4}r177c<7283p1o;>:33:?8d2i32=70l:a;:;?8d2i32270l:b;:5?8d2j32370l:b;::?8d2k32=70l:c;:;?8d2k32270l:d;:5?8d2l32370l:d;::?8d2m32=70l:e;:;?8d2m32270l:f;:5?8d2n32370l:f;::?8d1832=70l90;:;?8d1832270l91;:5?8d1932370l91;::?xu4<=:1<745634h>m7=nb:p71262909w0l:3;305>;e=k08mo5rs2676?6=:r7i994>309>f0e=;hh0q~=;4283>7}:j?4=c7g>6ge3ty889:50;0x9g31289:70l:e;1bf>{t;=>>6=4={<`63?74927i9k43:1>v3m598274=:j?:1?ll4}r1702<72;q6n87512389g062:ki7p}<45:94??|5k?j6<219>f0b=9;:01o;j:003?8d2n3;9<63m618265=:j?;1=?>4=c41>6643twin;=50;36>44=9lqC?n=4$2a2>62f=2Pn;7=t188;4?>52tc2i7>5$2c;>5<#;h21=884n2c4>5=6=4+3`:95005<#;h21=884n2c4>7=54i071>5<#;h21=884n2c4>1=5<#;h21=884n2c4>3=36=4+3`:95005<5<5<#;h21=;64n2c4>5=5<#;h21=;64n2c4>7=6=4+3`:953>54o047>5<#;h21=;64n2c4>1=5<#;h21=;64n2c4>3=5<6290;w)=l1;02e>N4kk1C?n=4o33:>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc45>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ej?=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plm6983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygd113:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vno8n:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::af3d=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thi:n4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol9e;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd083:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qol82;29=?6=8r.8o<45<5<5<59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygd0<3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?1b3`8:o7>5;h02`?6=3f9;?7>5;|q001?=833pR4k4=c4g>=2<5k=2<5k=;65:4=c52>=2<5k=965:4=c50>=2<5k=?65:4}r170d<72;qU=894=c57>4563ty889l50;0xZ43234h:;d;296~X6=:16n:?51238yv53{t;=?;6=4={_364>;e>l0:?<5rs2665?6=:rT:8552b7f95676??l;|q0005=83kpR<219>f31=9;:01o87:003?8d113;9<63m6`8265=:j?h1=?>4=c4`>44734h<97<>d:p7133290:=vP>2b9>f33=m116n;85e99>f31=m116n;65e99>f3?=m116n;o5e99>f3d=m116n;m5e99>f3b=9;i01o8j:00`?8d1n3;9o63m71826f=:j>;1=?m4=c51>44d34h::5;296~X6>016n;m53``8yv53=?0;6?uQ17589g0e2:ki7p}<44594?4|V8<=70l9a;1bf>{t;=?36=4={_351>;e>008mo5rs266=?6=:rT::952b7:97ddom;|q000d=838pR<8=;<`52?5fj2wx?9;l:181[72i27i:84=><5k=0<5k=?<5k=><5k=0<5k=;6564=c53>=?<5k=:6584=c52>=><5k=:6574=c51>=0<5k=96564=c51>=?<5k=86584=c50>=><5k=86574=c57>=0<5k=?6564=c57>=?f33=9:;01o8k:2ca?xu4<45634h=i7=nb:p71072909w0l97;305>;e>o08mo5rs2655?6=:r7i:54>309>f26=;hh0q~=;6383>7}:j?31=>?4=c52>6ge3ty88;=50;0x9g0f289:70l82;1bf>{t;=4v3m6b8274=:j>>1?ll4}r1723<720q6n;j513289g0b288;70l9f;314>;e?90:>=52b639576<5k=96<219>f23=;990qplm7783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol87;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno97:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win:750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi;l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk=h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej>n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde?l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd0n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg>7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af=7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a<7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb9794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm8783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol77;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno67:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win5750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi4l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk2h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej1n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde0l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd?n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg?7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af<7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a=7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb8794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plm9783>0<729q/?n?52078L6ee3A9h?6*=2081?lc?2900e<ok:188k6ge2900qol67;291?6=8r.8o<4=149K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831b?lj50;9l7dd=831vno77:186>5<7s-9h=7<>5:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722win4750;794?6|,:i:6??:;I1`f>N4k:1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thi5l4?:483>5}#;j;1><;4H2aa?M5d;2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjk3h6=4::183!5d938:96F>o6:90;66g>3083>>o4im0;66a>{ej0n1<7;50;2x 6e62;;>7E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xde1l0;684?:1y'7f7=:8?0D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygd>n3:197>50z&0g4<59<1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgg7290>6=4?{%1`5?46=2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afd7=83?1<7>t$2a2>7723A9hn6F5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`ae7<72<0;6=u+3b39643<@:ii7E=l3:&164<53`o36=44i003>5<5<m<;%015?45<2290;w)=l1;021>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smb`794?3=83:p(>m>:336?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plma783>6e=:?08hvF8;je5<72-9j477i;o1b3?6<3`;<97>5$2c;>4133g9j;7>4;h347?6=,:k36<9;;o1b3?7<3`;<>7>5$2c;>4133g9j;7<4;h345?6=,:k36<9;;o1b3?5<3`;<<7>5$2c;>4133g9j;7:4;h35b?6=,:k36<9;;o1b3?3<3`;=i7>5$2c;>4133g9j;784;h35`?6=,:k36<9;;o1b3?1<3`;2h7>5$2c;>4133g9j;764;h3:g?6=,:k36<9;;o1b3??<3`;2n7>5$2c;>4133g9j;7o4;h3:e?6=,:k36<9;;o1b3?d<3`;257>5$2c;>4133g9j;7m4;h3:5$2c;>4133g9j;7k4;h3;7?6=,:k36<9;;o1b3?`<3`;<47>5$2c;>4133g9j;7??;:k22f<72-9j47?84:l0e2<6921bm;4?:%1b4;h1`5;h1a=?6=3`;9o7>5;n3b3?6=,:k365$2c;>4g13g9j;7?4;n3b0?6=,:k365$2c;>4g13g9j;7=4;n3b6?6=,:k365$2c;>4g13g9j;7;4;n3b4?6=,:k365$2c;>4g13g9j;794;n3`b?6=,:k36<3f;hi7>5$2c;>4g13g9j;774;n3``?6=,:k365$2c;>4g13g9j;7l4;n3`f?6=,:k365$2c;>4g13g9j;7j4;n3`4?6=,:k365$2c;>4g13g9j;7h4;n3be?6=,:k362d8m:4>1:9l5a?=83.8m54>d99m7d1=821d=i950;&0e=<6l11e?l951:9l5a0=83.8m54>d99m7d1=:21d=i;50;&0e=<6l11e?l953:9l5a2=83.8m54>d99m7d1=<21d=i=50;&0e=<6l11e?l955:9l5a4=83.8m54>d99m7d1=>21d=i?50;&0e=<6l11e?l957:9l657=83.8m54>d99m7d1=021d>=>50;&0e=<6l11e?l959:9l5c`=83.8m54>d99m7d1=i21d=kk50;&0e=<6l11e?l95b:9l5cb=83.8m54>d99m7d1=k21d=km50;&0e=<6l11e?l95d:9l5c4=83.8m54>d99m7d1=m21d=h950;&0e=<6l11e?l95f:9l5ae=83.8m54>d99m7d1=9910c=9m20b>o8:038?gdf?3:1=7>50z&0g4<59h1C?nl4H2a0?j4613:17plma983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygdf13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnoon:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::afdd=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thimn4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?4>o6:90;66g>3083>>i4ik0;66smb`d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdej90;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qolm1;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fgd5290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wino=50;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`af1<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbc`5>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{ejk=1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plmb983>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygde13:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnoln:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fgdd290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbc`g>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnolj:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge7290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca2>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnom=:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge3290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca6>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnom9:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fge?290o6=4?{%1`5?5fm2B8oo5G3b18 7462<1b494?::k;2?6=3`236=44i9;94?=n9:;1<75f21794?=n:9<1<75f21594?=n:921<75f3b494?=n;j=1<75`3cg94?=h:9>1<75rbca:>5N4kk1C?n=4$302>0=n0=0;66g76;29?l>?2900e5750;9j567=831b>=;50;9j650=831b>=950;9j65>=831b?n850;9j7f1=831d?ok50;9l652=831vnomn:18g>5<7s-9h=7=ne:J0gg=O;j90(?<>:49j<1<722c3:7>5;h:;>5<5f8583>>o?>3:17d67:188m=?=831b=>?50;9j653=831b>=850;9j651=831b>=650;9j7f0=831b?n950;9l7gc=831d>=:50;9~fged29086=4?{%1`5?4502B8oo5G3b18 7462>o0e??l:188m77c2900c>><:188yv53>>0;6<=t^`289gdf21>01olm:9689gdd21>01olk:9689gdb21>01oli:9689ge721>01om>:9689ge521>01om<:9689ge321>01om::9689ge121>01om8:9689ge?21>01om6:9689gef21>01omm:968yv53>10;6?uQ16789gee289:7p}<47;94?4|V8=870lla;305>{t;=;ek00:?<5rs265f?6=:rT:;<52bb:9567;|q003b=838pR<8i;<``2?7492wx?98j:181[71m27io>4>309~w621n3:1>vP>6e9>ff3=9:;0q~=;7183>7}Y90n01om;:012?xu4<>;1<75<5sW;2n63mc08274=z{:>52z\2=d=:jj:1=>?4}r1731<72;qU=474=c`e>4563ty88:;50;0xZ4??34hii7?<1:p71112909wS?7e:?afa<6;81v>:87;296~X60:16noo51238yv53?10;6?uQ16:89gdd289:7p}<46;94?4|V8{t;==j6=4>3z\b2>;ejh09<852bc`9653<5khh6?>:;<`a`?47=27inh4=049>fg`=:9?01om?:326?8dd938;963mc38140=:jj91>=;4=ca7>76234hh9770ll9;031>;ekh09<852bb`9653<5kih6??l;|q002e=83;?wS?=0:?ae=<6:916nl7513289ggf288;70lnb;314>;eij0:>=52b`f9576<5kkn6<219>fg7=9;:01ol=:003?8de;3;9<63mb58265=:jk?1=?>4=c`5>44734hi;7?=0:?af=<6:916no7513289ged2;;o7p}<46f94?42sW;9o63ma98f<>;ei00n463ma`8f<>;eik0n463mab8f<>;eim0n463mad8f<>;eio0n463mb18f<>;ej80n463mb38f<>;ej:0n463mb58f<>;ej<0n463mb78f<>;ej>0n463mb98f<>;ej00n463mb`80g3=:jkh1?n84=c``>6e134hih7=l6:?af`<4k?16noh53b489ge72:i=70ll1;1`2>;ek;08o;52bb197f0<5ki?6>m9;<``1?5d>27io;4ff1=;j<01om7:2a5?8dd139h:63mc`80g3=:jjh1?n84}r173`<72;qU=i74=c`:>6ge3ty88:h50;0xZ4b034hi47=nb:p71>72909wS?k6:?af2<4ik1v>:71;296~X6l<16no853``8yv530;0;6?uQ1e689gd22:ki7p}<49194?4|V8n870lm4;1bf>{t;=2?6=4={_3g6>;ej:08mo5rs26;1?6=:rT:h<52bc097ddom;|q00=1=838pR?>?;<`a4?5fj2wx?967:181[7an27imk4vP>fd9>fdc=;hh0q~=;8`83>7}Y9on01ook:2ca?xu4<1h1<75<5sW;m>63mac80eg=z{:>3h7>52z\2a2=:jhk1?ll4}r17<`<72;qU=im4=cc:>6ge3ty885h50;0xZ4b734hj47=nb:p71?7290=hv3ma6815<=:jkk14;52bcc9<==:jkk14452bcc9650<5khj6?>8;<`ae?47027ino476:?afg=64=c`g>=0<5kho6564=c`g>=?<5kho6?>9;<`a`?47?27ini4=099>fgc=0?16nok5899>fgc=0016nok521489gdb2;:<70lme;03<>;ejo03:63mbg8;<>;ejo03563mbg8143=:jkl1>=94=c`e>76?34hh<769;<``4?>?34hh<766;<``4?47>27io=4=069>ff6=:9201om>:9489ge621201om>:9;89ge62;:=70ll1;033>;ek809<552bb09<3=:jj814552bb09<<=:jj81>=84=ca1>76034hh>727io>478:?ag64=079>ff5=:9=01om<:32;?8dd<32=70ll4;:;?8dd<32270ll4;032>;ek=09<:52bb6965><5ki>6584=ca6>=><5ki>6574=ca6>76134hh97ff0=0116nn85889>ff0=:9<01om9:324?8dd>38;463mc68;2>;ek>03463mc68;=>;ek>09<;52bb59651<5ki<6?>7;<``134hh4767;<``>34hh4716nn6521:89ge>21<01om6:9:89ge>21301om6:325?8dd138;;63mc8814==:jjk14;52bbc9<==:jjk14452bbc9650<5kij6?>8;<``e?47027ioo476:?agg;<`af?47<2wx?97=:1818df13;8=63mbb8141=z{:>2?7>52z?aed<6;816noo52168yv531=0;6?u2b``9567<5kho6?>;;|q00<3=838p1ool:012?8dem38;86s|35;5>5<5s4hjh7?<1:?afc<58=1v>:67;296~;eil0:?<52bb29652fd`=9:;01om>:327?xu4<031<745634hh>7;ek=09<95rs26:f?6=:r7in?4>309>ff3=:9>0q~=;9b83>7}:jk91=>?4=ca0>7633ty884j50;0x9gd3289:70ll6;030>{t;=3n6=4={<`a1?74927io:4=059~w62>n3:1>v3mb78274=:jj21>=:4}r17e5<72;q6no9512389ge>2;:?7p}<4`394?4|5kh36<=>;<``e?47<2wx?9o=:1818de13;8=63mcc8141=z{:>j?7>512y>fgg=;j=01olm:2a4?8dek39h;63mbe80g2=:jko1?n94=c`e>6e034hh<7=l7:?ag4<4k>16nn<53b589ge42:i<70ll4;1`3>;ek<08o:52bb497f1<5ki<6>m8;<``ffg=;j=01omm:2a4?8ddk39;?6srbcag>5<4290;w)=l1;1`e>N4kk1C?n=4$233>4d5k2c:>o4?::k166<722e8<>4?::affc=8391<7>t$2a2>6ef3A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnoj>:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smbe094?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|`a`6<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188ygdc<3:187>50z&0g4<5==1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h:;>1<75rbcf6>5<3290;w)=l1;060>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m161<722wini850;694?6|,:i:6?;;;I1`f>N4k:1/?<>51c0`?l75j3:17d0;694?:1y'7f7=:<>0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l672=831vnoj6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdci3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmdc83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejmi1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`a`c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722winh>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fgc6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qolj2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdem:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbd694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjko>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thii:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::af`>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnok6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdbi3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmec83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejli1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`aac<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wink>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~fg`6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qoli2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xden:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smbg694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjkl>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thij:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::afc>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnoh6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188ygdai3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plmfc83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ejoi1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|`abc<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio=>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff66290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom?2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd8:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc1694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj:>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh<:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag5>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn>6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge7i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll0c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek9i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``4c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio<>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff76290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom>2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd9:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc0694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj;>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh=:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag4>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn?6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge6i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll1c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek8i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``5c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio?>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff46290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom=2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd::0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc3694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj8>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh>:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag7>=83?1<7>t$2a2>7723A9hn6F=831b=?>50;9j567=831b?lj50;9l7dd=831vnn<6:186>5<7s-9h=7<>5:J0gg=O;j90(>??:0`1g>"5:8097dk7:188m4472900e<=>:188m6gc2900c>om:188yge5i3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17pll2c83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{ek;i1<7;50;2x 6e62;;>7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=85G3b`8L6e43-9:<7?m2b9'677=:2cn47>5;h314?6=3`;8=7>5;h1b`?6=3f9jn7>5;|``6c<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wio>>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff56290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qom<2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xdd;:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smc2694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjj9>6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thh?:4?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag6>=8391<7>t$2a2>6ef3A9hn6F4?:1y'7f7=;jk0D>mm;I1`7>"4990:n?m4i00a>5<5<53;294~"4k808ol5G3b`8L6e43-9:<7?m2b9j57d=831b>?=50;9l755=831vnn=m:180>5<7s-9h=7=la:J0gg=O;j90(>??:0`1g>o6:k0;66g=2283>>i48:0;66smc2a94?5=83:p(>m>:2ab?M5dj2B8o>5+30295g4d3`;9n7>5;h017?6=3f9;?7>5;|``7a<72<0;6=u+3b39605<@:ii7E=l3:&055<6j;i0e<l7:188k6642900c?<;:188yge4m3:187>50z&0g4<5==1C?nl4H2a0?!5683;i>n5f13`94?=n:991<75f3c:94?=h:;>1<75rbb1e>5<3290;w)=l1;060>N4kk1C?n=4$233>4d5k2c:>o4?::k146<722c8n54?::m161<722wio9>50;694?6|,:i:6?;;;I1`f>N4k:1/?<>51c0`?l75j3:17d0D>mm;I1`7>"4990:n?m4i00a>5<5<m<;%124?7e:j1b=?l50;9j655=831b?o650;9l755=831vnn:<:186>5<7s-9h=7<=a:J0gg=O;j90(?<>:331?!5f139n>6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek=>1<7:50;2x 6e62;827E=lb:J0g6=#:;;14>5f20a94?=n:8n1<75f20g94?=h;991<75rbb66>5<2290;w)=l1;01e>N4kk1C?n=4$302>23<,:k26>j6;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh8;4?:583>5}#;j;1>?74H2aa?M5d;2.9><46;h02g?6=3`8:h7>5;h02a?6=3f9;?7>5;|``02<72<0;6=u+3b3967g<@:ii7E=l3:&164<0n2.8m445<7s-9h=7<=b:J0gg=O;j90(?<>:005?!5f139o56g=1b83>>o59m0;66g=1d83>>o59o0;66g=2183>>i48:0;66smc5;94?5=83:p(>m>:30;?M5dj2B8o>5+233933=n:8i1<75f20f94?=h;991<75rbb6b>5<3290;w)=l1;01=>N4kk1C?n=4$302>2d5<5<54;294~"4k809>45G3b`8L6e43-89=7?<2:&0e<<4m;1b>5<7s-9h=7<=8:J0gg=O;j90(?<>:648m77d2900e??k:188k6642900qom;d;290?6=8r.8o<4=289K7fd<@:i87)<=1;03b>"4i008i<5f20a94?=n:8n1<75f20g94?=h;991<75rbb6f>5<1290;w)=l1;01f>N4kk1C?n=4$302>1=n:8i1<75f20f94?=n:8o1<75f20d94?=n:;:1<75`31194?=zjj>m6=4;:183!5d938956Fl;%1b=?5b;2c9=n4?::k15a<722c9=h4?::m046<722wio8>50;694?6|,:i:6?<6;I1`f>N4k:1/>??5c:k15f<722c9=i4?::k15`<722e8<>4?::ag07=8391<7>t$2a2>74?3A9hn6Fo0e??l:188m77c2900c>><:188yge2;3:197>50z&0g4<5:h1C?nl4H2a0?!45938:;6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek<>1<7850;2x 6e62;8i7E=lb:J0g6=#:;;1><64i33`>5<5<5<m<;%015?113`8:o7>5;h02`?6=3f9;?7>5;|``13<72=0;6=u+3b3967?<@:ii7E=l3:&1642c9=n4?::k15a<722c9=h4?::m046<722wio8950;694?6|,:i:6?<6;I1`f>N4k:1/>??5e79j64e=831b>5<7s-9h=7<=9:J0gg=O;j90(?<>:338m77d2900e??k:188m77b2900c>><:188yge2i3:187>50z&0g4<5:01C?nl4H2a0?!45938:7)=n9;1gg>o59j0;66g=1e83>>o59l0;66a<0283>>{ek4$2c:>6bd3`8:o7>5;h02`?6=3f9;?7>5;|``1a<72<0;6=u+3b3967g<@:ii7E=l3:&164<6<>1b>i0e??l:188m77c2900e??j:188k6642900qom:f;297?6=8r.8o<4=299K7fd<@:i87)<=1;03f>o59j0;66g=1e83>>i48:0;66smc7294?2=83:p(>m>:30:?M5dj2B8o>5+23393f=n:8i1<75f20f94?=n:8o1<75`31194?=zjj<:6=4<:183!5d938946F5<5<7>54;294~"4k809>45G3b`8L6e43-89=7k9;h02g?6=3`8:h7>5;h02a?6=3f9;?7>5;|``26<72:0;6=u+3b3967><@:ii7E=l3:&164<0i2c9=n4?::k15a<722e8<>4?::ag32=8391<7>t$2a2>74?3A9hn6Fi0e??l:188m77c2900e??j:188k6642900qom96;290?6=8r.8o<4=289K7fd<@:i87)<=1;5`?l46k3:17d<>d;29?l46m3:17b=?3;29?xdd>>0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:80>o59m0;66a<0283>>{ek?21<7=50;2x 6e62;837E=lb:J0g6=#:;;1;l5f20a94?=n:8n1<75`31194?=zjj<26=4<:183!5d938946F5<5<54;294~"4k809>45G3b`8L6e43-89=7?;6:k15f<722c9=i4?::k15`<722e8<>4?::ag3d=83>1<7>t$2a2>74>3A9hn6Fd;29?j57;3:17pll6e83>6<729q/?n?523:8L6ee3A9h?6*=20842>o59j0;66g=1e83>>i48:0;66smc7g94?2=83:p(>m>:30:?M5dj2B8o>5+23395105<5<53;294~"4k809>55G3b`8L6e43-89=799;h02g?6=3`8:h7>5;n137?6=3thh;=4?:283>5}#;j;1>?64H2aa?M5d;2.9><48a:k15f<722c9=i4?::m046<722wio:?50;194?6|,:i:6?<7;I1`f>N4k:1/>??521`8m77d2900e??k:188k6642900qom82;297?6=8r.8o<4=299K7fd<@:i87)<=1;5f?!5f139o86g=1b83>>o59m0;66a<0283>>{ek>91<7=50;2x 6e62;837E=lb:J0g6=#:;;1;h5+3`;97ad5<m<;%015?1b3-9j57=kb:k15f<722c9=i4?::m046<722wio:;50;194?6|,:i:6?<7;I1`f>N4k:1/>??57d9'7d?=;mk0e??l:188m77c2900c>><:188yge0>3:1?7>50z&0g4<5:11C?nl4H2a0?!4593=n7)=n9;1ge>o59j0;66g=1e83>>i48:0;66smc6594?5=83:p(>m>:30;?M5dj2B8o>5+23393`=#;h31?ij4i33`>5<5<53;294~"4k809>55G3b`8L6e43-89=799;h02g?6=3`8:h7>5;n137?6=3thh;44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio:o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom8c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll7e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc6g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb5e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``<4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag=4=83?1<7>t$2a2>74f3A9hn6F4290>6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge?<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd0<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek1<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj2<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh444?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio5o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom7c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll8e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc9g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb:e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``=4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::ag<4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yge><3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xdd1<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ek0<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjj3<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thh544?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wio4o50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qom6c;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pll9e83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc8g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb;e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``e4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agd4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygef<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddi<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekh<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjk<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhm44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiolo50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomnc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllae83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smc`g94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbbce>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``f4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agg4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygee<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddj<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekk<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjh<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thhn44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiooo50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qommc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllbe83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smccg94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbb`e>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|``g4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::agf4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188yged<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddk<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekj<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjji<6=4::183!5d9389m6F5<5<5<55;294~"4k809>l5G3b`8L6e43-89=79;;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3thho44?:483>5}#;j;1>?o4H2aa?M5d;2.9><484:k15f<722c9=i4?::k15`<722c9=k4?::m046<722wiono50;794?6|,:i:6?N4k:1/>??5759j64e=831b>5<7s-9h=7<=a:J0gg=O;j90(?<>:668m77d2900e??k:188m77b2900e??i:188k6642900qomlc;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;57?l46k3:17d<>d;29?l46m3:17d<>f;29?j57;3:17pllce83>0<729q/?n?523c8L6ee3A9h?6*=20840>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smcbg94?3=83:p(>m>:30b?M5dj2B8o>5+233931=n:8i1<75f20f94?=n:8o1<75f20d94?=h;991<75rbbae>5<2290;w)=l1;01e>N4kk1C?n=4$302>225<5<m<;%015?133`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3f9;?7>5;|```4<72<0;6=u+3b3967g<@:ii7E=l3:&164<0<2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::aga4=83?1<7>t$2a2>74f3A9hn6F6=4?{%1`5?45i2B8oo5G3b18 7462>>0e??l:188m77c2900e??j:188m77a2900c>><:188ygec<3:197>50z&0g4<5:h1C?nl4H2a0?!4593=?7d<>c;29?l46l3:17d<>e;29?l46n3:17b=?3;29?xddl<0;684?:1y'7f7=:;k0D>mm;I1`7>"5:80<86g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{ekm<1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;95f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjjn<6=4;:183!5d938956F5<3290;w)=l1;01=>N4kk1C?n=4$302>7653`8:o7>5;h02`?6=3`8:i7>5;n137?6=3thhh44?:283>5}#;j;1>?64H2aa?M5d;2.9><4=0c9j64e=831b>5<7s-9h=7<=8:J0gg=O;j90(?<>:32a?l46k3:17d<>d;29?j57;3:17plldc83>6<729q/?n?523:8L6ee3A9h?6*=20814g=n:8i1<75f20f94?=h;991<75rbbf`>5<4290;w)=l1;01<>N4kk1C?n=4$302>76e3`8:o7>5;h02`?6=3f9;?7>5;|```a<72<0;6=u+3b39643<@:ii7E=l3:&055<6j;i0(?<>:39ja=<722c:>=4?::k274<722c8mi4?::m0eg<722wioik50;194?6|,:i:6??>;I1`f>N4k:1/>??5a:kf5;n1bf?6=3thhhk4?:283>5}#;j;1>?64H2aa?M5d;2.9><4=0:k15f<722c9=i4?::m046<722wioh>50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ffc6290>6=4?{%1`5?46=2B8oo5G3b18 67728h9o6*=2081?lc?2900e<ok:188k6ge2900qomj2;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xddm:0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smcd694?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjjo>6=4;:183!5d938:86F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thhi54?:483>5}#;j;1><;4H2aa?M5d;2.8==4>b3a8 7462;1bi54?::k265<722c:?<4?::k0ea<722e8mo4?::ag`?=83?1<7>t$2a2>7713A9hn6F=831b=?>50;9j567=831b?o650;9l7dd=831vnnkn:186>5<7s-9h=7<>6:J0gg=O;j90(>??:0`1g>"5:80j7dk7:188m4472900e<=>:188m6d?2900c>om:188ygebj3:197>50z&0g4<59<1C?nl4H2a0?!5683;i>n5+23396>ob03:17d?=0;29?l7493:17d=nd;29?j5fj3:17plleb83>1<729q/?n?52068L6ee3A9h?6gj8;29?l7493:17d=nd;29?j5fj3:17pllee83>0<729q/?n?52078L6ee3A9h?6*<1182f7e<,;8:6?5fe983>>o6:90;66g>3083>>o4im0;66a>{eklo1<7;50;2x 6e62;;=7E=lb:J0g6=#;8:1=o5<2290;w)=l1;021>N4kk1C?n=4$233>4d5k2.9><4=;hg;>5<5<5<55;294~"4k809=;5G3b`8L6e43-9:<7?m2b9'677=i2cn47>5;h314?6=3`;8=7>5;h1a5;|``b4<72<0;6=u+3b39640<@:ii7E=l3:&055<6j;i0(?<>:`9ja=<722c:>=4?::k274<722c8n54?::m0eg<722wiok<50;794?6|,:i:6??:;I1`f>N4k:1/?<>51c0`?!459380eh650;9j576=831b=>?50;9j7db=831d?ll50;9~ff`4290>6=4?{%1`5?46>2B8oo5G3b18 67728h9o6*=208b?lc?2900e<l7:188k6ge2900qomi4;291?6=8r.8o<4=149K7fd<@:i87)=>0;3a6f=#:;;1>6gj8;29?l7583:17d?<1;29?l5fl3:17b=nb;29?xddn<0;684?:1y'7f7=:8?0D>mm;I1`7>"4990:n?m4$302>7=nm10;66g>2183>>o6;80;66g>i4ik0;66smcg494?3=83:p(>m>:336?M5dj2B8o>5+30295g4d3-89=7<4id:94?=n9;:1<75f12394?=n;hn1<75`3``94?=zjjl<6=4::183!5d938:96F5<5<m<;%124?7e:j1/>??52:kf5;h305?6=3`9jh7>5;n1bf?6=3thhj44?:383>5}#;j;1>?94H2aa?M5d;2.9><4=0:k15f<722e8<>4?::agcg=8381<7>t$2a2>7403A9hn6F5<7s-9h=7<=7:J0gg=O;j90(?<>:328m77d2900c>><:188ygeak3:1>7>50z&0g4<5:>1C?nl4H2a0?!45938;7d<>c;29?j57;3:17pllfe83>7<729q/?n?52358L6ee3A9h?6*=20814>o59j0;66a<0283>>{ekoo1<7<50;2x 6e62;8<7E=lb:J0g6=#:;;1>=5f20a94?=h;991<75rbbde>5<5290;w)=l1;013>N4kk1C?n=4$302>765<52;294~"4k809>:5G3b`8L6e43-89=75;|`g44<72;0;6=u+3b39671<@:ii7E=l3:&164<582c9=n4?::m046<722wih=<50;094?6|,:i:6?<8;I1`f>N4k:1/>??5219j64e=831d?==50;9~fa64290?6=4?{%1`5?46<2B8oo5G3b18 7462;1bi54?::k274<722c8mi4?::m0eg<722wih=:50;694?6|,:i:6??;;I1`f>N4k:1/>??52:kf5;h1b`?6=3f9jn7>5;|`g40<72=0;6=u+3b39642<@:ii7E=l3:&164<53`o36=44i012>5<5<54;294~"4k809=95G3b`8L6e43-89=7<4id:94?=n9:;1<75f3`f94?=h;hh1<75rbe24>5<3290;w)=l1;020>N4kk1C?n=4$302>7=nm10;66g>3083>>o4im0;66a>{el921<7=50;2x 6e62;;:7E=lb:J0g6=#:;;1m6gj8;29?l7493:17b=nb;29?xdc800;6>4?:1y'7f7=:8;0D>mm;I1`7>"5:80j7dk7:188m4562900c>om:188ygb7i3:197>50z&0g4<5:h1C?nl4H2a0?!45938;i6g=1b83>>o59m0;66g=1d83>>o59o0;66a<0283>>{el9h1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1>=k4i33`>5<5<5<55;294~"4k809>l5G3b`8L6e43-89=798;%1b=?5cm2c9=n4?::k15a<722c9=h4?::k15c<722e8<>4?::a`5b=83>1<7>t$2a2>74>3A9hn6F><:188ygb7m3:1:7>50z&0g4<5:k1C?nl4H2a0?!4593=o7)=n9;1ga>o59j0;66g=1e83>>o59l0;66g=1g83>>o5:90;66a<0283>>{el9l1<7;50;2x 6e62;8j7E=lb:J0g6=#:;;1;:5+3`;97`65<5<m<;%015?47n2c9=n4?::k15a<722c9=h4?::m046<722wihN4k:1/>??521f8m77d2900e??k:188m77b2900e??i:188k6642900qoj>2;291?6=8r.8o<4=2`9K7fd<@:i87)<=1;03`>o59j0;66g=1e83>>o59l0;66g=1g83>>i48:0;66smd0194?3=83:p(>m>:30b?M5dj2B8o>5+233965b<,:k26>jk;h02g?6=3`8:h7>5;h02a?6=3`8:j7>5;n137?6=3tho=94?:583>5}#;j;1>?74H2aa?M5d;2.9><4=0g9'7d?=;l90e??l:188m77c2900e??j:188k6642900qoj>5;292?6=8r.8o<4=2c9K7fd<@:i87)<=1;01?!5f139o>6g=1b83>>o59m0;66g=1d83>>o59o0;66g=2183>>i48:0;66smd0494?3=83:p(>m>:30b?M5dj2B8o>5+2339`>"4i008h?5f20a94?=n:8n1<75f20g94?=n:8l1<75`31194?=zjm;<6=49:183!5d9389n6F6ba3`8:o7>5;h02`?6=3`8:i7>5;h02b?6=3`89<7>5;n137?6=3tho=54?:583>5}#;j;1>?74H2aa?M5d;2.9><4=1:&0e<<4lo1b>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8 6g>2:n37d<>c;29?l46l3:17b=?3;29?xdc9h0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:805<4290;w)=l1;01<>N4kk1C?n=4$302>2c<,:k26>j7;h02g?6=3`8:h7>5;n137?6=3tho=n4?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:&0e<<4l>1b>o0(>o6:2f5?l46k3:17d<>d;29?j57;3:17plk1d83>6<729q/?n?523:8L6ee3A9h?6*=2084a>"4i008h85f20a94?=n:8n1<75`31194?=zjm;m6=4<:183!5d938946F6b33`8:o7>5;h02`?6=3f9;?7>5;|`g65<72:0;6=u+3b3967><@:ii7E=l3:&164<0m2.8m44:180>5<7s-9h=7<=8:J0gg=O;j90(?<>:6g8 6g>2:n87d<>c;29?l46l3:17b=?3;29?xdc:;0;6>4?:1y'7f7=:;20D>mm;I1`7>"5:805<4290;w)=l1;01<>N4kk1C?n=4$302>2c<,:k26>k;;h02g?6=3`8:h7>5;n137?6=3tho>94?:283>5}#;j;1>?64H2aa?M5d;2.9><48e:&0e<<4l?1b>><:188ygb5>3:1>7>50z&0g4<5:;1C?nl4H2a0?l46j3:17b=?3;29?xdc:>0;6?4?:1y'7f7=:;80D>mm;I1`7>o59k0;66a<0283>>{el;21<7<50;2x 6e62;897E=lb:J0g6=n:8h1<75`31194?=zjm826=4=:183!5d9389>6F5<52;294~"4k809>?5G3b`8L6e43`8:n7>5;n137?6=3tho>o4?:383>5}#;j;1>?<4H2aa?M5d;2c9=o4?::m046<722wih?m50;094?6|,:i:6?<=;I1`f>N4k:1b>5<7s-9h=7<=2:J0gg=O;j90e??m:188k6642900qoj=e;296?6=8r.8o<4=239K7fd<@:i87d<>b;29?j57;3:17plk2g83>7<729q/?n?52308L6ee3A9h?6g=1c83>>i48:0;66smd2294?4=83:p(>m>:301?M5dj2B8o>5f20`94?=h;991<75rbe12>5<5290;w)=l1;016>N4kk1C?n=4i33a>5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdc090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`g<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygb?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vni6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wih5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`g<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plk8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygb?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fa>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wih5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{el1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plk9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3tho5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoj63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{el0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3tho554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdc100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoj6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vni7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smd8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdci90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ge4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbf;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnio;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smd`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihl950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ge=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plka883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbfi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fagc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihlk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkb183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thon<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fad329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbe`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`g1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thon54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbe``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnilk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`gc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdcd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdck90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`gg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbd;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnim;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smdb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihn950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`gg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plkc883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbdi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~faec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihnk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{eljl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkd183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thoh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qojk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fab329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbef6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`a1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcl00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbef`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnijk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`ac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smded94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdcm90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ga4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygbb;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnik;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smdd494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wihh950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ga=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plke883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygbbi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~facc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wihhk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{elll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plkf183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thoj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoji3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fa`329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbed6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{elo<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a`c1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thoj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdcn00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qojia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbed`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnihk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a`cc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smdgd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb890;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f44<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc7;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh>;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme1494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii=950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f4=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj0883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc7i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii=k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em9l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj1183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn=<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`7329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd36>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em8<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa41=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok>a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd3`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa4c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme0d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb:90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f64<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc5;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh<;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme3494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii?950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f6=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj2883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc5i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`4c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii?k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj3183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn?<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aa2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdb090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`f<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygc?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnh6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wii5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`f<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plj8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygc?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wii5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{em1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plj9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thn5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qok63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{em0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aa<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thn554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdb100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qok6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnh7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aat$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sme8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbi90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fe4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcf;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnho;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sme`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiil950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fe=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plja883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcfi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`gc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiilk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljb183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnn<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`d329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbd`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aag1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnn54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbd``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhlk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aagc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smecd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbk90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcd;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhm;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smeb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiin950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pljc883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcdi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`ec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiink50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emjl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljd183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qokk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f`b329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdf6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aaa1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbl00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbdf`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhjk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aaac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smeed94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdbm90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`fa4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188ygcb;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnhk;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smed494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wiih950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`fa=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plje883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188ygcbi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f`cc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wiihk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{emll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pljf183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thnj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoki3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f``329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbdd6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{emo<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aac1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thnj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdbn00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qokia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbdd`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnhhk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::aacc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smegd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda890;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e44<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`7;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk>;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf1494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij=950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e4=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli0883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`7i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc6c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij=k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en9l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli1183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm=<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh>3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc7329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg36>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en8<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab41=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm=54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda900;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh>a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg3`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk?k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab4c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf0d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda:90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e64<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`5;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk<;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf3494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij?950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e6=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli2883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`5i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc4c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij?k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli3183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm?<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh<3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc5329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg16>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en:<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab61=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm?54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda;00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh5<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg1`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk=k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab6c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf2d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda<90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e04<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`3;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk:;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<>6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf5494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij9950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e0=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli4883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`3i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?4h6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc2c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij9k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli5183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm9<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh:3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc3329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg76>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en<<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab01=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm954?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda=00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh:a;29=?6=8r.8o<45<5<5<n7>54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg7`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk;k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab0c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf4d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda>90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e24<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`1;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk8;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf7494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij;950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e2=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli6883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`1i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc0c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij;k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli7183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm;<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh83;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc1329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg56>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en><1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab21=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm;54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda?00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh8a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg5`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk9k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::ab2c=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf6d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xda090;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`e<4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`?;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnk6;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf9494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wij5950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`e<=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17pli8883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`?i3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fc>c290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wij5k50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{en1l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pli9183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thm5<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qoh63;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc?329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg;6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{en0<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::ab<1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thm554?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xda100;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qoh6a;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg;`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnk7k:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abt$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smf8d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdai90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ee4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`f;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnko;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smf`494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijl950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ee=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plia883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`fi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcgc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijlk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enhl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plib183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmn<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohm3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcd329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbg`6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enk<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abg1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmn54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdaj00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohma;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbg``>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnklk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abgc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfcd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdak90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`eg4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`d;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkm;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smfb494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijn950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`eg=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plic883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`di3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fcec290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijnk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enjl1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plid183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmh<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohk3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fcb329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgf6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{enm<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::aba1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmh54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdal00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohka;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgf`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkjk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abac=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfed94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xdam90;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`ea4<72=0;6=u+3b39645<@:ii7E=l3:&164<53`o36=44i003>5<5<7>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg`b;3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vnkk;:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<6=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66smfd494??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wijh950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`ea=<7200;6=u+3b397g`<@:ii7E=l3:&164<43`2?6=44i9494?=n010;66g79;29?l7583:17d?=c;29?l7493:17b=nb;29?j5fk3:17plie883>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg`bi3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<m<;%015?45f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~fccc290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wijhk50;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{enll1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17plif183><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3thmj<4?:583>5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qohi3;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~fc`329026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rbgd6>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{eno<1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::abc1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3thmj54?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xdan00;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qohia;29=?6=8r.8o<45<5<5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rbgd`>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vnkhk:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::abcc=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66smfgd94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd689:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5566290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==>=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0230?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??0483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg778?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2451=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<=650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99:26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm112b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>?b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f467k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd689l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>00294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==?>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a557529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0227?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg779<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??1783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<<950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`244>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm113:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99;j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f466j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>>c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<00d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68;:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5546290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==<=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0210?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??2483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77:?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2471=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99826=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm110b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>=b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f465k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<h4?:883>5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68;l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>02294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi===>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a555529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0207?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77;<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??3783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<>950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`246>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm111:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e999j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f464j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>5<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<02d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68=:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5526290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==:=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0270?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??4483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2411=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<9650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99>26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm116b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>;b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f463k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68=l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>04294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==;>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a553529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0267?6=<3:1m<;%015?487>59;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77=<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??5783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<8950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`240>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm117:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99?j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f462j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>:c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<04d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68?:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a5506290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==8=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb0250?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??6483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77>?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`2431=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<;650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99<26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm114b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>9b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f461k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd68?l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>06294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==9>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a551529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb0247?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg77?<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??7783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<:950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`242>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm115:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e99=j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f460j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>8c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<06d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd681:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a55>6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==6=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb02;0?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??8483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg770?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`24=1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:<5650;;94?6|,:i:6>li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99226=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66sm11:b>5<>290;w)=l1;1ab>N4kk1C?n=4$302>6=n0=0;66g76;29?l>?2900e5750;9j576=831b=?m50;9j567=831d?ll50;9l7de=831vn<>7b;290?6=8r.8o<4=129K7fd<@:i87)<=1;08m`>=831b=?>50;9j567=831d?ll50;9~f46?k3:157>50z&0g4<4jo1C?nl4H2a0?!459390e5:50;9j<3<722c347>5;h::>5<5<5<5<5<5}#;j;1?oh4H2aa?M5d;2.9><4<;h:7>5<>o?13:17d?=0;29?l75k3:17d?<1;29?j5fj3:17b=nc;29?xd681l1<7:50;2x 6e62;;87E=lb:J0g6=#:;;1>6gj8;29?l7583:17d?<1;29?j5fj3:17pl>08294??=83:p(>m>:2`e?M5dj2B8o>5+23397>o?<3:17d69:188m=>=831b444?::k265<722c:>n4?::k274<722e8mo4?::m0ef<722wi==7>:187>5<7s-9h=7<>3:J0gg=O;j90(?<>:39ja=<722c:>=4?::k274<722e8mo4?::a55?529026=4?{%1`5?5en2B8oo5G3b18 7462:1b494?::k;2?6=3`236=44i9;94?=n9;:1<75f13a94?=n9:;1<75`3``94?=h;hi1<75rb02:7?6=<3:1m<;%015?459;294~"4k808nk5G3b`8L6e43-89=7=4i9694?=n0?0;66g78;29?l>>2900e<:188k6ge2900c>ol:188yg771<0;694?:1y'7f7=:890D>mm;I1`7>"5:8097dk7:188m4472900e<=>:188k6ge2900qo??9783><<729q/?n?53cd8L6ee3A9h?6*=2080?l>32900e5850;9j<=<722c357>5;h314?6=3`;9o7>5;h305?6=3f9jn7>5;n1bg?6=3th:<4950;694?6|,:i:6??<;I1`f>N4k:1/>??52:kf5;h305?6=3f9jn7>5;|`24<>=8331<7>t$2a2>6da3A9hn6F5;h:5>5<>o6:90;66g>2b83>>o6;80;66a>i4ij0;66sm11;:>5<3290;w)=l1;027>N4kk1C?n=4$302>7=nm10;66g>2183>>o6;80;66a>{e993j6=46:183!5d939ij6F5f8583>>o?>3:17d67:188m=?=831b=?>50;9j57e=831b=>?50;9l7dd=831d?lm50;9~f46>j3:187>50z&0g4<59:1C?nl4H2a0?!459380eh650;9j576=831b=>?50;9l7dd=831vn<>6c;29=?6=8r.8o<45<5<5<5}#;j;1><=4H2aa?M5d;2.9><4=;hg;>5<5<08d94?2=83:p(>m>:330?M5dj2B8o>5+23396>ob03:17d?=0;29?l7493:17b=nb;29?xd68h:1<7750;2x 6e62:hm7E=lb:J0g6=#:;;1?6g74;29?l>12900e5650;9j<<<722c:>=4?::k26f<722c:?<4?::m0eg<722e8mn4?::a55g6290?6=4?{%1`5?46;2B8oo5G3b18 7462;1bi54?::k265<722c:?<4?::m0eg<722wi==o=:18:>5<7s-9h=7=mf:J0gg=O;j90(?<>:29j<1<722c3:7>5;h:;>5<54;294~"4k809=>5G3b`8L6e43-89=7<4id:94?=n9;:1<75f12394?=h;hh1<75rb02b0?6=13:1m<;%015?51<75f8783>>o?03:17d66:188m4472900e<om:188k6gd2900qo??a483>1<729q/?n?52018L6ee3A9h?6*=2081?lc?2900e<om:188yg77i?0;644?:1y'7f7=;kl0D>mm;I1`7>"5:8087d6;:188m=0=831b454?::k;=?6=3`;9<7>5;h31g?6=3`;8=7>5;n1bf?6=3f9jo7>5;|`24d1=83>1<7>t$2a2>7743A9hn6F5;h314?6=3`;8=7>5;n1bf?6=3th:li;I1`f>N4k:1/>??53:k;0?6=3`2=6=44i9:94?=n000;66g>2183>>o6:j0;66g>3083>>i4ik0;66a>{e99k26=4;:183!5d938:?6F>o6:90;66g>3083>>i4ik0;66s|1c13>5<5sW;;n452d2c9567`6>=9:;0q~?m3283>7}Y99h=70j{t9k9?6=4={_33f0=:l=:1=>?4}r3a70<72;qU==l;;0;6?uQ11`1?8b303;8=6s|1c1;>5<5sW;;o<52d5c9567`1e=9:;0q~?m3c83>7}Y99hn70j;e;305>{t9k9h6=4={_33fa=:l<:1=>?4}r3a7a<72;qU==ll;5<5sW;;n<52d469567m7?<1:p5g252909wS?>029>04g=9>i0q~?m4283>7}Y98:970:>a;34f>{t9k>?6=4={_3244=:<8k1=:o4}r3a00<72;qU=<>?;<62e?7012wx=o:9:181[77nl1680;6?uQ11dg?826i3;<:6s|1c6;>5<5sW;;jn5240c9523:m7?83:p5g2f2909wS??f`9>04g=9>80q~?m4c83>7}Y99l270:>a;345>{t9k>h6=4={_33b==:<8k1=:>4}r3a0a<72;qU==h8;<62e?71n2wx=o:j:181[77n?1685<5sW;:34>:m7?6c:p5g352909wS?>099>04g=90h0q~?m5283>7}Y98:<70:>a;3:e>{t9k??6=4={_3243=:<8k1=474}r3a10<72;qU=<>:;<62e?7>02wx=o;9:181[768=1680;6?uQ11de?826i3;3?6s|1c7;>5<5sW;;j95240c952>:m7?9c:p5g3f2909wS?>e29>04g=90=0q~?m5c83>7}Y98o970:>a;3:1>{t9k?h6=4={_32a4=:<8k1=4:4}r3a1a<72;qU=;2wx=o;j:181[76ll1685<5sW;:hn5240c95<6:m7?7f:p5g052909wS?>d`9>04g=91n0q~?m6283>7}Y98n270:>a;3;g>{t9k>0;6?uQ10f6?826i3;346s|1c4;>5<5sW;:il5240c95=134>:m7?76:p5g0f2909wS?>e99>04g=91?0q~?m6c83>7}Y98o<70:>a;3;0>{t9ko0;6?uQ10fe?826i3;5<5sW;:h95240c952c:m7?8d:p5g15290:=6gc34>;57=nd:?74g<4im168=m53`f8916c2:ko70:?e;1b`>;38o08mi5240297db<5=;:6>l7;<626?5fl27?=94043=;hn019?9:2cg?826039jh63;1880ea=:<8k1?o74=427>6gc34?;97=nd:?643<4im169=953`f8906?2:ko70;?9;1b`>;28h08mi5251`97db<5<:h6>ok;<73`?5fl27>15`=;hn018??:2cg?836939jh63:1380ea=:=891?lj4=437>6gc34?:97=nd:?653<4im169<953`f8907?2:ko70;>9;1b`>;29h08mi5250`97db<5<;h6>ok;<72`?5fl27>=h414`=;hn0186d>34?3n7=m9:?6e`<4j0169i?53c;890`32:h2708>7;1a=>;1;h08n45264f97g?<5?2;6>l6;<4b7?5e127=o;42`?=;k301:>l:2`:?815n39i56385380f<=:?>?1?o74=6;;>6d>34=in7=m9:?4``<4j0164=?53c;89=432:h2706;7;1a=>;?>h08n4528`197g?<51nh6>l6;<;21?5e12728h4==1=;k3014oj:2`:?8?d939i5636d580f<=:1l=1?o74=8db>6d>34k;h7=m9:?b65<4j016m>=53c;89d212:h270o:9;1a=>;f>j08n452a6d97g?<5h396>l6;efd=;k301ljj:2`:?8ga939i563m0580f<=:j8=1?o74=c0b>6d>34h8h7=m9:?a15<4j016n;=53c;89g112:ko70l87;1b`>;e?108mi52b6;97db<5k=j6>ok;<`4f?5fl27i;n4f2b=;hn01o9j:2cg?8d0n39jh63m8180ea=:j1;1?lj4=c:1>6gc34h3?7=nd:?a<1<4im16n5;53`f89g>12:ko70l77;1b`>;e0108mi52b9;97db<5k2j6>ok;<`;f?5fl27i4n4f=b=;hn01o6j:2cg?8d?n39jh63m9180ea=:j0;1?lj4=c;1>6gc34h2?7=nd:?a=1<4im16n4;53`f89g?12:ko70l67;1b`>;e1108mi52b8;97db<5k3j6>ok;<`:f?5fl27i5n4fn39jh63ma180ea=:jh;1?lj4=cc1>6gc34hj?7=nd:?ae1<4im16nl;53`f89gg12:h270lk9;1b`>;elh08mi52be`97db<5knh6>ok;<`g`?5fl27ihh4fa`=;hn01ok?:2cg?8db939jh63me380ea=:jl91?lj4=cg7>6gc34hn97=nd:?aa3<4im16nh953`f89gc?2:ko70lj9;1b`>;emh08mi52bd`97db<5koh6>ok;<`f`?5fl27iih4f``=;hn01oh?:2cg?8da939jh63mf380ea=:jo91?lj4=cd7>6gc34hm97=nd:?ab3<4im16nk953`f89g`?2:ko70li9;1b`>;enh08mi52bg`97db<5klh6>ok;<`e`?5fl27ijh4fc`=;hn01n>?:2cg?8e7939jh63l0380ea=:k991?lj4=b27>6gc34i;97=nd:?`43<4im16o=953`f89f6?2:ko70m?9;1b`>;d8h08mi52c1`97db<5j:h6>ok;g5`=;hn01n??:2cg?8e6939jh63l1380ea=:k891?lj4=b37>6gc34i:97=nd:?`53<4im16o<953`f89f7?2:ko70m>9;1b`>;d9h08mi52c0`97db<5j;h6>ok;g4`=;hn01n6gc34i997=nd:?`63<4im16o?953`f89f4?2:ko70m=9;1b`>;d:h08mi52c3`97db<5j8h6>ok;h4g7`=;hn01n=?:2cg?8e4939jh63l3380ea=:k:91?lj4=b17>6gc34i897=nd:?`73<4im16o>953`f89f2a2;;h70m81;02g>;dlm08mi52ced964b<5jo=6>ok;g`?=;k201nkj:2`;?8ebn39jh63lf180f==:ko;1?o64=bd1>6gc34im?7=m8:?`b1<4im16ok;53`f89f`12:ko70mi7;1b`>;dn108mi52d1197db<5m:?6>ok;`51=;hn01i?;:33g?xu6j>91<7:jaz\24d`<5:oi6<;38>0n463;098f<>;3800n463;0`8f<>;38k0n463;0b8f<>;38m0n463;0d8f<>;38o0n463;118f<>;3980n463;138f<>;39:0n463;158f<>;39<0n463;178f<>;39>0n463;198f<>;3900n463;1`826f=:<>n1i55246g9a==:<081i5524819a==:<0>1i5524879a==:<0<1i5524859a==:<021i55248;9a==:<0k1i55248`9a==:<0i1i55248f9a==:<0o1i55248d9a==:1i5524`79a==:2b9>0`7=9;i019k=:00`?82b;3;9o63;e5826f=:44d34>n;7?=c:?7a=<6:j168h7513a891cf288h70:jb;31g>;3mj0:>n524df957e<5=on6<2b9>0c7=9;i019h=:00`?82a;3;9o63;f5826f=:>6<4o4>2b9>1dc=9;i018j>:00`?83a<3;9o63916826f=:>:k1=?m4=77g>44d34<3<7?=c:?5e6<6:j16:n8513a893c>288h709?c;31g>;0:o0:>n52740957e<5>=>6<2b9>3ac=9;i015>>:00`?8>5<3;9o63746826f=:0?k1=?m4=9c0>44d342oo7?=c:?:50<6:j1659k513a89<>0288h707ne;31g>;>k80:>n529e6957e<50o<6<2b9>e76=9;i01l=<:00`?8g3>3;9o63n58826f=:i?i1=?m4=`5e>44d34k2>7?=c:?be0<6:j16mo6513a89dee288h70oke;31g>;fn80:>n52b16957e<5k;<6<2b9>f06=9;i01o8<:00`?8d0>3o370l87;g;?8d003o370l89;g;?8d0i3o370l8b;g;?8d0k3o370l8d;g;?8d0m3o370l8f;g;?8d?83o370l71;g;?8d?:3o370l73;g;?8d?<3o370l75;g;?8d?>3o370l77;g;?8d?03o370l79;g;?8d?i3o370l7b;g;?8d?k3o370l7d;g;?8d?m3o370l7f;g;?8d>83o370l61;g;?8d>:3o370l63;g;?8d><3o370l65;g;?8d>>3o370l67;g;?8d>03o370l69;g;?8d>i3o370l6b;g;?8d>k3o370l6d;g;?8d>m3o370l6f;g;?8df83o370ln1;g;?8df:3o370ln3;g;?8df<3o370ln5;g;?8df>3;9o63md88f<>;elh0n463mdc8f<>;elj0n463mde8f<>;ell0n463mdg8f<>;em90n463me08f<>;em;0n463me28f<>;em=0n463me48f<>;em?0n463me68f<>;em10n463me88f<>;emh0n463mec8f<>;emj0n463mee8f<>;eml0n463meg8f<>;en90n463mf08f<>;en;0n463mf28f<>;en=0n463mf48f<>;en?0n463mf68f<>;en10n463mf88f<>;enh0n463mfc8f<>;enj0n463mfe8f<>;enl0n463mfg8f<>;d890n463l008f<>;d8;0n463l028f<>;d8=0n463l048f<>;d8?0n463l068f<>;d810n463l088f<>;d8h0n463l0c8f<>;d8j0n463l0e8f<>;d8l0n463l0g8f<>;d990n463l108f<>;d9;0n463l128f<>;d9=0n463l148f<>;d9?0n463l168f<>;d910n463l188f<>;d9h0n463l1c8f<>;d9j0n463l1e8f<>;d9l0n463l1g8f<>;d:90n463l208f<>;d:;0n463l228f<>;d:=0n463l248f<>;d:?0n463l268f<>;d:10n463l288f<>;d:h0n463l2c8f<>;d:j0n463l2e8f<>;d:l0n463l2g8f<>;d;90n463l308f<>;d;;0n463l328f<>;d;=0n463l348f<>;d;?0n463l368f<>;dlm0n463ldd8f<>;dm90n463le08f<>;dm;0n463le28f<>;dm=0n463le48f<>;dm?0n463le68f<>;dm10n463le88f<>;dmh0n463lec8f<>;dmj0n463lee8f<>;dml0n463leg8f<>;dn90n463lf08f<>;dn;0n463lf28f<>;dn=0n463lf48f<>;dn?0n463lf68f<>;dn10n463k028f<>;c8=0n463k048f<>;c8?0n463k068f<>;c810n463k088f<>;c;;0:>n52d219a==:l:>1=?m4=e16>`><5m9=6<2b9>`6d=m116h>m513a89a5c2l201i=j:00`?8b4n3o370j;0;31g>;c<80n463k43826f=:l=91i552d56957e<5m>>6h64=e65>44d34n?;7k7;`1e=9;i01i:k:d:89a2b288h70j;f;g;?8b283;9o63k508f<>;c=;0:>n52d419a==:l<>1=?m4=e76>`><5m?=6<47?=c:?g1<2b9>`0d=m116h8m513a89a3c2l201i;j:00`?8b2n3o370j90;31g>;c>80n463k63826f=:l?91i552d76957e<5m<>6h64=e45>44d34n=;7k7;`3e=9;i01i8k:d:89a0b288h70j9f;g;?8b083;9o63k708f<>;c?;0:>n52d619a==:l>>1=?m4=e56>`><5m==6<2b9>`2d=m116h:m513a89a1c2l201i9j:00`?8b0n3o370j70;31g>;c080n463k83826f=:l191i552d96957e<5m2>6h64=e:5>44d34n3;7k7;`=e=9;i01i6k:d:89a>b288h70j7f;g;?8b>83;9o63k908f<>;c1;0:>n52d819a==:l0>1=?m4=e;6>`><5m3=6<2b9>`n3o370jn0;31g>;ci80n463ka3826f=:lh91i552d`6957e<5mk>6h64=ec5>44d34nj;7k7;`de=9;i01iok:d:89agb288h70jnf;g;?8be83;9o63kb08f<>;cj;0:>n52dc19a==:lk>1=?m4=e`6>`><5mh=6<2b9>`gd=m116hom513a89adc2l201ilj:00`?8ben3o370jl0;31g>;ck80n463kc3826f=:lj91i552db6957e<5mi>6h64=ea5>44d34nh;7k7;`fe=9;i01imk:d:89aeb288h70jlf;g;?8bc83;9o63kd08f<>;cl;0:>n52de19a==:lm>1=?m4=ef6>`><5mn=6<2b9>`ad=m116him513a89abc2l201ijj:00`?8bcn3o370jj0;31g>;cm80n463ke3826f=:ll91i552dd6957e<5mo>6h64=eg5>44d34nn;7k7;``e=9;i01ikk:d:89acb288h70jjf;g;?8ba83;9o63kf08f<>;cn;0:>n52dg19a==:lo>1=?m4=ed6>`><5ml=6<2b9>`cd=m116hkm513a89a`c2l201ihj:00`?8ban3o370k?0;31g>;b880n463j03826f=:m991i552e16957e<5l:>6h64=d25>44d34o;;7k7;a5e=9;i01h>k:d:89`6b288h70k?f;g;?8c683;9o63j108f<>;b9;0:>n52e019a==:m8>1=?m4=d36>`><5l;=6<2b9>a4d=m116i;b:80n463j23826f=:m;91i552e36957e<5l8>6h64=d05>44d34o9;7k7;44j8:?f6d<6:j16i?l5e99>a7e=9;i01h;b;;0:>n52e219a==:m:>1=?m4=d16>`><5l9=6<2b9>a6d=m116i>m513a89`5c2l201h=j:00`?8c4n3o370k;0;31g>;b<80n463j43826f=:m=91i552e56957e<5l>>6h64=d65>44d34o?;7k7;a1e=9;i01h:k:d:89`2b288h70k;f;g;?8c283;9o63j508f<>;b=;0:>n52e419a==:m<>1=?m4=d76>`><5l?=6<47?=c:?f1<2b9>a0d=m116i8m513a89`3c2l201h;j:00`?8c2n3o370k90;31g>;b>80n463j63826f=:m?91i552e76957e<5l<>6h64=d45>44d34o=;7k7;a3e=9;i01h8k:d:89`0b288h70k9f;g;?8c083;9o63j708f<>;b?;0:>n52e619a==:m>>1=?m4=d56>`><5l==6<2b9>a2d=m116i:m513a89`1c2l201h9j:00`?8c0n3o370k70;31g>;b080n463j83826f=:m191i552e96957e<5l2>6h64=d:5>44d34o3;7k7;a=e=9;i01h6k:d:89`>b288h70k7f;g;?8c>83;9o63j908f<>;b1;0:>n52e819a==:m0>1=?m4=d;6>`><5l3=6<2b9>an3o370kn0;31g>;bi80n463ja3826f=:mh91i552e`6957e<5lk>6h64=dc5>44d34oj;7k7;ade=9;i01hok:d:89`gb288h70knf;g;?8ce83;9o63jb08f<>;bj;0:>n52ec19a==:mk>1=?m4=d`6>`><5lh=6<2b9>agd=m116iom513a89`dc2l201hlj:00`?8cen3o370kl0;31g>;bk80n463jc3826f=:mj91i552eb6957e<5li>6h64=da5>44d34oh;7k7;afe=9;i01hmk:d:89`eb288h70klf;g;?8cc83;9o63jd08f<>;bl;0:>n52ee19a==:mm>1=?m4=df6>`><5ln=6<2b9>aad=m116iim513a89`bc2l201hjj:00`?8ccn3o370kj0;31g>;bm80n463je3826f=:ml91i552ed6957e<5lo>6h64=dg5>44d34on;7k7;a`e=9;i01hkk:d:89`cb288h70kjf;g;?8ca83;9o63jf08f<>;bn;0:>n52eg19a==:mo>1=?m4=dd6>`><5ll=6<2b9>acd=m116ikm513a89``c2l201hhj:00`?8can3o370h?0;31g>;a880n463i03826f=:n991i552f16957e<5o:>6h64=g25>44d34l;;7k7;b5e=9;i01k>k:d:89c6b288h70h?f;g;?8`683;9o63i108f<>;a9;0:>n52f019a==:n8>1=?m4=g36>`><5o;=6<2b9>b4d=m116j;a:80n463i23826f=:n;91i552f36957e<5o8>6h64=g05>44d34l9;7k7;44j8:?e6d<6:j16j?l5e99>b7e=9;i01k;a;;0:>n52f219a==:n:>1=?m4=g16>`><5o9=6<2b9>b6d=m116j>m513a89c5c2l201k=j:00`?8`4n3o370h;0;31g>;a<80n463i43826f=:n=91i552f56957e<5o>>6h64=g65>44d34l?;7k7;b1e=9;i01k:k:d:89c2b288h70h;f;g;?8`283;9o63i508f<>;a=;0:>n52f419a==:n<>1=?m4=g76>`><5o?=6<47?=c:?e1<2b9>b0d=m116j8m513a89c3c2l201k;j:00`?8`2n3o370h90;31g>;a>80n463i63826f=:n?91i552f76957e<5o<>6h64=g45>44d34l=;7k7;b3e=9;i01k8k:d:89c0b288h70h9f;g;?8`083;9o63i708f<>;a?;0:>n52f619a==:n>>1=?m4=g56>`><5o==6<2b9>b2d=m116j:m513a89c1c2l201k9j:00`?8`0n3o370h70;31g>;a080n463i83826f=:n191i552f96957e<5o2>6h64=g:5>44d34l3;7k7;b=e=9;i01k6k:d:89c>b288h70h7f;g;?8`>83;9o63i908f<>;a1;0:>n52f819a==:n0>1=?m4=g;6>`><5o3=6<2b9>bn3o370hn0;31g>;ai80n463ia3826f=:nh91i552f`6957e<5ok>6h64=gc5>44d34lj;7k7;bde=9;i01kok:d:89cgb288h70hnf;g;?8`e83;9o63ib08f<>;aj;0:>n52fc19a==:nk>1=?m4=g`6>`><5oh=6<2b9>bgd=m116jom513a89cdc2l201klj:00`?8`en3o370hl0;31g>;ak80n463ic3826f=:nj91i552fb6957e<5oi>6h64=ga5>44d34lh;7k7;bfe=9;i01kmk:d:89ceb288h70hlf;g;?8`c83;9o63id08f<>;al;0:>n52fe19a==:nm>1=?m4=gf6>`><5on=6<2b9>bad=m116jim513a89cbc2l201kjj:00`?8`cn3o370hj0;31g>;am80n463ie3826f=:nl91i552fd6957e<5oo>6h64=gg5>44d34ln;7k7;b`e=9;i01kkk:d:89ccb288h70hjf;g;?8`a83;9o63if08f<>;an;0:>n52fg19a==:no>1=?m4=gd6>`><5ol=6<2b9>bcd=m116jkm513a89c`c2l201khj:00`?8`an3o370??01826f=:99::6h64=0236?75k27:<==5e99>5563288h70??048f<>;689<1=?m4=0233?c?34;;<54>2b9>556>2l201<>?a;31g>;689h1i552112`>44d34;;?f;g;?877990:>n521132>`><58::>7?=c:?2445=m116==?;:00`?8779<0n463>004957e<58::;7k7;<335=<6:j16==?6:d:89466i3;9o63>00`9a==:99;h6<5543288h70??248f<>;68;<1=?m4=0213?c?34;;>54>2b9>554>2l201<>=a;31g>;68;h1i552110`>44d34;;>i4j8:?247c=9;i01<>=f;g;?877;90:>n521112>`><58:8>7?=c:?2465=m116===;:00`?877;<0n463>024957e<58:8;7k7;<337=<6:j16===6:d:89464i3;9o63>02`9a==:999h6<k513a89464n3o370??41826f=:99>:6h64=0276?75k27:<9=5e99>5523288h70??448f<>;68=<1=?m4=0273?c?34;;854>2b9>552>2l201<>;a;31g>;68=h1i552116`>44d34;;8i4j8:?241c=9;i01<>;f;g;?877=90:>n521172>`><58:>>7?=c:?2405=m116==;;:00`?877=<0n463>044957e<58:>;7k7;<331=<6:j16==;6:d:89462i3;9o63>04`9a==:99?h6<5503288h70??648f<>;68?<1=?m4=0253?c?34;;:54>2b9>550>2l201<>9a;31g>;68?h1i552114`>44d34;;:i4j8:?243c=9;i01<>9f;g;?877?90:>n521152>`><58:<>7?=c:?2425=m116==9;:00`?877?<0n463>064957e<58:<;7k7;<333=<6:j16==96:d:89460i3;9o63>06`9a==:99=h6<55>3288h70??848f<>;681<1=?m4=02;3?c?34;;454>2b9>55>>2l201<>7a;31g>;681h1i55211:`>44d34;;4i4j8:?24=c=9;i01<>7f;g;?877190:>n5211;2>`><58:2>7?=c:?24<5=m116==7;:00`?8771<0n463>084957e<58:2;7k7;<33==<6:j16==76:d:8946>i3;9o63>08`9a==:993h6<n3o370??a1826f=:99k:6h64=02b6?75k27:55g3288h70??a48f<>;68h<1=?m4=02b3?c?34;;m54>2b9>55g>2l20q~?m7583>607sW;;ml523d`9572<5:oi6<<:;<1ff?750278io4>229>7`d=9;=01>km:001?85bj3;9563442349no7?=8:?0af<6::16?hm5135896cd288970=jc;31=>;4mj0:><523df9576<5:l96<249>056=9;2019>?:000?82783;9;63;018267=:<9:1=?74=523>44634>;=7?=4:?744<6:<168=?513:89166288870:?1;313>;3880:>?52413957?<5=::6<<>;<636?75<27?249>054=9;2019>=:000?827:3;9;63;038267=:<981=?74=521>44634>;?7?=4:?746<6:<168==513:89164288870:?3;313>;38:0:>?52411957?<5=:86<<>;<633?75827?<54>219>05?=9;:019>n:003?827j3;9<63;0b8265=:<9n1=?>4=52f>44734>;j7?=0:?755<6:91683;314>;39=0:>=524079576<5=;=6<219>04?=9;:019?n:003?820l3;9<63;7d8265=:<081=?>4=5;0>44734>287?=0:?7=0<6:9168485132891?0288;70:68;314>;3100:>=5248c9576<5=3i6<219>04=5c0>44734>j87?=0:?7e0<6:9168l85132891g0288;70:n8;314>;3i00:>=524c19576<5=h?6<219>0g`=9;:019m8:003?82d03;9<63;d68265=:4=5fa>44734>oo7?=0:?7`a<6:=168ij5137891bc288370:kd;317>;3lm0:>:524ef9574<5=no6<<6;<6g`?75927?hh4>259>0ac=9;?019jj:00;?82cm3;9?63;dd8262=:44>34>oi7?=1:?7`c<6:=168ih5137891ba288370:kf;317>;3lo0:>:524ed9574<5=nm6<<6;<6gb?75927?i=4>259>0`6=9;?019k?:00;?82b83;9?63;e18262=:44>34>n<7?=1:?7a4<6:=168h?5137891c6288370:j1;317>;3m80:>:524d39574<5=o:6<<6;<6f5?75927?i?4>259>0`4=9;?019k=:00;?82b:3;9?63;e38262=:44>34>n>7?=1:?7a6<6:=168h=5137891c4288370:j3;317>;3m:0:>:524d19574<5=o86<<6;<6f7?75927?i94>259>0`2=9;?019k;:00;?82b<3;9?63;e58262=:1=?<4=5g7>44>34>n87?=1:?7a0<6:=168h;5137891c2288370:j5;317>;3m<0:>:524d79574<5=o>6<<6;<6f1?75927?i;4>259>0`0=9;?019k9:00;?82b>3;9?63;e78262=:44>34>n:7?=1:?7a2<6:=168h95137891c0288370:j7;317>;3m>0:>:524d59574<5=o<6<<6;<6f3?75927?i54>259>0`>=9;?019k7:00;?82b03;9?63;e98262=:44>34>n47?=1:?7a<<6:=168h75137891c>288370:j9;317>;3m00:>:524d;9574<5=o26<<6;<6f=?75927?il4>259>0`g=9;?019kn:00;?82bi3;9?63;e`8262=:44>34>nm7?=1:?7ag<6:=168hl5137891ce288370:jb;317>;3mk0:>:524d`9574<5=oi6<<6;<6ff?75927?in4>259>0`e=9;?019kl:00;?82bk3;9?63;eb8262=:44>34>no7?=1:?7aa<6:=168hj5137891cc288370:jd;317>;3mm0:>:524df9574<5=oo6<<6;<6f`?75927?ih4>259>0`c=9;?019kj:00;?82bm3;9?63;ed8262=:44>34>ni7?=1:?7ac<6:=168hh5137891ca288370:jf;317>;3mo0:>:524dd9574<5=om6<<6;<6fb?75927?j=4>259>0c6=9;?019h?:00;?82a83;9?63;f18262=:44>34>m<7?=1:?7b4<6:=168k?5137891`6288370:i1;317>;3n80:>:524g39574<5=l:6<<6;<6e5?75927?j?4>259>0c4=9;?019h=:00;?82a:3;9?63;f38262=:44>34>m>7?=1:?7b6<6:=168k=5137891`4288370:i3;317>;3n:0:>:524g19574<5=l86<<6;<6e7?75927?j94>259>0c2=9;?019h;:00;?82a<3;9?63;f58262=:1=?<4=5d7>44>34>m87?=1:?7b0<6:9168k85132891`0288;70:i8;314>;3n00:>=524gc9576<5=li6<219>0cc=9;:019hi:003?83783;9<63:008265=:=981=?>4=420>44734?;87?=0:?640<6:9169=8513289060288;70;?8;314>;2800:>=5251c9576<5<:i6<219>15c=9;:018>i:003?83683;9<63:108265=:=881=?>4=430>44734?:87?=0:?650<6:9169<8513289070288;70;>8;314>;2900:>=5250c9576<5<;i6<=i4>219>14c=9;:018?i:003?83583;9<63:208265=:=;81=?>4=466>44734?=47?=0:?6;19>0:>=5262c9576<5??o6<4>219>2f0=9;:01;k6:003?817k3;9<6382g8265=:?<81=?>4=656>44734=247?=0:?4fg<6:916;ik513289=66288;706=4;314>;?<>0:>=5287c9576<51k86<219>=1c=9;:01468:003?8?fm3;9<636c08265=:1m>1=?>4=8g4>447343mm7?=0:?b4a<6:916m?>513289d54288;70o;6;314>;f=00:>=52a7a9576<5h=m6<219>eg>=9;:01lmm:003?8gcm3;9<63nf08265=:j9>1=?>4=c34>44734h9m7?=0:?a7a<6:916n8>513289g04288;70ln6;314>;el00:>=52bec9576<5kni6<219>fac=9;:01oji:003?8db83;9<63me08265=:jl81=?>4=cg0>44734hn87?=0:?aa0<6:916nh8513289gc0288;70lj8;314>;em00:>=52bdc9576<5koi6<219>f`c=9;:01oki:003?8da83;9<63mf08265=:jo81=?>4=cd0>44734hm87?=0:?ab0<6:916nk8513289g`0288;70li8;314>;en00:>=52bgc9576<5kli6<219>fcc=9;:01ohi:003?8e783;9<63l008265=:k981=?>4=b20>44734i;87?=0:?`40<6:916o=8513289f60288;70m?8;314>;d800:>=52c1c9576<5j:i6<219>g5c=9;:01n>i:003?8e683;9<63l108265=:k881=?>4=b30>44734i:87?=0:?`50<6:916o<8513289f70288;70m>8;314>;d900:>=52c0c9576<5j;i6<219>g4c=9;:01n?i:003?8e583;9<63l208265=:k;81=?>4=b00>44734i987?=0:?`60<6:916o?8513289f40288;70m=8;314>;d:00:>=52c3c9576<5j8i6<i4>219>g7c=9;:01n4=b10>44734i887?=0:?`70<6:916o>8513289f50288;70m;3;02g>;dm6??k;4=1b9>g22=:8i01n9::33`?8e0>38:o63l76815f=:kmn1=?>4=bg3>44734in=7?=0:?`a7<6:916oh=513289fc3288;70mj5;1b`>;dm?0:>=52cd59576<5jo36<219>g`d=9;:01nkl:2cg?8ebl3;9<63led8265=:kll1=?>4=bd3>44734im=7?=0:?`b7<6:916ok=513289f`3288;70mi5;314>;dn?0:>=52cg59576<5jl36<`5c=:8n01i>i:33e?8b6838:o63k10815a=:l881>77c34n:87<>c:?g5<<59j16hc;02g>;c9m09=n52d0g964e<5m;m6??l;<4=1b9>`74=:8i01i<<:33`?8b5<38:o63k338265=:l:91=?>4=e17>44734n897?=0:?g73<6:916h>9513289a5?288;70j<9;314>;c;h0:>=52d2`9576<5m9h6<219>`6`=9;:01i:?:003?8b393;9<63k438265=:l=91=?>4=e67>44734n?97?=0:?g03<6:916h99513289a2?288;70j;9;314>;c=52d5`9576<5m>h6<219>`1`=9;:01i;?:003?8b293;9<63k538265=:l<91=?>4=e77>44734n>97?=0:?g13<6:916h89513289a3?288;70j:9;314>;c=h0:>=52d4`9576<5m?h6<219>`0`=9;:01i8?:003?8b193;9<63k638265=:l?91=?>4=e47>44734n=97?=0:?g23<6:916h;9513289a0?288;70j99;314>;c>h0:>=52d7`9576<5m219>`3`=9;:01i9?:003?8b093;9<63k738265=:l>91=?>4=e57>44734n<97?=0:?g33<6:916h:9513289a1?288;70j89;314>;c?h0:>=52d6`9576<5m=h6<219>`2`=9;:01i6?:003?8b?93;9<63k838265=:l191=?>4=e:7>44734n397?=0:?g<3<6:916h59513289a>?288;70j79;314>;c0h0:>=52d9`9576<5m2h6<219>`=`=9;:01i7?:003?8b>93;9<63k938265=:l091=?>4=e;7>44734n297?=0:?g=3<6:916h49513289a??288;70j69;314>;c1h0:>=52d8`9576<5m3h6<219>`<`=9;:01io?:003?8bf93;9<63ka38265=:lh91=?>4=ec7>44734nj97?=0:?ge3<6:916hl9513289ag?288;70jn9;314>;cih0:>=52d``9576<5mkh6<219>`d`=9;:01il?:003?8be93;9<63kb38265=:lk91=?>4=e`7>44734ni97?=0:?gf3<6:916ho9513289ad?288;70jm9;314>;cjh0:>=52dc`9576<5mhh6<219>`g`=9;:01im?:003?8bd93;9<63j038265=:m991=?>4=d27>44734o;97?=0:?f43<6:916i=9513289`6?288;70k?9;314>;b8h0:>=52e1`9576<5l:h6<219>a5`=9;:01h??:003?8c693;9<63j138265=:m891=?>4=d37>44734o:97?=0:?f53<6:916i<9513289`7?288;70k>9;314>;b9h0:>=52e0`9576<5l;h6<219>a4`=9;:01h4=d07>44734o997?=0:?f63<6:916i?9513289`4?288;70k=9;314>;b:h0:>=52e3`9576<5l8h6<h4>219>a7`=9;:01h=?:003?8c493;9<63j338265=:m:91=?>4=d17>44734o897?=0:?f73<6:916i>9513289`5?288;70k<9;314>;b;h0:>=52e2`9576<5l9h6<219>a6`=9;:01h:?:003?8c393;9<63jc38265=:mj91=?>4=da7>44734oh97?=0:?e63<6:916j?9513289c4?288;70h=9;314>;a:h0:>=52f3`9576<5o8h6<h4>219>b7`=9;:01k=?:003?8`493;9<63i338265=:n:91=?>4=g17>44734l897?=0:?e73<6:916j>9513289c5?288;70h<9;314>;a;h0:>=52f2`9576<5o9h6<219>b6`=9;:01k:?:003?8`393;9<63i438265=:n=91=?>4=g67>44734l?97?=0:?e03<6:916j99513289c2?288;70h;9;314>;a=52f5`9576<5o>h6<219>b1`=9;:01k;?:003?8`293;9<63i538265=:n<91=?>4=g77>44734l>97?=0:?e13<6:916j89513289c3?288;70h:9;314>;a=h0:>=52f4`9576<5o?h6<219>b0`=9;:01k8?:003?8`193;9<63i638265=:n?91=?>4=g47>44734l=97?=0:?e23<6:916j;9513289c0?288;70h99;314>;a>h0:>=52f7`9576<5o219>b3`=9;:01k9?:003?8`093;9<63i738265=:n>91=?>4=g57>44734l<97?=0:?e33<6:916j:9513289c1?288;70h89;314>;a?h0:>=52f6`9576<5o=h6<219>b2`=9;:01k6?:003?8`?93;9<63i838265=:n191=?>4=g:7>44734l397?=0:?e<3<6:916j59513289cc?288;70hj9;314>{t9k=>6=46{_3a6d=:;lo1?o64=53b>6e?34i>>7<>d:?`2d<59j16o;l520a89f0d2;;h70j?c;02b>;c8l09=n5rs0`42?6=9:qU==j8;<6:3?5fl27?55401>?>4=b74>77b34i>m7<>d:?`1f<59j16oh>53`f89fc62:ko70mj2;1b`>;dm:08mi52d079676<5m;=6??l;?4=b70>77b34i>87<>d:?`10<59m16o88520f89f3f2;;h70m:b;02g>;d=m09=k52c4g964b<5m:j6??j;`40=:8o0q~?m7983>d?4sW;;mo5Q174b?[71>01U=;87;_3522=Y9?<>7S?9659]53043W;=:?5Q1742?[71>91U=;;i;_351`=Y9??o7S?95b9]53163W;=;=5Q174e?[71>l1U=;8k;_352f=Y9?;_3;05=Y919m7S?73d9]5=5c3W;3?o5Q191b?[7?;01U=5=7;_3;72=Y919=7S?7349]5=533W;3?>5Q1911?[7?;91U=5l5Q190:?[7?:11U=5<8;_3;60=Y918?7S?7229]5=453W;3><5Q1903?[7?9o1U=5?j;_3;5a=Y91;h7S?7499]5=203W;38;5Q1966?[7?<=1U=5=l;_3;74=Y918=7S?71c9]5=7f3W;8h7S?82c9]524f3W;<>45Q160;?[70:>1U=:<9;_3460=Y9>8?7S?8389]525?3W;8n7S?8229]52453W;2o>5Q18a1?[7>k81U=4m?;_3:fc=Y90hn7S?6be9]5j11U=4l8;_3:f3=Y90h>7S?6b59]5io1U=4oj;_3:ea=Y90kh7S?6ac9]5i>1U=4o:;_3:e1=Y90k87S?6a39]51l1U=47k;_3:=f=Y90i37S?6c69]5jj1U=4l>;_3:e3=Y903i7S?69`9>7`?=:8301>km:2`2?85bj39i>636d3349nn7=m0:?0ag<69h16?hl53`d896ce2:h=70=jb;15b>;4mk08:i523d`973e<5:oi6>8m;<1ff?51i278io4<689>7`d=;?201>km:244?85bj39=963605349nn7=91:?0ag<4>916?hl534d896ce2:?n70=jb;16`>;4mk089n523d`970g<5:oi6>;6;<1ff?520278io4<569>7`d=;<<01>km:276?85bj39>863636349nn7=;f:?0ag<4h70=jb;17f>;4mk088l523d`971?<5:oi6>:7;<1ff?53?278io4<479>7`d=;>?01>km:257?85bj39617349nn7=96:?0ag<4=k16?hl5342896ce2:>>70=jb;13a>;4mk08>n;<1fg?5e9278in47`e=;k901>kl:2`6?85bk39i8636ga349no7=m6:?0af<4>o16?hm537f896cd2:;4mj08:l523da973?<5:oh6>87;<1fg?51?278in4<649>7`e=;?>01>kl:240?85bk39=>634=2g`>63a349no7=:e:?0af<4=m16?hm534a896cd2:?j70=jc;16=>;4mj0895523da9701<5:oh6>;9;<1fg?52=278in4<559>7`e=;<901>kl:271?85bk39>=6362c349no7=;c:?0af<4270=jc;17<>;4mj088:523da9710<5:oh6>9:;<1fg?50<278in4<729>7`e=;>801>kl:252?85bk39<<63637349no7=;5:?0af<48l16?hm531a896cd2::i70=jc;13e>;4mo09<>523g29675<5:l:6?><;<1e7?47;278j94>2c9>7c3=9;h01>hk:320?85am389?636d534>;<7=m3:?745<4j<168=>53c6891672:h;70:?0;32e>;38908mk5241297g0<5=:;6119>056=99l019>?:02f?82783;;h63;01824f=:<9:1==l4=523>46>34>;<7??8:?745<68>168=>51148916728:>70:?0;330>;3890:<>524129554<5=:;6<>>;<634?77827?<=4ie:?745189>056=982019>?:034?82783;::63;018250=:<9:1=<:4=523>46f34>;<7hi;<634?`334>;<7k6;<634?57m27?<=4<0b9>056=;9h019>?:22b?827839;563;01827f=:<9:1=>o4=523>45>34>;<7?<8:?745<6;>168=>512489167289>70:?0;300>;3890:88524129512<5=:;6<:<;<634?73:27?<=4>409>056=9=:019>?:01e?82783;8i63;01827a=:<9:1=>=4=522>6d634>;=7=m2:?744<4j:168=?53c7891662:h?70:?1;1a4>;3880:=l5241397d`<5=::6>l9;<635?d134>;=7l;;<635?76;27?<<4>109>057=98:019>>:02e?82793;;i63;00824a=:<9;1==m4=522>46e34>;=7??9:?744<681168=?51158916628:=70:?1;331>;3880:<9524139555<5=::6<>=;<635?77927?<<4>019>057=nl168=?5fe9>057=nj168=?5fc9>057=nh168=?5f89>057=n1168=?5f69>057=n?168=?5f49>057=n:168=?5f39>057=n8168=?5f19>057=mo168=?5ed9>057=mm168=?5eb9>057=mk168=?5e`9>057=983019>>:03;?82793;:;63;008253=:<9;1=<;4=522>47334>;=7??a:?744057=;9i019>>:22a?827939;m63;00804<=:<9;1=>m4=522>45f34>;=7?<9:?744<6;1168=?512589166289=70:?1;301>;3880:?9524139513<5=::6<:;;<635?73;27?<<4>439>057=9=;019>>:063?82793;8j63;00827`=:<9;1=>j4=522>45434>;>7=m1:?747<4j;168=<53c1891652:h>70:?2;1a0>;38;08n=52410954g<5=:96>oi;<636?5e>27?129>054=98;019>=:033?827:3;;j63;03824`=:<981==j4=521>46d34>;>7??b:?747<680168=<511:8916528:<70:?2;332>;38;0:<8524109552<5=:96<><;<636?77:27?009>054=99:019>=:gg891652on019>=:ga891652oh019>=:gc891652o3019>=:g:891652o=019>=:g4891652o?019>=:g1891652o8019>=:g3891652o:019>=:dd891652lo019>=:df891652li019>=:d`891652lk019>=:03:?827:3;:463;038252=:<981=<84=521>47234>;>7?>4:?747<68h168=<5fg9>054=n=168=<5e89>054=;9o019>=:22`?827:39;n63;03804d=:<981?=74=521>45d34>;>7?;38;0:?8524109562<5=:96<::;<636?73<27?429>054=9=8019>=:062?827:3;?<63;03827c=:<981=>k4=521>45c34>;>7?<3:?746<4j8168==53c0891642:h870:?3;1a1>;38:08n95241197g6<5=:864055=j?168==5b59>055=989019><:032?827;3;:<63;02824c=:<991==k4=520>46c34>;?7??c:?746<68k168==511;8916428:370:?3;333>;38:0:<;524119553<5=:86<>;;<637?77;27?<>4>039>055=99;019><:023?827;3ln70:?3;dg?827;3lh70:?3;da?827;3lj70:?3;d:?827;3l370:?3;d4?827;3l=70:?3;d6?827;3l870:?3;d1?827;3l:70:?3;d3?827;3om70:?3;gf?827;3oo70:?3;g`?827;3oi70:?3;gb?827;3;:563;02825==:<991=<94=520>47134>;?7?>5:?746<69=168==511c891642ol019><:g6891642l3019><:22f?827;39;o63;02804g=:<991?=o4=520>66>34>;?7?;38:0:?;524119563<5=:86<=;;<637?73=27?<>4>459>055=9=9019><:061?827;3;?=63;028205=:<991=>h4=520>45b34>;?7?;3?m08n55246g97db<5=296<0=0=:;901968:320?82?038;?63;888146=:<1k1>?=4=5:`>76434>3h7;31;08mi5248197db<5=3?6>ok;<6:1?5fl27?5;40db=9;h019l<:2cg?82e<39jh63;bd80ea=:6gc34>h47=m8:?7gg<6:k168nk513`891b6288i70:k2;31f>;3l:0:>o524e6957d<5=nh6>ok;<6g`?5e927?hi40ab=;k9019jk:2`6?82cl39i863;de80f5=:6ga34>oh7=m6:?7`a<4>o168ij537f891bc2:;3lm08:l524ef973?<5=no6>87;<6g`?51?27?hi4<649>0ab=;?>019jk:240?82cl39=>63;de8024=:4=5fg>63a34>oh7=:e:?7`a<4=m168ij534a891bc2:?j70:kd;16=>;3lm0895524ef9701<5=no6>;9;<6g`?52=27?hi4<559>0ab=;<9019jk:271?82cl39>=63;de800c=:62c34>oh7=;c:?7`a<4270:kd;17<>;3lm088:524ef9710<5=no6>9:;<6g`?50<27?hi4<729>0ab=;>8019jk:252?82cl39<<63;de8023=:63734>oh7=;5:?7`a<48l168ij531a891bc2::i70:kd;13=>;3lm08<5524ef9751<5=nn6>l>;<6ga?5e:27?hh40ac=;k?019jj:2`7?82cm39i<63;dd825d=:6d134>oi7=9f:?7``<4>m168ik537a891bb2:;3ll08:4524eg973><5=nn6>88;<6ga?51=27?hh4<659>0ac=;?9019jj:241?82cm39==63;dd8025=:63b34>oi7=:d:?7``<4=j168ik534c891bb2:?270:ke;16<>;3ll089:524eg9700<5=nn6>;:;<6ga?52<27?hh4<529>0ac=;<8019jj:272?82cm39?j63;dd800`=:62d34>oi7=;b:?7``<4370:ke;173>;3ll088;524eg9723<5=nn6>9;;<6ga?50;27?hh4<739>0ac=;>;019jj:253?82cm39=:63;dd801g=:4=5ff>62234>oi7=?e:?7``<48j168ik531`891bb2::270:ke;13<>;3ll08<:524ed97g7<5=nm6>l=;<6gb?5e;27?hk40a`=;k>019ji:2`3?82cn3;:m63;dg80ec=:g0<5=nm6o:4=5fe>60a34>oj7=9d:?7`c<4>j168ih537`891ba2:;3lo08:5524ed9731<5=nm6>8:;<6gb?51<27?hk4<629>0a`=;?8019ji:242?82cn39=<63;dg801c=:63c34>oj7=:c:?7`c<4=h168ih534;891ba2:?370:kf;163>;3lo089;524ed9703<5=nm6>;;;<6gb?52;27?hk4<539>0a`=;<;019ji:26e?82cn39?i63;dg800a=:62e34>oj7=;a:?7`c<4<0168ih535:891ba2:><70:kf;172>;3lo08;8524ed9722<5=nm6>9<;<6gb?50:27?hk4<709>0a`=;>:019ji:245?82cn39>n63;dg8015=:66b34>oj7=?c:?7`c<48k168ih531;891ba2::370:kf;133>;3lo0:?n524ed956g<5=o;6>l>;<6f4?5e:27?i=40`6=;k?019k?:2`7?82b839i<63;e1825d=:6d134>n<7l9;<6f4?d334>n<7=9f:?7a5<4>m168h>537a891c72:;3m908:4524d2973><5=o;6>88;<6f4?51=27?i=4<659>0`6=;?9019k?:241?82b839==63;e18025=:63b34>n<7=:d:?7a5<4=j168h>534c891c72:?270:j0;16<>;3m9089:524d29700<5=o;6>;:;<6f4?52<27?i=4<529>0`6=;<8019k?:272?82b839?j63;e1800`=:62d34>n<7=;b:?7a5<4535;891c72:>370:j0;173>;3m9088;524d29723<5=o;6>9;;<6f4?50;27?i=4<739>0`6=;>;019k?:253?82b839=:63;e1801g=:4=5g3>62234>n<7=?e:?7a5<48j168h>531`891c72::270:j0;13<>;3m908<:524d2956e<5=o;6<=n;<6f5?5e927?i<40`7=;k9019k>:2`6?82b939i863;e080f5=:6ga34>n=7=m6:?7a4<48l168h?531a891c62::i70:j1;13=>;3m808<:524d097g7<5=o96>l=;<6f6?5e;27?i?40`4=;k>019k=:2`3?82b:3;:m63;e380ec=:66b34>n>7=?c:?7a7<48k168h<531;891c52::<70:j3;1a5>;3m:08n?524d197g5<5=o86>l:;<6f7?5e<27?i>40`5=98k019k<:2ce?82b;39i:63;e2804`=:66e34>n?7=?9:?7a6<48>168h:53c3891c32:h970:j4;1a7>;3m=08n8524d697g2<5=o?6>l?;<6f0?76i27?i940`2=;k<019k;:22f?82b<39;o63;e5804g=:1?=74=5g7>66034>n97=m1:?7a0<4j;168h;53c1891c22:h>70:j5;1a0>;3m<08n=524d7954g<5=o>6>oi;<6f1?5e>27?i84<0d9>0`3=;9i019k::22a?82b=39;563;e48042=:6d534>n:7=m3:?7a3<4j<168h853c6891c12:h;70:j6;32e>;3m?08mk524d497g0<5=o=6>>j;<6f2?57k27?i;4<0c9>0`0=;93019k9:224?82b?39i=63;e680f7=:6d234>n;7=m4:?7a2<4j9168h9510c891c02:km70:j7;1a2>;3m>08>m;<6f3?57127?i:4<069>0`>=;k;019k7:2`1?82b039i?63;e980f0=:6d734>n47?>a:?7a=<4io168h653c4891c?2::n70:j8;13g>;3m108>8;<6f=?5e927?i440`?=;k9019k6:2`6?82b139i863;e880f5=:6ga34>n57=m6:?7a<<48l168h7531a891c>2::i70:j9;13=>;3m008<:524dc97g7<5=oj6>l=;<6fe?5e;27?il40`g=;k>019kn:2`3?82bi3;:m63;e`80ec=:66b34>nm7=?c:?7ad<48k168ho531;891cf2::<70:jb;1a5>;3mk08n?524d`97g5<5=oi6>l:;<6ff?5e<27?io40`d=98k019km:2ce?82bj39i:63;ec8a2>;3mk0i863;ec804`=:66e34>nn7=?9:?7ag<48>168hl512a891ce289j70:jc;1a5>;3mj08n?524da97g5<5=oh6>l:;<6fg?5e<27?in40`e=98k019kl:2ce?82bk39i:63;eb8a2>;3mj0i863;eb804`=:66e34>no7=?9:?7af<48>168hm512a891cd289j70:jd;1a5>;3mm08n?524df97g5<5=oo6>l:;<6f`?5e<27?ii40`b=98k019kk:2ce?82bl39i:63;ee8a2>;3mm0i863;ee804`=:66e34>nh7=?9:?7aa<48>168hj512a891cc289j70:je;1a5>;3ml08n?524dg97g5<5=on6>l:;<6fa?5e<27?ih40`c=98k019kj:2ce?82bm39i:63;ed8a2>;3ml0i863;ed804`=:66e34>ni7=?9:?7a`<48>168hk512a891cb289j70:jf;1a5>;3mo08n?524dd97g5<5=om6>l:;<6fb?5e<27?ik40``=98k019ki:2ce?82bn39i:63;eg8a2>;3mo0i863;eg804`=:66e34>nj7=?9:?7ac<48>168hh512a891ca289j70:i0;1a5>;3n908n?524g297g5<5=l;6>l:;<6e4?5e<27?j=40c6=98k019h?:2ce?82a839i:63;f18a2>;3n90i863;f1804`=:66e34>m<7=?9:?7b5<48>168k>512a891`7289j70:i1;1a5>;3n808n?524g397g5<5=l:6>l:;<6e5?5e<27?j<40c7=98k019h>:2ce?82a939i:63;f08a2>;3n80i863;f0804`=:66e34>m=7=?9:?7b4<48>168k?512a891`6289j70:i2;1a5>;3n;08n?524g097g5<5=l96>l:;<6e6?5e<27?j?40c4=98k019h=:2ce?82a:39i:63;f38a2>;3n;0i863;f3804`=:66e34>m>7=?9:?7b7<48>168k<512a891`5289j70:i3;1a5>;3n:08n?524g197g5<5=l86>l:;<6e7?5e<27?j>40c5=98k019h<:2ce?82a;39i:63;f28a2>;3n:0i863;f2804`=:66e34>m?7=?9:?7b6<48>168k=512a891`4289j70:i4;1a5>;3n=08n?524g697g5<5=l?6>l:;<6e0?5e<27?j940c2=98k019h;:2ce?82a<39i:63;f58a2>;3n=0i863;f5804`=:1?=m4=5d7>66e34>m87=?9:?7b1<48>168k:512a891`3289j70:i5;1b`>;3n?08mi524g597db<5=l36>ok;<6e=?5fl27?jl40cd=;hn019hl:2cg?82al39jh63;fd80ea=:6gc34?;=7=nd:?647<4im169==53`f89gec288i70lk8;31f>;d<;0:>o52d209<==:l:814452d269<1=:l:>14;52d269<<=:l:<14;52d249<==:l:<14452d2:9<1=:l:214;52d2:9<==:l:214452d2c9<1=:l:k14;52d2c9<==:l:k14452d2a9<1=:l:i14;52d2a9<==:l:i14452d2g9<1=:l:o14;52d2g9<==:l:o14452d529<1=:l=:14;52d529<==:l=:14452d509<1=:l=814;52d509<==:l=814452d569<1=:l=>14;52d569<==:l=>14452d549<1=:l=<14;52d549<==:l=<14452d5:9<1=:l=214;52d5:9<==:l=214452d5c9<1=:l=k14;52d5c9<==:l=k14452d5a9<1=:l=i14;52d5a9<==:l=i14452d5g9<1=:l=o14;52d5g9<==:l=o14452d429<1=:l<:14;52d429<==:l<:14452d409<1=:l<814;52d409<==:l<814452d469<1=:l<>14;52d469<==:l<>14452d449<1=:l<<14;52d449<==:l<<14452d4:9<1=:l<214;52d4:9<==:l<214452d4c9<1=:l14;52d769<==:l?>14452d749<3=:l?<14552d749<<=:l?214;52d7:9<==:l?214452d7c9<3=:l?k14552d7c9<<=:l?i14;52d7a9<==:l?i14452d7g9<3=:l?o14552d7g9<<=:l>:14;52d629<==:l>:14452d609<3=:l>814552d609<<=:l>>14;52d669<==:l>>14452d649<3=:l><14552d649<<=:l>214;52d6:9<==:l>214452d6c9<3=:l>k14552d6c9<<=:l>i14;52d6a9<==:l>i14452d6g9<3=:l>o14552d6g9<<=:l1:14;52d929<==:l1:14452d909<3=:l1814552d909<<=:l1>14;52d969<==:l1>14452d949<3=:l1<14552d949<<=:l1214;52d9:9<==:l1214452d9c9<3=:l1k14552d9c9<<=:l1i14;52d9a9<==:l1i14452d9g9<3=:l1o14552d9g9<<=:l0:14;52d829<==:l0:14452d809<3=:l0814552d809<<=:l0>14;52d869<==:l0>14452d849<3=:l0<14552d849<<=:l0214;52d8:9<==:l0214452d8c9<3=:l0k14552d8c9<<=:l0i14;52d8a9<==:l0i14452d8g9<3=:l0o14552d8g9<<=:lh:14;52d`29<==:lh:14452d`09<3=:lh814552d`09<<=:lh>14;52d`69<==:lh>14452d`49<3=:lh<14552d`49<<=:lh214;52d`:9<==:lh214452d`c9<3=:lhk14552d`c9<<=:lhi14;52d`a9<==:lhi14452d`g9<3=:lho14552d`g9<<=:lk:14;52dc29<==:lk:14452dc09<3=:lk814552dc09<<=:lk>14;52dc69<==:lk>14452dc49<3=:lk<14552dc49<<=:lk214;52dc:9<==:lk214452dcc9<3=:lkk14552dcc9<<=:lki14;52dca9<==:lki14452dcg9<3=:lko14552dcg9<<=:lj:14;52db29<==:lj:14452db09<1=:lj814;52db09<==:lj814452db69<1=:lj>14;52db69<==:lj>14452db49<1=:lj<14;52db49<==:lj<14452db:9<1=:lj214;52db:9<==:lj214452dbc9<1=:ljk14;52dbc9<==:ljk14452dba9<1=:lji14;52dba9<==:lji14452dbg9<1=:ljo14;52dbg9<==:ljo14452de29<1=:lm:14;52de29<==:lm:14452de09<1=:lm814;52de09<==:lm814452de69<1=:lm>14;52de69<==:lm>14452de49<1=:lm<14;52de49<==:lm<14452de:9<1=:lm214;52de:9<==:lm214452dec9<1=:lmk14;52dec9<==:lmk14452dea9<1=:lmi14;52dea9<==:lmi14452deg9<1=:lmo14;52deg9<==:lmo14452dd29<1=:ll:14;52dd29<==:ll:14452dd09<1=:ll814;52dd09<==:ll814452dd69<1=:ll>14;52dd69<==:ll>14452dd49<1=:ll<14;52dd49<==:ll<14452dd:9<1=:ll214;52dd:9<==:ll214452ddc9<1=:llk14;52ddc9<==:llk14452dda9<1=:lli14;52dda9<==:lli14452ddg9<1=:llo14;52ddg9<==:llo14452dg29<1=:lo:14;52dg29<==:lo:14452dg09<1=:lo814;52dg09<==:lo814452dg69<1=:lo>14;52dg69<==:lo>14452dg49<1=:lo<14;52dg49<==:lo<14452dg:9<1=:lo214;52dg:9<==:lo214452dgc9<1=:lok14;52dgc9<==:lok14452dga9<1=:loi14;52dga9<==:loi14452dgg9<1=:loo14;52dgg9<==:loo14452e129<1=:m9:14;52e129<==:m9:14452e109<1=:m9814;52e109<==:m9814452e169<1=:m9>14;52e169<==:m9>14452e149<1=:m9<14;52e149<==:m9<14452e1:9<1=:m9214;52e1:9<==:m9214452e1c9<1=:m9k14;52e1c9<==:m9k14452e1a9<1=:m9i14;52e1a9<==:m9i14452e1g9<1=:m9o14;52e1g9<==:m9o14452e029<1=:m8:14;52e029<==:m8:14452e009<1=:m8814;52e009<==:m8814452e069<1=:m8>14;52e069<==:m8>14452e049<1=:m8<14;52e049<==:m8<14452e0:9<1=:m8214;52e0:9<==:m8214452e0c9<1=:m8k14;52e0c9<==:m8k14452e0a9<1=:m8i14;52e0a9<==:m8i14452e0g9<1=:m8o14;52e0g9<==:m8o14452e329<1=:m;:14;52e329<==:m;:14452e309<1=:m;814;52e309<==:m;814452e369<1=:m;>14;52e369<==:m;>14452e349<1=:m;<14;52e349<==:m;<14452e3:9<1=:m;214;52e3:9<==:m;214452e3c9<1=:m;k14;52e3c9<==:m;k14452e3a9<1=:m;i14;52e3a9<==:m;i14452e3g9<1=:m;o14;52e3g9<==:m;o14452e229<1=:m::14;52e229<==:m::14452e209<1=:m:814;52e209<==:m:814452e269<1=:m:>14;52e269<==:m:>14452e249<1=:m:<14;52e249<==:m:<14452e2:9<1=:m:214;52e2:9<==:m:214452e2c9<1=:m:k14;52e2c9<==:m:k14452e2a9<1=:m:i14;52e2a9<==:m:i14452e2g9<1=:m:o14;52e2g9<==:m:o14452e529<1=:m=:14;52e529<==:m=:14452e509<1=:m=814;52e509<==:m=814452e569<1=:m=>14;52e569<==:m=>14452e549<1=:m=<14;52e549<==:m=<14452e5:9<1=:m=214;52e5:9<==:m=214452e5c9<1=:m=k14;52e5c9<==:m=k14452e5a9<1=:m=i14;52e5a9<==:m=i14452e5g9<1=:m=o14;52e5g9<==:m=o14452e429<1=:m<:14;52e429<==:m<:14452e409<1=:m<814;52e409<==:m<814452e469<1=:m<>14;52e469<==:m<>14452e449<1=:m<<14;52e449<==:m<<14452e4:9<1=:m<214;52e4:9<==:m<214452e4c9<1=:m14552e749<1=:m?<14552e7:9<1=:m?214552e7c9<1=:m?k14552e7a9<1=:m?i14552e7g9<1=:m?o14552e629<1=:m>:14552e609<1=:m>814552e669<1=:m>>14552e649<1=:m><14552e6:9<1=:m>214552e6c9<1=:m>k14552e6a9<1=:m>i14552e6g9<1=:m>o14552e929<1=:m1:14552e909<1=:m1814552e969<1=:m1>14552e949<1=:m1<14552e9:9<1=:m1214552e9c9<1=:m1k14552e9a9<1=:m1i14552e9g9<1=:m1o14552e829<1=:m0:14552e809<1=:m0814552e869<1=:m0>14552e849<1=:m0<14552e8:9<1=:m0214552e8c9<1=:m0k14552e8a9<1=:m0i14552e8g9<1=:m0o14552e`29<1=:mh:14552e`09<1=:mh814552e`69<1=:mh>14552e`49<1=:mh<14552e`:9<1=:mh214552e`c9<1=:mhk14552e`a9<1=:mhi14552e`g9<1=:mho14552ec29<1=:mk:14552ec09<1=:mk814552ec69<1=:mk>14552ec49<1=:mk<14552ec:9<1=:mk214552ecc9<1=:mkk14552eca9<1=:mki14552ecg9<1=:mko14552eb29<1=:mj:14552eb09<1=:mj814;52eb69<1=:mj>14;52eb69<==:mj>14452eb49<1=:mj<14;52eb49<==:mj<14452eb:9<1=:mj214;52eb:9<==:mj214452ebc9<1=:mjk14;52ebc9<==:mjk14452eba9<1=:mji14;52eba9<==:mji14452ebg9<1=:mjo14;52ebg9<==:mjo14452ee29<1=:mm:14;52ee29<==:mm:14452ee09<1=:mm814;52ee09<==:mm814452ee69<1=:mm>14;52ee69<==:mm>14452ee49<1=:mm<14;52ee49<==:mm<14452ee:9<1=:mm214;52ee:9<==:mm214452eec9<1=:mmk14;52eec9<==:mmk14452eea9<1=:mmi14;52eea9<==:mmi14452eeg9<1=:mmo14;52eeg9<==:mmo14452ed29<1=:ml:14;52ed29<==:ml:14452ed09<1=:ml814;52ed09<==:ml814452ed69<1=:ml>14;52ed69<==:ml>14452ed49<1=:ml<14;52ed49<==:ml<14452ed:9<1=:ml214;52ed:9<==:ml214452edc9<1=:mlk14;52edc9<==:mlk14452eda9<1=:mli14;52eda9<==:mli14452edg9<1=:mlo14;52edg9<==:mlo14452eg29<1=:mo:14;52eg29<==:mo:14452eg09<1=:mo814;52eg09<==:mo814452eg69<1=:mo>14;52eg69<==:mo>14452eg49<1=:mo<14;52eg49<==:mo<14452eg:9<1=:mo214;52eg:9<==:mo214452egc9<1=:mok14;52egc9<==:mok14452ega9<1=:moi14;52ega9<==:moi14452egg9<1=:moo14;52egg9<==:moo14452f129<1=:n9:14;52f129<==:n9:14452f109<1=:n9814;52f109<==:n9814452f169<1=:n9>14;52f169<==:n9>14452f149<1=:n9<14;52f149<==:n9<14452f1:9<1=:n9214;52f1:9<==:n9214452f1c9<1=:n9k14;52f1c9<==:n9k14452f1a9<1=:n9i14;52f1a9<==:n9i14452f1g9<1=:n9o14;52f1g9<==:n9o14452f029<1=:n8:14;52f029<==:n8:14452f009<1=:n8814;52f009<==:n8814452f069<1=:n8>14;52f069<==:n8>14452f049<1=:n8<14;52f049<==:n8<14452f0:9<1=:n8214;52f0:9<==:n8214452f0c9<1=:n8k14;52f0c9<==:n8k14452f0a9<1=:n8i14;52f0a9<==:n8i14452f0g9<1=:n8o14;52f0g9<==:n8o14452f329<1=:n;:14;52f329<==:n;:14452f309<1=:n;814;52f309<==:n;814452f369<1=:n;>14;52f369<==:n;>14452f349<<=:n;214452f3c9<<=:n;i14452f3g9<<=:n::14452f209<<=:n:>14452f249<<=:n:214452f2c9<<=:n:i14452f2g9<<=:n=:14452f509<<=:n=>14452f549<<=:n=214452f5c9<<=:n=i14452f5g9<<=:n<:14452f409<<=:n<>14452f449<<=:n<214452f4c9<<=:n14452f749<<=:n?214452f7c9<<=:n?i14452f7g9<<=:n>:14452f609<<=:n>>14452f649<<=:n>214452f6c9<<=:n>i14452f6g9<<=:n1:14452f909<<=:n1>14452f949<==:n1214952f9:9<3=:n1214552f9:9<<=:n1k14952f9c9<3=:n1k14552f9c9<<=:n1i14952f9a9<3=:n1i14552f9a9<<=:n1o14952f9g9<3=:n1o14552f9g9<<=:n0:14952f829<3=:n0:14552f829<<=:n0814952f809<3=:n0814552f809<<=:n0>14952f869<3=:n0>14552f869<<=:n0<14952f849<3=:n0<14552f849<<=:n0214952f8:9<3=:n0214552f8:9<<=:n0k14952f8c9<3=:n0k14552f8c9<<=:n0i14952f8a9<3=:n0i14552f8a9<<=:n0o14952f8g9<3=:n0o14552f8g9<<=:nh:14952f`29<3=:nh:14552f`29<<=:nh814952f`09<3=:nh814552f`09<<=:nh>14952f`69<3=:nh>14552f`69<<=:nh<14952f`49<3=:nh<14552f`49<<=:nh214952f`:9<3=:nh214552f`:9<<=:nhk14952f`c9<3=:nhk14552f`c9<<=:nhi14952f`a9<3=:nhi14552f`a9<<=:nho14952f`g9<3=:nho14552f`g9<<=:nk:14952fc29<3=:nk:14552fc29<<=:nk814952fc09<3=:nk814552fc09<<=:nk>14952fc69<3=:nk>14552fc69<<=:nk<14952fc49<3=:nk<14552fc49<<=:nk214952fc:9<3=:nk214552fc:9<<=:nkk14952fcc9<3=:nkk14552fcc9<<=:nki14952fca9<3=:nki14552fca9<<=:nko14952fcg9<3=:nko14552fcg9<<=:nj:14952fb29<3=:nj:14552fb29<<=:nj814952fb09<3=:nj814552fb09<<=:nj>14952fb69<3=:nj>14552fb69<<=:nj<14952fb49<3=:nj<14552fb49<<=:nj214952fb:9<3=:nj214552fb:9<<=:njk14952fbc9<3=:njk14552fbc9<<=:nji14952fba9<3=:nji14552fba9<<=:njo14952fbg9<3=:njo14552fbg9<<=:nm:14952fe29<3=:nm:14552fe29<<=:nm814952fe09<3=:nm814552fe09<<=:nm>14952fe69<3=:nm>14552fe69<<=:nm<14952fe49<3=:nm<14552fe49<<=:nm214952fe:9<3=:nm214552fe:9<<=:nmk14952fec9<3=:nmk14552fec9<<=:nmi14952fea9<3=:nmi14552fea9<<=:nmo14952feg9<3=:nmo14552feg9<<=:nl:14952fd29<3=:nl:14552fd29<<=:nl814952fd09<3=:nl814552fd09<<=:nl>14952fd69<3=:nl>14552fd69<<=:nl<14952fd49<3=:nl<14552fd49<<=:nl214452fdc9<1=:nlk14;52fdc9<==:nlk14452fda9<1=:nli14;52fda9<==:nli14452fdg9<1=:nlo14;52fdg9<==:nlo14452fg29<1=:no:14;52fg29<==:no:14452fg09<1=:no814;52fg09<==:no814452fg69<1=:no>14;52fg69<==:no>14452fg49<1=:no<14;52fg49<==:no<14452fg:9<1=:no214;52fg:9<==:no214452fgc9<1=:nok14;52fgc9<==:nok14452fga9<1=:noi14;52fga9<==:noi14452fgg9<1=:noo14;52fgg9<==:noo144521123>=2<58:;<769;<33455889>556521>01<>?2;:5?8778;03463>0109<<=:99:?65:4=0230?>134;;<9478:?2452=0016==>9:9689467>32=70??078;<>;689<14452112;>=2<58:;4769;<334=556f21>01<>?a;:5?8778h03463>01c9<<=:99:h65:4=023g?>134;;j:9689467m32=70??0d8;<>;689o144521133>=2<58::<769;<33555889>557521>01<>>2;:5?8779;03463>0009<<=:99;?65:4=0220?>134;;=9478:?2442=0016==?9:9689466>32=70??178;<>;688<14452113;>=2<58::4769;<335=557f21>01<>>a;:5?8779h03463>00c9<<=:99;h65:4=022g?>134;;=n478:?244e=0016==?j:9689466m32=70??1d8;<>;688o144521103>=2<58:9<769;<33655889>554521>01<>=2;:5?877:;03463>0309<<=:998?65:4=0210?>134;;>9478:?2472=0016==<9:9689465>32=70??278;<>;68;<14452110;>=2<58:94769;<336=554f21>01<>=a;:5?877:h03463>03c9<<=:998h65:4=021g?>134;;>n478:?247e=0016==;68;o144521113>=2<58:8<769;<3375>5889>555521>01<><2;:5?877;;03463>0209<<=:999?65:4=0200?>134;;?9478:?2462=0016===9:9689464>32=70??378;<>;68:<14452111;>=2<58:84769;<337=65889>555f21>01<>02c9<<=:999h65:4=020g?>134;;?n478:?246e=0016===j:9689464m32=70??3d8;<>;68:o144521163>=2<58:?<769;<33055889>552521>01<>;2;:5?877<;03463>0509<<=:99>?65:4=0270?>134;;89478:?2412=0016==:9:9689463>32=70??478;<>;68=<14452116;>=2<58:?4769;<330=552f21>01<>;a;:5?87705c9<<=:99>h65:4=027g?>134;;8n478:?241e=0016==:j:9689463m32=70??4d8;<>;68=o144521173>=2<58:><769;<33155889>553521>01<>:2;:5?877=;03463>0409<<=:99??65:4=0260?>134;;99478:?2402=0016==;9:9689462>32=70??578;<>;68<<14452117;>=2<58:>4769;<331=553f21>01<>:a;:5?877=h03463>04c9<<=:99?h65:4=026g?>134;;9n478:?240e=0016==;j:9689462m32=70??5d8;<>;68=2<58:=<769;<33255889>550521>01<>92;:5?877>;03463>0709<<=:99134;;:9478:?2432=0016==89:9689461>32=70??678;<>;68?<14452114;>=2<58:=4769;<332=550f21>01<>9a;:5?877>h03463>07c9<<=:99134;;:n478:?243e=0016==8j:9689461m32=70??6d8;<>;68?o144521153>=2<58:<<769;<33355889>551521>01<>82;:5?877?;03463>0609<<=:99=?65:4=0240?>134;;;9478:?2422=0016==99:9689460>32=70??778;<>;68><14452115;>=2<58:<4769;<333=551f21>01<>8a;:5?877?h03463>06c9<<=:99=h65:4=024g?>134;;;n478:?242e=0016==9j:9689460m32=70??7d8;<>;68>o1445211:3>=2<58:3<769;<33<55889>55>521>01<>72;:5?8770;03463>0909<<=:992?65:4=02;0?>134;;49478:?24=2=0016==69:968946?>32=70??878;<>;681<1445211:;>=2<58:34769;<33<=55>f21>01<>7a;:5?8770h03463>09c9<<=:992h65:4=02;g?>134;;4n478:?24=e=0016==6j:968946?m32=70??8d8;<>;681o1445211;3>=2<58:2<769;<33=55889>55?521>01<>62;:5?8771;03463>0809<<=:993?65:4=02:0?>134;;59478:?24<2=0016==79:968946>>32=70??978;<>;680<1445211;;>=2<58:24769;<33==55?f21>01<>6a;:5?8771h03463>08c9<<=:993h65:4=02:g?>134;;5n478:?24m32=70??9d8;<>;680o1445211c3>=2<58:j<769;<33e55889>55g521>01<>n2;:5?877i;03463>0`09<<=:99k?65:4=02b0?>134;;m9478:?24d2=0016==o9:968946f>32=70??a78;<>;68h<1445211c;>=2<58:j4769;<33e=0`f89f502:ki70mk7;02`>{t9k=j6=4<{_304f=:k9=1?ll4=bc;>77a3ty:n:l50;1xZ457j27h<;4gd0=:8l0q~?m7b83>6}Y9::j70m?5;1bf>;di=09=k5rs0`4`?6=;rT:?=74=b27>6ge34ij97<>f:p5g1b2908wS?<099>g55=;hh01no<:33e?xu6j>l1<7=t^0133>;d8;08mo52c`3964`01n>?:2ca?8ef838:j6s|1c:1>5<4sW;8<>52bgd97dd<5j3n6??i;|q2f=5=839pR<=?2:?ab`<4ik16o4h520d8yv7e0=0;6>uQ1222?8dal39jn63l9e815c=z{8h397>53z\2756<5klh6>om;b9594?5|V88mi63mf`80eg=:k0k1>2ga89g`?2:ki70m69;02b>{t9k2j6=4<{_31bg=:jo=1?ll4=b;4>77a3ty:n5l50;1xZ44a127ij;4g<3=:8l0q~?m8b83>6}Y9;l370li5;1bf>;d1?09=k5rs0`;`?6=;rT:>k94=cd7>6ge34i287<>f:p5g>b2908wS?=f79>fc5=;hh01n7=:33e?xu6j1l1<7=t^00e1>;en;08mo52c81964`5<4sW;9j?52bdd97dd<5j3;6??i;|q2f<5=839pR<uQ13d3?8dbl39jn63l8b815c=z{8h297>53z\26`c<5koh6>om;e2;;m7p}>b8594?5|V88no63me`80eg=:k131>13:1?vP>2dc89gc?2:ki70m78;02b>{t9k3j6=4<{_31a<=:jl=1?ll4=b:5>77a3ty:n4l50;1xZ44b027ii;4g=1=:8l0q~?m9b83>6}Y9;o<70lj5;1bf>;d0<09=k5rs0`:`?6=;rT:>h84=cg7>6ge34i3?7<>f:p5g?b2908wS?=e49>f`5=;hh01n6;:33e?xu6j0l1<7=t^0125>;em;08mo52c90964`5<4sW;8uQ1226?8dcl39jn63l7d815c=z{8hj97>53z\26cg<5knh6>om;b`594?5|V88n863md`80eg=:k>h1>4c`89f512:ki70mk5;02b>{t9kkj6=4<{_37fd=:k:?1?ll4=bf5>77a3ty:nll50;1xZ42e127h?94ga2=:8l0q~?mab83>6}Y9=h370m<3;1bf>;dl;09=k5rs0`b`?6=;rT:8o94=b11>6ge34io?7<>f:p5ggb2908wS?;b79>g67=;hh01nj>:33e?xu6jhl1<7=t^06a1>;d;908mo52cbd964`5<4sW;?n<52c3f97dd<5jih6??i;|q2fg5=839pR<:m0:?`6f<4ik16onj520d8yv7ej=0;6>uQ15ce?8e5j39jn63lcc815c=z{8hi97>53z\20dc<5j8j6>om;bc594?5|V8>jo63l2980eg=:kj21>4`c89f412:ki70ml7;02b>{t9khj6=4<{_37e==:k;?1?ll4=ba6>77a3ty:nol50;1xZ42f?27h>94gf5=:8l0q~?mbb83>6}Y9=k=70m=3;1bf>;dk=09=k5rs0`a`?6=;rT:8l;4=b01>6ge34ih>7<>f:p5gdb2908wS?;a59>g77=;hh01nm?:33e?xu6jkl1<7=t^06b7>;d:908mo52cb3964`5<4sW;?m=52c0f97dd<5jhn6??i;|q2ff5=839pR<:6f:?`5f<4ik16oom520d8yv7ek=0;6>uQ15;g?8e6j39jn63lb`815c=z{8hh97>53z\20om;2;;m7p}>bb594?5|V8>2m63l1980eg=:kk=1>48:89f712:ki70mm6;02b>{t9kij6=4<{_37=2=:k8?1?ll4=b`7>77a3ty:nnl50;1xZ42>>27h=94gg3=:8l0q~?mcb83>6}Y9=3>70m>3;1bf>;dj:09=k5rs0```?6=;rT:84:4=b31>6ge34ii=7<>f:p5geb2908wS?;c19>g47=;hh01nl=:33e?xu6jjl1<7=t^06ab>;d9908mo52cc2964`j:2ca?8efn38:j6s|1cf1>5<4sW;?nn52c1f97dd<5jko6??i;|q2fa5=839pR<:m4:?`4f<4ik16oll520d8yv7el=0;6>uQ15c:?8e7j39jn63lab815c=z{8ho97>53z\20om;2;;m7p}>be594?5|V8>2>63l0980eg=:kh=1>7`g=;8801>km:22:?85bj39;46366>349no7=?8:?0af<48>16?hm5314896ca2:h370=i1;31f>;4nk09>>523gf97g><5:lm6<0=3=;k201968:00a?82?139i463;8b826g=:<1n1?o64=5;3>6d?34>jh75231891ee2;:870:k4;037>;3lm08>n;<6ga?57>27?hk4<0`9>0a`=;9<019k?:22b?82b839;:63;e0804d=:66134>n>7=?a:?7a7<481168h<5314891c42::j70:j3;13<>;3m:08<;524d6975g<5=o?6>>7;<6f0?57>27?i84<0`9>0`3=;92019k::225?82b>39;m63;e7804==:66f34>n;7=?8:?7a2<48?168h6531c891c?2::370:j8;132>;3m008<5=o26>>9;<6fe?57i27?il4<099>0`g=;9<019km:22b?82bj39;463;ec8043=:66?34>no7=?6:?7aa<48h168hj531:891cc2::=70:je;13e>;3ml08<5524dg9750<5=om6>>n;<6fb?57027?ik4<079>0c6=;9k019h?:22;?82a839;:63;f0804d=:66134>m>7=?a:?7b7<481168k<5314891`42::j70:i3;13<>;3n:08<;524g6975g<5=l?6>>7;<6e0?57>27h8?4=029>`64=0=16h><5879>`62=0116h>85859>`0e=0?16h8k5879>`36=0=16h;>5879>`34=0=16h;:5859>`30=0=16h;65859>`3g=0=16h;m5859>`3c=0=16h:>5859>`24=0=16h::5859>`20=0=16h:65859>`2g=0=16h:m5859>`2c=0=16h5>5859>`=4=0=16h5:5859>`=0=0=16h565859>`=g=0=16h5m5859>`=c=0=16h4>5859>`<4=0=16h4:5859>`<0=0=16h465859>``5859>`d4=0=16hl:5859>`d0=0=16hl65859>`dg=0=16hlm5859>`dc=0=16ho>5859>`g4=0=16ho:5859>`g0=0=16ho65859>`gg=0=16hom5859>`gc=0=16hn>5859>a34=0?16i;<5889>a32=0?16i;:5889>a30=0?16i;85889>a3>=0?16i;65889>a3g=0?16i;o5889>a3e=0?16i;m5889>a3c=0?16i;k5889>a26=0?16i:>5889>a24=0?16i:<5889>a22=0?16i::5889>a20=0?16i:85889>a2>=0?16i:65889>a2g=0?16i:o5889>a2e=0?16i:m5889>a2c=0?16i:k5889>a=6=0?16i5>5889>a=4=0?16i5<5889>a=2=0?16i5:5889>a=0=0?16i585889>a=>=0?16i565889>a=g=0?16i5o5889>a=e=0?16i5m5889>a=c=0?16i5k5889>a<6=0?16i4>5889>a<4=0?16i4<5889>a<2=0?16i4:5889>a<0=0?16i485889>a<>=0?16i465889>aaaad6=0?16il>5889>ad4=0?16il<5889>ad2=0?16il:5889>ad0=0?16il85889>ad>=0?16il65889>adg=0?16ilo5889>ade=0?16ilm5889>adc=0?16ilk5889>ag6=0?16io>5889>ag4=0?16io<5889>ag2=0?16io:5889>ag0=0?16io85889>ag>=0?16io65889>agg=0?16ioo5889>age=0?16iom5889>agc=0?16iok5889>af6=0?16in>5889>af4=0116in<5889>b70=0=16j?85879>b70=0116j?65859>b7>=0?16j?65899>b7g=0=16j?o5879>b7g=0116j?m5859>b7e=0?16j?m5899>b7c=0=16j?k5879>b7c=0116j>>5859>b66=0?16j>>5899>b64=0=16j><5879>b64=0116j>:5859>b62=0?16j>:5899>b60=0=16j>85879>b60=0116j>65859>b6>=0?16j>65899>b6g=0=16j>o5879>b6g=0116j>m5859>b6e=0?16j>m5899>b6c=0=16j>k5879>b6c=0116j9>5859>b16=0?16j9>5899>b14=0=16j9<5879>b14=0116j9:5859>b12=0?16j9:5899>b10=0=16j985879>b10=0116j965859>b1>=0?16j965899>b1g=0=16j9o5879>b1g=0116j9m5859>b1e=0?16j9m5899>b1c=0=16j9k5879>b1c=0116j8>5859>b06=0?16j8>5899>b04=0=16j8<5879>b04=0116j8:5859>b02=0?16j8:5899>b00=0=16j885879>b00=0116j865859>b0>=0?16j865899>b0g=0=16j8o5879>b0g=0116j8m5859>b0e=0?16j8m5899>b0c=0=16j8k5879>b0c=0116j;>5859>b36=0?16j;>5899>b34=0=16j;<5879>b34=0116j;:5859>b32=0?16j;:5899>b30=0=16j;85879>b30=0116j;65859>b3>=0?16j;65899>b3g=0=16j;o5879>b3g=0116j;m5859>b3e=0?16j;m5899>b3c=0=16j;k5879>b3c=0116j:>5859>b26=0?16j:>5899>b24=0=16j:<5879>b24=0116j::5859>b22=0?16j::5899>b20=0=16j:85879>b20=0116j:65859>b2>=0?16j:65899>b2g=0=16j:o5879>b2g=0116j:m5859>b2e=0?16j:m5899>b2c=0=16j:k5879>b2c=0116j5>5859>b=6=0?16j5>5899>b=4=0=16j5<5879>b=4=0116j5:5859>b=2=0?16j5:5899>b=0=0=16j585879>b=0=0016jh65859>b`>=0?16jh65899~w4dc13:1>vP>b3f891742:ki7p}>bec94?4|V8:o563;1680eg=z{8hon7>52z?0ag27?<<4<2e9~w4dck3:1>v3;38808>n5rs0`g`?6=:r78io4m3:?744<4:k1v63;00806d=z{8hoj7>52z?0agv3;38808>:5rs0`f5?6=:r78io4nf:?744<4:?1v52z?0agv3;38808>>5rs0`f1?6=:r78io4md:?744<4:;1v52z?0agv3;38808=k5rs0`f=?6=:r78io4m9:?744<49m1v52z?0agv3;38808=l5rs0`f`?6=9:q6?hl5101896ce28;:70=jb;324>;4mk0:k;<1ff?77k278io4>0c9>7`d=99301>km:02;?85bj3;;;63463349nn7??3:?0ag<68;16?hl5113896ce28:;70:?0;11e>{t9kon6=4={<1ff?`b34>;<7==8:p5gca2909w0=jb;dg?8278399;6s|1cd3>5<5s49nn7hl;<634?55>2wx=oh>:18185bj3li70:?0;111>{t9kl96=4={<1ff?`f34>;<7==4:p5g`42909w0=jb;d:?8278399?6s|1cd7>5<5s49nn7h7;<634?55:2wx=oh::18185bj3l<70:?0;115>{t9kl=6=4={<1ff?`134>;<7==0:p5g`02909w0=jb;d6?827839:j6s|1cd;>5<5s49nn7h<;<634?56l2wx=oh6:18185bj3l970:?0;12g>{t9klj6=4={<1ff?`634>;<7=>b:p5g`e2909w0=jb;d3?827839:m6s|1cd`>5<5s49nn7ki;<634?5612wx=ohk:18185bj3on70:?0;12<>{t9kln6=4={<1ff?cc34>;<7=>7:p5g`a2909w0=jb;g`?827839::6s|1b23>5<5s49nn7km;<634?56=2wx=n>>:18185bj3oj70:?0;120>{t9j:96=4={<1ff?76127?<=4<429~w4e7;3:1>v3:7p}>c1794?4|5:oi69:18185bj3;:963;01807c=z{8i;;7>52z?0ag<69=168=>532g8yv7d810;6?u23d`955g<5=:;6>=;;|q2g5?=838p1>km:gd891672:827p}>c1c94?4|5:oi6k:4=523>67b3ty:o=l50;0x96ce2l3019>?:230?xu6k9i1<764f34io97<>e:p5f6c2909w0=jb;11<>;dl?09=h5rs0a3a?6=:r78io4<269>ga2=:8o0q~?l0g83>7}:;lh1??84=bf1>77b3ty:o<>50;0x96ce2:8>70mk3;02a>{t9j;:6=4={<1ff?55<27hh<4=1d9~w4e6:3:1>v3c0694?4|5:oi6><>;52z?0ag<49o16onj520g8yv7d9>0;6?u23d`974b<5jii6??j;|q2g4>=838p1>km:23`?8ed138:i6s|1b3:>5<5s49nn7=>b:?`gd<59l1va;296~;4mk08=l52cb:964c7`d=;8301nm9:33f?xu6k8i1<767?34ih;7<>e:p5f7c2909w0=jb;123>;dk<09=h5rs0a2a?6=:r78io4<179>gf5=:8o0q~?l1g83>7}:;lh1?<;4=ba7>77b3ty:o?>50;0x96ce2:;?70ml2;02a>{t9j8:6=4={<1ff?53;27ho=4=1d9~w4e5:3:1>v3c3694?4|5:oi6>:?;52z?0ag<4;l16oom520g8yv7d:>0;6?u23d`9762<5jhj6??j;|q2g7>=838p1>km:20:?8eej38:i6s|1b0:>5<5s49nn7=>e:?`f<<59l1v52cc5964co4?:32x96ce289h70=jb;30e>;4mk0:?4523d`956><5:oi6<=8;<1ff?74>278io4>349>7`d=9:>01>km:066?85bj3;?863426349nn7?;0:?0ag<6;o16?hl512g896ce289o70=jb;307>;4mk02?63;4mk02<63;4mk03i63;4mk03o63;4mk02o63;4mk02m63;4mk02463;008076=z{8i9o7>52z?0ag<>?27?<<4<339~w4e5l3:1>v3;38808?<5rs0a1a?6=:r78io465:?744<4;91v52z?0agv3;38:08>i5rs0a06?6=:r78in4m4:?746<4:j1v52z?0af4<2`9~w4e4=3:1>v3;38:08>55rs0a02?6=:r78in4m0:?746<4:>1v52z?0af4<249~w4e413:1>v3;38:08>95rs0a0e?6=:r78in4me:?746<4::1v52z?0af4<209~w4e4l3:1>v3;38:08>=5rs0a0a?6=:r78in4ma:?746<49o1v52z?0af4<1b9~w4e393:1>v3;38:08=o5rs0a76?6=:r78in4nd:?746<49h1v476349no7?>0:?0af<68o16?hm511g896cd28:o70=jc;33g>;4mj0:7;<1fg?77?278in4>079>7`e=99?01>kl:027?85bk3;;?6346734>;>7==a:p5f232909w0=jc;df?827:39946s|1b66>5<5s49no7hk;<636?55?2wx=n:9:18185bk3lh70:?2;112>{t9j><6=4={<1fg?`e34>;>7==5:p5f2?2909w0=jc;db?827:39986s|1b6:>5<5s49no7h6;<636?55;2wx=n:n:18185bk3l370:?2;116>{t9j>i6=4={<1fg?`034>;>7==1:p5f2d2909w0=jc;d5?827:399<6s|1b6g>5<5s49no7h:;<636?56n2wx=n:j:18185bk3l870:?2;12`>{t9j>m6=4={<1fg?`534>;>7=>c:p5f372909w0=jc;d2?827:39:n6s|1b72>5<5s49no7h?;<636?56i2wx=n;=:18185bk3om70:?2;12=>{t9j?86=4={<1fg?cb34>;>7=>8:p5f332909w0=jc;gg?827:39:;6s|1b76>5<5s49no7kl;<636?56>2wx=n;9:18185bk3oi70:?2;121>{t9j?<6=4={<1fg?cf34>;>7=>4:p5f3?2909w0=jc;32=>;38;088>5rs0a6=?6=:r78in4>199>054=;=80q~?l5`83>7}:;li1=<94=521>6263ty:o8l50;0x96cd28;=70:?2;174>{t9j?h6=4={<1fg?76=27?v3k4}r3`1`<72;q6?hm511c891652:9?7p}>c4d94?4|5:oh6kh4=521>64>3ty:o;>50;0x96cd2o>019>=:23f?xu6k?;1<7`?<5=:96>?<;|q2g34=838p1>kl:20b?8ef038:i6s|1b40>5<5s49no7==8:?`e3<59l1v:52c`6964c7`e=;;<01no::33f?xu6k?<1<764234ij?7<>e:p5f002909w0=jc;110>;di809=h5rs0a5gd4=:8o0q~?l6883>7}:;li1??<4=bc3>77b3ty:o;o50;0x96cd2:8:70m6e;02a>{t9jv3c7g94?4|5:oh6>?l;52z?0af<49h16o46520g8yv7d?80;6?u23da974?<5j326??j;|q2g24=838p1>kl:23;?8e>?38:i6s|1b50>5<5s49no7=>7:?`=0<59l1v7`e=;8?01n7;:33f?xu6k><1<767334i2>7<>e:p5f102909w0=jc;177>;d1:09=h5rs0a4g<7=:8o0q~?l7883>7}:;li1?9?4=b:e>77b3ty:o:o50;0x96cd2:>;70m60;02a>{t9j=i6=4={<1fg?54n27h4h4=1d9~w4e0k3:1>v3c2;;n7p}>c6g94?4|5:oh6><6;52z?0af<49:16o5o520g8yv7d080;6?>t=2g`>45d349no7?;4mj0:?8523da9562<5:oh6<::;<1fg?73<278in4>429>7`e=9=801>kl:062?85bk3;?<63k4=2g`>45c349no7?<3:?0af<>;278in461:?0af<>8278in47f:?0afk278in46b:?0af<>i278in469:?0af<>027?<>4<329~w4e?:3:1>v3;38:08??5rs0a;7?6=:r78in466:?746<4;81v52z?0af<><27?<>4<2g9~w4e?>3:1>v3;38:08>h5rs0a;3?6=:r78ii4>309>7c6=;990q~?l8983>0}:;ln1?ll4=2gf>76434i>>7<>c:?g4f<59m16h=k520g8yv7d000;6?u23dg957d<5:l:6>><;|q2g=g=839p1>kj:220?85bn3;9n6352z?0ac<48:16?k=513`8yv7d0j0;6?u23g397g><5j>=6>><;|q2g=b=838p1>h=:012?85a;38986s|1b:f>5<3s49m>7=nb:?`2d<59m16o;l520f89f0d2;;o7p}>c9d94?4|5:l86>l7;77e3ty:o4?50;1x96`32:h370=i5;017>;d<008<>5rs0a:6?6=:r78j84<029>gab=9:;0q~?l9283>7}:;o<1=>?4=b60>6643ty:o4:50;4x96`12:ki70:?0;13<>;38808<552410975><5=:86>>7;56z?0b2<4ik168=>5315891662::<70:?2;133>;38:08<:52c5c964c7c>=9:;01n:m:220?xu6k021<78t=2d;>6ge34>;<7=?6:?744<48?168=<5314891642::=70m;b;02a>{t9j326=4={<1e=?75j278ji4<029~w4e>i3:18v377d34n997<>b:p5f?e2909w0=i9;1a<>;c:<08<>5rs0a:g?6=:r78j44<029>7cg=9;h0q~?l9e83>7}:;ok1>==4=e04>6643ty:o4k50;0x96`f2:h370mia;137>{t9j3m6=4<{<1ee?45<278jo4>2c9>05>=9:;0q~?la183>7}:;oh1?==4=524>4563ty:ol?50;0x96`d288i70=if;137>{t9jk96=4;{<1eg?47;27?<44g06=:8i01n;>:33`?xu6kh91<76d?34i><7=?3:p5fg32908w0=ic;137>;4nm0:>o523gg957d7cc=;99019>6:012?xu6kh<1<76d?34i?i7=?3:p5fg0290hw0:?0;`5?82783h?70:?0;`0?82783h970:?0;`2?82783h;70:?0;ce?82783kn70:?0;`e?82783hn70:?0;`g?8`5139jn6s|1bc;>5<5s4>;<7ll;{t9jkj6=4={<634?df34l9h7=nb:p5fge2909w0:?0;`:?8`5n39jn6s|1bc`>5<5s4>;<7l7;{t9jkn6=4={<634?gc34l8;7=nb:p5fga2909w0:?0;15b>;3m;08m=5rs0aa4?6=:r7?<=4<6e9>0`4=;0o0q~?lb083>7}:<9:1?;m4=5g1>6?c3ty:oo<50;0x91672:{t9jh86=4={<634?51i27?i?4<9c9~w4ee<3:1>v3;01802<=:537:891c52:327p}>cc494?4|5=:;6>88;<6f6?5>02wx=nl8:181827839=963;e380=3=z{8ii47>52z?745<4>=168h<53878yv7dj00;6?u24129735<5=o96>7;;|q2ggg=838p19>?:241?82b:392?6s|1b`a>5<5s4>;<7=91:?7a7<41;1v056=;63b34>n>7=7f:p5fda2909w0:?0;16`>;3m;084h5rs0a`4?6=:r7?<=4<5b9>0`4=;1n0q~?lc083>7}:<9:1?8o4=5g1>6>e3ty:on<50;0x91672:?270:j2;1;e>{t9ji86=4={<634?52027?i?4<889~w4ed<3:1>v3;018012=:5344891c52:2<7p}>cb494?4|5=:;6>;:;<6f6?5?>2wx=nm8:181827839>863;e380<0=z{8ih47>52z?745<4=:168h<53968yv7dk00;6?u24129704<5=o96>6<;|q2gfg=838p19>?:272?82b:393>6s|1baa>5<5s4>;<7=;f:?7a7<4091v056=;=n019k=:25f?xu6kjo1<762d34>n>7=8d:p5fea2909w0:?0;17f>;3m;08;n5rs0ag4?6=:r7?<=4<4`9>0`4=;>h0q~?ld083>7}:<9:1?974=5g1>61f3ty:oi<50;0x91672:>370:j2;14=>{t9jn86=4={<634?53?27?i?4<799~w4ec<3:1>v3;018003=:5367891c52:k=7p}>ce494?4|5=:;6>9;;<6f6?5f=2wx=nj8:18182783952z?745<4?;168h<53`18yv7dl00;6?u24129727<5=o96>o=;|q2gag=838p19>?:253?82b:39j=6s|1bfa>5<5s4>;<7=96:?7a7<41>1v056=;<:019k=:2:2?xu6kmo1<762234>n>7=86:p5fba290>w0:?0;;0?827933870:?2;;0?827;33870ln6;3g=>{t9jo;6=4:{<634??634>;=77>;<636??634>;?77>;<`b2?7c?2wx=nk>:186827833;70:?1;;3?827:33;70:?3;;3?8df>3;o:6s|1bg1>5<2s4>;<76i;<635?>a34>;>76i;<637?>a34hj:7?k5:p5fc4290>w0:?0;:f?827932n70:?2;:f?827;32n70ln6;3g0>{t9jo?6=4:{<634?>c34>;=76k;<636?>c34>;?76k;<`b2?7c;2wx=nk::186827832h70:?1;:`?827:32h70:?3;:`?8df>3;o>6s|1bg5>5<2s4>;<76m;<635?>e34>;>76m;<637?>e34hj:7?k1:p5fc0290>w0:?0;;`?827933h70:?2;;`?827;33h70ln6;035>{t9jo36=4:{<634??e34>;=77m;<636??e34>;?77m;<`b2?4782wx=nk6:186827833j70:?1;;b?827:33j70:?3;;b?8df>3;mj6s|1bgb>5<2s4>;<776;<635??>34>;>776;<637??>34hj:7?ie:p5fce290>w0:?0;;;?827933370:?2;;;?827;33370ln6;3e`>{t9joh6=4:{<634??034>;=778;<636??034>;?778;<`b2?7ak2wx=nkk:186827833=70:?1;;5?827:33=70:?3;;5?8df>3;m>6s|1bgf>5<2s4>;<77:;<635??234>;>77:;<637??234hj:7?j7:p5fca290>w0:?0;;7?827933?70:?2;;7?827;33?70ln6;3gg>{t9jl;6=4:{<634?>f34>;=76n;<636?>f34>;?76n;<`b2?7c82wx=nh>:18182793h870h<9;1bf>{t9jl96=4={<635?d534l897=nb:p5f`42909w0:?1;`2?8`4j39jn6s|1bd7>5<5s4>;=7l?;{t9jl=6=4={<635?gb34l?=7=nb:p5f`02909w0:?1;`e?8`3=39jn6s|1bd;>5<5s4>;=7lj;{t9jlj6=4={<635?dd34l?57=nb:p5f`e2909w0:?1;`a?8`3j39jn6s|1bd`>5<5s4>;=7ln;{t9jln6=4={<635?d?34l>?7=nb:p5f`a2909w0:?1;`4?8`2=39jn6s|1e23>5<5s4>;=7ok;>:181827939=j63;eb80e5=z{8n;>7>52z?744<4>m168hm538g8yv7c8:0;6?u2413973e<5=oh6>7k;|q2`52=838p19>>:24a?82bk392o6s|1e26>5<5s4>;=7=9a:?7af<41k1v057=;?2019kl:2;:?xu6l921<760034>no7=68:p5a6>2909w0:?1;151>;3mj085;5rs0f3e?6=:r7?<<4<659>0`e=;0?0q~?k0c83>7}:<9;1?;=4=5g`>6?33ty:h=m50;0x91662:<970:jc;1:7>{t9m:o6=4={<635?51927?in4<939~w4b7m3:1>v3;008025=:d0294?4|5=::6>;j;<6fg?5?n2wx=i?>:181827939>h63;eb80<`=z{8n:>7>52z?744<4=j168hm539f8yv7c9:0;6?u2413970g<5=oh6>6m;|q2`42=838p19>>:27:?82bk393m6s|1e36>5<5s4>;=7=:8:?7af<4001v6;296~;388089:524da97=>057=;<<019kl:2:4?xu6l821<763234>no7=76:p5a7>2909w0:?1;160>;3mj08485rs0f2e?6=:r7?<<4<529>0`e=;1>0q~?k1c83>7}:<9;1?8<4=5g`>6>43ty:h{t9m;o6=4={<635?53n27?in4<819~w4b6m3:1>v3;00800`=:d3294?4|5=::6>:l;<6fg?50l2wx=i<>:181827939?n63;eb803f=z{8n9>7>52z?744<49n;|q2`72=838p19>>:26;?82bk39<56s|1e06>5<5s4>;=7=;7:?7af<4?11v:4?:3y>057=;>?019kl:2c5?xu6l;21<761334>no7=n5:p5a4>2909w0:?1;147>;3mj08m95rs0f1e?6=:r7?<<4<739>0`e=;h90q~?k2c83>7}:<9;1?:?4=5g`>6g53ty:h?m50;0x91662:=;70:jc;1b5>{t9m8o6=4={<635?51>27?in4<969~w4b5m3:1>v3;00801g=:d2294?4|5=::6>::;<6fg?50>2wx=i=>:181827939:563j058274=z{8n8>7>52z?744<49116i=851238yv7c;:0;6?u24139741<5l:96<=>;|q2`62=838p19>>:235?8c703;8=6s|1e16>5<5s4>;=7=>5:?f4d<6;81v057=;=901h>j:012?xu6l:21<762534o:>7?<1:p5a5>2909w0:?1;175>;b9=0:?<5rs0f0e?6=:r7?<<4<419>a46=9:;0q~?k3c83>7}:<9;1?>h4=d35>4563ty:h>m50;0x91662:9n70k>8;305>{t9m9o6=4={<635?54<27n=l4>309~w4b4m3:1>v3;00806<=:m8i1=>?4}r3g7c<72;q68=?530g89`47289:7p}>d5294?4|5=::6>?<;:18`827:3h=70:?2;`7?827:3h870:?2;`1?827:3h:70:?2;`3?827:3km70:?2;cf?827:3hm70:?2;`f?827:3ho70h:7;1bf>{t9m>96=4={<636?dd34l>57=nb:p5a242909w0:?2;`a?8`2j39jn6s|1e67>5<5s4>;>7ln;{t9m>=6=4={<636?d?34l=?7=nb:p5a202909w0:?2;`4?8`2n39jn6s|1e6;>5<5s4>;>7ok;52z?747<4>m168h?538g8yv7c7k;|q2`1e=838p19>=:24a?82b9392o6s|1e6g>5<5s4>;>7=9a:?7a4<41k1v054=;?2019k>:2;:?xu6l<:1<760034>n=7=68:p5a362909w0:?2;151>;3m8085;5rs0f66?6=:r7?0`7=;0?0q~?k5283>7}:<981?;=4=5g2>6?33ty:h8:50;0x91652:<970:j1;1:7>{t9m?>6=4={<636?51927?i<4<939~w4b2>3:1>v3;038025=:d4:94?4|5=:96>;j;<6f5?5?n2wx=i;6:181827:39>h63;e080<`=z{8n>m7>52z?747<4=j168h?539f8yv7c=k0;6?u2410970g<5=o:6>6m;|q2`0e=838p19>=:27:?82b9393m6s|1e7g>5<5s4>;>7=:8:?7a4<4001v054=;<<019k>:2:4?xu6l?:1<763234>n=7=76:p5a062909w0:?2;160>;3m808485rs0f56?6=:r7?0`7=;1>0q~?k6283>7}:<981?8<4=5g2>6>43ty:h;:50;0x91652:?:70:j1;1;6>{t9m<>6=4={<636?53n27?i<4<819~w4b1>3:1>v3;03800`=:d7:94?4|5=:96>:l;<6f5?50l2wx=i86:181827:39?n63;e0803f=z{8n=m7>52z?747<4k0;6?u2410971?<5=o:6>9n;|q2`3e=838p19>=:26;?82b939<56s|1e4g>5<5s4>;>7=;7:?7a4<4?11v054=;>?019k>:2c5?xu6l>:1<761334>n=7=n5:p5a162909w0:?2;147>;3m808m95rs0f46?6=:r7?0`7=;h90q~?k7283>7}:<981?:?4=5g2>6g53ty:h::50;0x91652:=;70:j1;1b5>{t9m=>6=4={<636?51>27?i<4<969~w4b0>3:1>v3;03801g=:d6:94?4|5=:96>::;<6f5?50>2wx=i96:181827;3h870h97;1bf>{t9m=j6=4={<637?d534l=57=nb:p5a1e2909w0:?3;`2?8`1j39jn6s|1e5`>5<5s4>;?7l?;{t9m=n6=4={<637?gb34l=h7=nb:p5a1a2909w0:?3;`e?8`0;39jn6s|1e:3>5<5s4>;?7lj;:181827;3ho70h87;1bf>{t9m296=4={<637?dd34l<57=nb:p5a>42909w0:?3;`a?8`0l39jn6s|1e:7>5<5s4>;?7ln;{t9m2=6=4={<637?d?34l3?7=nb:p5a>02909w0:?3;`4?8`?=39jn6s|1e:;>5<5s4>;?7ok;52z?746<4>m168hl538g8yv7c0k0;6?u2411973e<5=oi6>7k;|q2`=e=838p19><:24a?82bj392o6s|1e:g>5<5s4>;?7=9a:?7ag<41k1v055=;?2019km:2;:?xu6l0:1<760034>nn7=68:p5a?62909w0:?3;151>;3mk085;5rs0f:6?6=:r7?<>4<659>0`d=;0?0q~?k9283>7}:<991?;=4=5ga>6?33ty:h4:50;0x91642:<970:jb;1:7>{t9m3>6=4={<637?51927?io4<939~w4b>>3:1>v3;028025=:d8:94?4|5=:86>;j;<6ff?5?n2wx=i76:181827;39>h63;ec80<`=z{8n2m7>52z?746<4=j168hl539f8yv7c1k0;6?u2411970g<5=oi6>6m;|q2`<:27:?82bj393m6s|1e;g>5<5s4>;?7=:8:?7ag<4001v055=;<<019km:2:4?xu6lh:1<763234>nn7=76:p5ag62909w0:?3;160>;3mk08485rs0fb6?6=:r7?<>4<529>0`d=;1>0q~?ka283>7}:<991?8<4=5ga>6>43ty:hl:50;0x91642:?:70:jb;1;6>{t9mk>6=4={<637?53n27?io4<819~w4bf>3:1>v3;02800`=:d`:94?4|5=:86>:l;<6ff?50l2wx=io6:181827;39?n63;ec803f=z{8njm7>52z?746<49n;|q2`de=838p19><:26;?82bj39<56s|1ecg>5<5s4>;?7=;7:?7ag<4?11v055=;>?019km:2c5?xu6lk:1<761334>nn7=n5:p5ad62909w0:?3;147>;3mk08m95rs0fa6?6=:r7?<>4<739>0`d=;h90q~?kb283>7}:<991?:?4=5ga>6g53ty:ho:50;0x91642:=;70:jb;1b5>{t9mh>6=4={<637?51>27?io4<969~w4be>3:1>v3;02801g=:dc:94?4|5=:86>::;<6ff?50>2wx=il6:181827;39:563j1d8274=z{8nim7>52z?746<49116i?:51238yv7cjk0;6?u24119741<5l8=6<=>;|q2`ge=838p19><:235?8c503;8=6s|1e`g>5<5s4>;?7=>5:?f6d<6;81v055=;=901h=?:012?xu6lj:1<762534o9o7?<1:p5ae62909w0:?3;175>;b;;0:?<5rs0f`6?6=:r7?<>4<419>a62=9:;0q~?kc283>7}:<991?>h4=d15>4563ty:hn:50;0x91642:9n70k<8;305>{t9mi>6=4={<637?54<27n?n4>309~w4bd>3:1>v3;02806<=:m:o1=>?4}r3gg2<72;q68==530g89`5f289:7p}>db:94?4|5=:86>?<;db`94?0|5=:=6<g1?=:8n01n:k:33g?8cd:3;8=6s|1ea`>5<5s4>;:7=m8:?g63<48:1v77d34ic:?`3g<59j16o:m520a89f1c2;;h70m8e;02g>;d?o09=n52c92964e<5j2:6??l;4=1b9>g=2=:8i01n6::33`?8e?>38:o63l86815f=:k121>77d34i3m7<>c:?`c2;;h70m7e;02g>;d0o09=n52c82964e<5j3:6??l;4=1b9>g<2=:8i01n7::33`?8e>>38:o63l96815f=:k021>77d34i2m7<>c:?`=g<59j16o4m520a89f?c2;;h70m6e;02g>;d1o09=n52c`2964e<5jk:6??l;4=1b9>gd2=:8i01no::33`?8ef>38:o63la6815f=:kh21>77d34ijm7<>c:?`eg<59j16olm520a89fgc2;;h70mne;02g>;dio09=n52cc2964e<5jh:6??l;4=1b9>gg2=:8i01nl::33`?8ee>38:o63lb6815f=:kk21>77d34iim7<>c:?`fg<59j16oom520a89fdc2;;h70mme;02g>;djo09=n52cb2964e<5ji:6??l;4=1b9>gf2=:8i01nm::33`?8ed>38:o63lc6815f=:kj21>77d34ihm7<>c:?`gg<59j16onm520a89fec2;;h70mle;02g>;dko09=n52ce2964e<5jn:6??l;4=1b9>ga2=:8i01nj::33`?8ec>38:o63lf`815f=:l;=1>;3jl08mo524ea956705g=;hh019ll:320?8b5l38:n63k338274=z{8no<7>53z?74g<6;8168=m512389f202::87p}>de394?4|5=:i6>om;53z?74a<4ik16o9;520d89f2?2;8;7p}>de694?4|5=:n6<=>;77b34n:=7<>e:?g25<6;81v046=;hh01n:::33f?8e3?38:i63l49815c=:k=n1>77c34n;h7<>c:?g4c<59m16hde:94?4|5=;:6<=>;{t9mnj6=4={<626?74927h894<029~w4bcj3:1>v3;1380eg=:<8k1m55rs0fgg?6=>=q68<=512389f372;;n70m:1;02`>;d?009=i52c6c964b<5j=i6??k;g2c=:8n01n9i:33g?8e?838:h63l80815a=:k181>77c34i387<>d:?`<0<59m16o58520f89f>02;;o70m78;02`>;d0009=i52c9c964b<5j2i6??k;g=c=:8n01n6i:33g?8e>838:h63l90815a=:k081>77c34i287<>d:?`=0<59m16o48520f89f?02;;o70m68;02`>;d1009=i52c8c964b<5j3i6??k;g77c34ij87<>d:?`e0<59m16ol8520f89fg02;;o70mn8;02`>;di009=i52c`c964b<5jki6??k;gdc=:8n01noi:33g?8ee838:h63lb0815a=:kk81>77c34ii87<>d:?`f0<59m16oo8520f89fd02;;o70mm8;02`>;dj009=i52ccc964b<5jhi6??k;ggc=:8n01nli:33g?8ed838:h63lc0815a=:kj81>77c34ih87<>d:?`g0<59m16on8520f89fe02;;o70ml8;02`>;dk009=i52cbc964b<5jii6??k;gfc=:8n01nmi:33g?8ec838:h63ld0815a=:km81>77c34io87<>d:?``0<59m16oi8520f89fb02;;h70mk8;02a>;a0>08mo5rs0fg`?6=;r7?=>4041=;hn01n:i:220?xu6lmo1<7:t=537>45634i<;7<>d:?g72<4ik16in:51238yv7clo0;6?u240697dd<5=k<6<=>;|q2``6=839p19?::012?826039jn63k378274=z{8nn=7>53z?750<4ik16o9:520g89f2d2;;o7p}>dd094?5|5=;=6<=>;v3;1780eg=:<8k1ml5rs0ff0?6=:r7?=:4>309>g07=;990q~?ke483>3}:<821=>?4=53:>6ge34i?97<>c:?`02<59o16o96520f89f2c2;;n7p}>dd494?4|5=;26<=>;;c8l09>=5rs0ff{t9mo26=4:{<62e?g734>hh7ddc94?5|5=;j6309~w4bbj3:1?v3;1`82b<=:l?<1=>?4=d41>4563ty:hhm50;1x917f28l370j92;305>;b>?0:?<5rs0ff`?6=;r7?=l4>f69>`3>=9:;01h87:012?xu6llo1<7=t=53b>4`134n=m7?<1:?f2d<6;81v;|q2`c6=839p19?n:0d7?8b1m3;8=63j718274=z{8nm=7>53z?75d<6n:16h:<512389`15289:7p}>dg094?5|5=;j6;309~w4ba;3:1?v3;1`82b5=:l>:1=>?4=d57>4563ty:hk:50;1x917f28om70j86;305>;b??0:?<5rs0fe1?6=;r7?=l4>ed9>`2>=9:;01h97:012?xu6lo<1<7=t=53b>4cc34n;|q2`c>=839p19?n:0ga?8b?83;8=63j818274=z{8nm57>53z?75d<6mh16h5<512389`1d289:7p}>dgc94?5|5=;j6309~w4baj3:1?v3;1`82a==:l1>1=>?4=d:7>4563ty:hkm50;1x917f28o=70j76;305>;b0?0:?<5rs0fe`?6=;r7?=l4>e49>`=>=9:;01h67:012?xu6loo1<7=t=53b>4c334n3m7?<1:?f52d9g9567<5l2n6<=>;|q2a56=839p19?n:0g1?8b>83;8=63j8`8274=z{8o;=7>53z?75d<6m816h5m512389`?7289:7p}>e1094?5|5=;j6309~w4c7;3:1?v3;1`82`c=:l0>1=>?4=d;7>4563ty:i=:50;1x917f28nn70j66;305>;b1?0:?<5rs0g31?6=;r7?=l4>de9>`<>=9:;01h7n:012?xu6m9<1<7=t=53b>4be34n2o7?<1:?f=f<6;81v;|q2a5>=839p19?n:0f:?8b>i3;8=63j9d8274=z{8o;57>53z?75d<6l>16hl>512389`g7289:7p}>e1c94?5|5=;j6309~w4c7j3:1?v3;1`82`0=:lh>1=>?4=dc7>4563ty:i=m50;1x917f28n?70jn6;305>;bi10:?<5rs0g3`?6=;r7?=l4>d29>`dg=9:;01hon:012?xu6m9o1<7=t=53b>4b534njo7?<1:?fe3<6;81v;|q2a46=839p19?n:322?8bfm3;8=63jad8274=z{8o:=7>53z?75d<58916ho>512389`d7289:7p}>e0094?5|5=;j6309~w4c6;3:1?v3;1`82b`=:lk>1=>?4=d`5>4563ty:i<:50;1x917f28lo70jm8;305>;bj10:?<5rs0g21?6=;r7?=l4>fb9>`gg=9:;01hl;:012?xu6m8<1<7=t=53b>4`534ni:7?<1:?ffd<6;81v7;297~;39h0:i:52dca9567<5lhh6<=>;|q2a4>=839p19?n:0f`?8bem3;8=63jbd8274=z{8o:57>53z?75d<6l916hn>512389`e7289:7p}>e0c94?4|5==o6<=>;<6;5?57;2wx=h?m:180820l39jn63;c08146=:l891>72;8?70:71;31f>{t9l;o6=4;{<64a?5fj27?;k4>2c9>076434>2:7?<1:?7f1<6;8168n8513`891e02:ki7p}>e0d94?4|5==m6>l7;54z?7<5<58:168o65211891d>2;:870:l8;1bf>{t9l896=4={<6;4?5e027h9:4<029~w4c5;3:19v3;838146=:k6ge34n947<>b:?g75<59k1v6>><;|q2a73=838p196<:220?8eb83;8=6s|1d05>5<5s4>387?=b:?7<2<48:1v5248597dd<5j??6??i;`5g=:8n01i>m:33g?xu6m;21<76d?34i>n7=?3:p5`4>2908w0:74;137>;30<0:>o52494957dl4?:3y>0=0=;9901978:012?xu6m;h1<76d?34i>47=?3:p5`4d2909w0:78;31f>;31908<>5rs0g1`?6=:r7?454g0?=;990q~?j2d83>6}:<121?==4=5::>44e34>3m7?=b:p5`4a2909w0:7a;137>;3110:?<5rs0g04?6=:r7?4o4>2c9>0=e=;990q~?j3083>=}:<1h1>==4=5;:>6ge34i>87<>e:?`1g<59m16o8j520g89f3b2;;n70j?a;02b>;c8k09=k5rs0g06?6=;r7?4o4<029>0=b=9;h0196j:00a?xu6m:91<76d?34n947=?3:p5`532909w0:7e;137>;3100:?<5rs0g01?6=:r7?4k4`66=;990q~?j3783>6}:<1l1?==4=5;3>44e34>2=7?=b:p5`502909w0:61;137>;31h0:?<5rs0g0309>0d2=;hh0q~?j3883>7}:<081?ll4=cc5>6e?3ty:i>o50;0x91?4289:70:n6;1bf>{t9l9i6=4<{<6:7?5fj27?jk4>309><3g=;j20q~?j3b83>7}:<0>1=>?4=b70>6643ty:i>j50;;x91?32:ki70:6b;305>;d=:09=n52c44964c<5j?<6??k;`41=:;:01i?7:33`?xu6m:o1<745634i>87=?3:p5`5a290;d=:09=i52c46964e<5j?=6??l;`40=:8n0q~?j4183>7}:<0<1?ll4=cc5>d606ge34>i;7w0:6d;305>;31o08mo52c71964b<5j<36??k;l39jn63l65815a=:k?31>;c9:09=h52d05964b0:33`?xu6m==1<7lt=5;e>45634>j;7=nb:?`25<59m16o;?520f89f052;;o70m93;02g>;d>=09=n52c77964b<5j<<6??k;v3;a18274=:l8=1?==4}r3f0<<72:q68l>53``89f022;;h70m96;02`>{t9l>j6=4={<6b5?74927h9n4<029~w4c3j3:14v3;a080eg=:k?81>77b34i=:7<>c:?`22<59j16o;6520a89f0>2;;h70j=b;02f>{t9l>h6=4={<6b6?74927o>44<029~w4c3l3:1>v3;a380eg=:==4}r3f0`<72;q68l=512389a722::87p}>e5d94?4|5=k?6<=>;=7>54z?7e0<4ik16o;>520g89f012;;n70j>7;02g>{t9l?96=4={<6b2?74927h9l4<029~w4c2;3:1>v3;a980eg=:?4}r3f11<721q68l753``89f302;;h70m:c;02`>;d=o09=n52d02964b<5m;>6??i;44e3ty:i8850;1x91gf2;8870:m0;1a<>;d=m08<>5rs0g63?6=:r7?ml4<029>g`5=9:;0q~?j5983>6}:44e34>jj7<=4:p5`3>2908w0:nb;017>;3il08n552d1`97550dd=;9901nk>:012?xu6m44e34>jj7?=b:?7f5<5:=1v>524`d97g><5m:j6>><;|q2a0b=838p19ol:220?8eb:3;8=6s|1d7f>5<5s4>jh7=m8:?`1`<48:1v52c4:964b<5j?26??l;77c34in>7=nb:?g4d<59j1v52c4:964c<5j?26??j;46ge3ty:i;=50;7x91d62;:870:i5;305>;?>h0j<63le580eg=:koh1>{t9l<>6=4={<6a6?57;27hi94>309~w4c1>3:1?v3;b580eg=:?4=94b>d40g3=9:;01n;i:220?xu6m?21<76ge34in87=nd:p5`0>2908w0:m6;31f>;3j109>9524cg95670g0=;99019li:012?xu6m?h1<744e34>i57=?3:p5`0d2909w0:m7;1a<>;d>808<>5rs0g5`?6=:r7?n:4<029>0g>=9;h0q~?j6d83>7}:6643ty:i;h50;0x91d>288i70:ma;137>{t9l=;6=4={<6a=?5e027h:?4<029~w4c093:1>v3;b`826g=:e6194?4|5=hj6>l7;52z?7fg<4j116o;=53118yv7b??0;6?u24ca957d<5=ho6>><;|q2a21=838p19ll:2`;?8e1<39;?6s|1d5;>5<5s4>ih70g`=;hh019m;:320?8b6:38:j6s|1d5a>5<4s4>h<7?=b:?7g4<5:=168n951238yv7b?j0;6?u24b29755<5=i36<=>;|q2a2b=838p19m>:00a?82d:39;?6s|1d5f>5<5s4>h=7=m8:?`23<48:1vo524b197550f4=;k201n8::220?xu6m1;1<744e34>h87=?3:p5`>5290?w0:l3;037>;c8008mo52d01964e<5m8m6??m;|q2a=5=838p19m<:2`;?8e1?39;?6s|1d:7>5<5s4>h87?=b:?7g0<48:1v0f3=9;h019m9:220?xu6m1=1<776434n9h7=?3:p5`>?2909w0:l5;1a<>;d>008<>5rs0g;=?6=`5>=;hh01i?=:33`?8b5m38:n6s|1d:b>5<5s4>h:7=m8:?g6g<48:1vo524b`9755<5=io6<52z?7g<<48:16oh951238yv7b0l0;6>u24bc957d<5=ih6<e8394?4|5=ii6>l7;289:7p}>e8694?5|5=im6<=3:1?v3;cg8166=:6643ty:i4850;0x91ea2::870mj8;305>{t9l3<6=4;{<6g4?47;27h894=1b9>g3b=:8i01nk7:2ca?xu6m021<7;t=5f2>76434i?87<>d:?`0f<59j16oh753``89f`d2;;h7p}>e8;94?>|5=n96?><;<72b?749273<<46e:?;02<>m27i?i46e:?a26<>m27h:k4=1b9>g`g=;hh0q~?j9`83>6}:74434i=j7=?3:p5`?e2909w0:k3;137>;dmh0:?<5rs0g:g?6=:r7?h94g26=;990q~?j9e83>6}:1?==4=5f6>44e34>o:7?=b:p5`?b2903w0:k5;037>;29j0:?<527eg9=`=:0;>15h52b3c9=`=:j<:15h52c7g964c<5joi6>om;|q2a<`=839p19j::2`;?82c>389?63l6d8046=z{8oj<7>52z?7`3<48:16ohl51238yv7bi80;6?u24e59567<5j=:6>><;|q2ad4=838p19j8:2ca?8b2m3;8=6s|1dc0>5<4s4>o47?=b:?7`<<6:k168io53``8yv7bi=0;68u24e:9655<5<8:6<=>;<:5e?g134inh7=nb:?`ba<59j1v><;|q2ad0=838p19j6:220?8ebl3;8=6s|1dc4>5<2s4>om7?<1:?`2`<59j16o;h520f89f172;;h70j91;1bf>{t9lk36=4;{<6gf?74927hil4g`d=;hn01i;i:2ca?xu6mh31<76ge34inh7=nd:p5`gf2908w0:kc;1bf>;2:90:?<5287c9e==z{8ojn7>5cz?7`a27?hi4m4:?7`a689~w4cfk3:1>v3;de8ag>;e;m0:::5rs0gb`?6=:r7?hi4mb:?a7a<6>?1v52z?7`a659~w4ce83:1>v3;de8a<>;e;m0::>5rs0ga5?6=:r7?hi4m7:?a7a<6>;1v5dz?7`a<6;j168ij512c891bc289270:kd;30<>;3lm0:?:524ef9560<5=no6<=:;<6g`?74<27?hi4>449>0ab=9=>019jk:060?8gcm3;>;63m2`822<=z{8oi87>53z?7`a<6<;16mik514789g4f28<<7p}>ec794?5|5=no6<:>;l4>679~w4ce>3:1?v3;de8205=:imo1=8=4=c0b>4023ty:io950;1x91bc289m70oke;366>;e:h0::95rs0ga3d9>eac=9<;01o45c34koi7?:0:?a6d<6>;1v52aeg951><5k8j6<;n;|q2agd=838p19jk:2c3?82b;39=j6s|1d``>5<5s4>oh7=6e:?7a6<4>m1v0ab=;0i019k<:24a?xu6mkl1<76?e34>n?7=9a:p5`e72909w0:kd;1:e>;3m:08:45rs0g`5?6=:r7?hi4<989>0`5=;?20q~?jc383>7}:6003ty:in=50;0x91bc2:3=70:j3;151>{t9li?6=4={<6g`?5>=27?i>4<659~w4cd=3:1>v3;de80=1=:eb594?4|5=no6>7=;<6f7?5192wx=hm7:18182cl392=63;e28025=z{8oh57>52z?7`a<419168h=534d8yv7bkh0;6?u24ef97=`<5=o86>;j;|q2afd=838p19jk:2:f?82b;39>h6s|1da`>5<5s4>oh7=7d:?7a6<4=j1v0ab=;1k019k<:27:?xu6mjl1<76>>34>n?7=:8:p5`b72909w0:kd;1;<>;3m:089:5rs0gg5?6=:r7?hi4<869>0`5=;<<0q~?jd383>7}:6323ty:ii=50;0x91bc2:2>70:j3;160>{t9ln?6=4={<6g`?5?<27?i>4<529~w4cc=3:1>v3;de80<6=:ee594?4|5=no6>6?;<6f7?53n2wx=hj7:18182cl3952z?7`a<4?l168h=535f8yv7blh0;6?u24ef972b<5=o86>:l;|q2aad=838p19jk:25`?82b;39?n6s|1df`>5<5s4>oh7=8b:?7a6<40ab=;>3019k<:26;?xu6mml1<761?34>n?7=;7:p5`c72909w0:kd;143>;3m:088;5rs0gf5?6=:r7?hi40`5=;>?0q~?je383>7}:6133ty:ih=50;0x91bc2:k?70:j3;147>{t9lo?6=4={<6g`?5f;27?i>4<739~w4cb=3:1>v3;de80e7=:ed594?4|5=no6>78;<6f7?51>2wx=hk7:18182cl393o63;e2801g=z{8on57>52z?7`a<408168h=53428yv7bmh0;6?u24ef9720<5=o86>::;|q2a`d=83?p19jk:81891bb209019ji:81891c72090158n:0f:?xu6mli1<7;t=5fg><7<5=nn64?4=5fe><7<5=o;64?4=94b>4b03ty:ihj50;7x91bc20:019jj:82891ba20:019k?:8289=0f28n=7p}>edg94?3|5=no65h4=5ff>=`<5=nm65h4=5g3>=`<51=b<5=nn65j4=5fe>=b<5=o;65j4=94b>4b43ty:ik?50;7x91bc21i019jj:9a891ba21i019k?:9a89=0f28n97p}>eg094?3|5=no65l4=5ff>=d<5=nm65l4=5g3>=d<51;|q2ac5=83?p19jk:8a891bb20i019ji:8a891c720i0158n:322?xu6mo>1<7;t=5fg>7673ty:ik;50;7x91bc20k019jj:8c891ba20k019k?:8c89=0f28lm7p}>eg494?3|5=no6474=5ff><1<5=nn6494=5fe><1<5=o;6494=94b>4`d3ty:ik750;7x91bc20<019jj:84891ba20<019k?:8489=0f28l97p}>egc94?3|5=no64;4=5ff><3<5=nm64;4=5g3><3<51019ji:86891c720>0158n:0f`?xu6moi1<7;t=5fg>=g<5=nn65o4=5fe>=g<5=o;65o4=94b>4b73ty:ikj50;ax91bb2k<019jj:c6891bb2k9019jj:c0891bb2k;019jj:c2891bb2hl019jj:`g891bb2kl019jj:cg891bb2kn01o8<:04:?xu6moo1<7ge<5k<86<88;|q2ac`=838p19jj:c`89g0428<=7p}>f1294?4|5=nn6oo4=c40>4023ty:j=?50;0x91bb2k301o8<:047?xu6n981<7g><5k<86<8<;|q2b55=838p19jj:c589g0428<97p}>f1694?4|5=nn6lj4=c40>43f3ty:j=;50;fx91bb289h70:ke;30e>;3ll0:?4524eg956><5=nn6<=8;<6ga?74>27?hh4>349>0ac=9:>019jj:066?82cm3;?863;dd8206=:j9>1=894=c73>40>3ty:j=850;1x91bb28>970l?4;361>;e=90:::5rs0d33?6=;r7?hh4>409>f52=9<>01o;?:045?xu6n921<7=t=5ff>42734h;87?:3:?a15<6><1v=63m518226=z{8l;n7>53z?7``<6;m16n=:514289g3728<97p}>f1a94?5|5=nn6<=<;<`30?73027i9=4>5`9~w4`7l3:1>v3;dd80e5=:f1d94?4|5=nn6>7k;<6f3?51k2wx=k??:18182cm392o63;e6802g=z{8l:=7>52z?7``<41k168h9537c8yv7a9;0;6?u24eg9786;|q2b45=838p19jj:2;:?82b?39=46s|1g37>5<5s4>oi7=68:?7a2<4>>1v5;296~;3ll085;524d597330ac=;0?019k8:247?xu6n8=1<76?334>n;7=93:p5c7?2909w0:ke;1:7>;3m>08:?5rs0d2=?6=:r7?hh4<939>0`1=;?;0q~?i1`83>7}:6073ty:j{t9o;h6=4={<6ga?5?n27?i:4<5d9~w4`6l3:1>v3;dd80<`=:f0d94?4|5=nn6>6m;<6f3?52i2wx=k52z?7``<400168h9534:8yv7a:;0;6?u24eg97=><5=o<6>;8;|q2b75=838p19jj:2:4?82b?39>:6s|1g07>5<5s4>oi7=76:?7a2<4=<1v;4?:3y>0ac=;1>019k8:270?xu6n;=1<76>434>n;7=:2:p5c4?2909w0:ke;1;6>;3m>089<5rs0d1=?6=:r7?hh4<819>0`1=;=l0q~?i2`83>7}:62b3ty:j?l50;0x91bb2:=n70:j7;17`>{t9o8h6=4={<6ga?50l27?i:4<4b9~w4`5l3:1>v3;dd803f=:j7p}>f3d94?4|5=nn6>9n;<6f3?5312wx=k=?:18182cm39<563;e6800==z{8l8=7>52z?7``<4?1168h953558yv7a;;0;6?u24eg9721<5=o<6>:9;|q2b65=838p19jj:2c5?82b?39<96s|1g17>5<5s4>oi7=n5:?7a2<4?=1v0ac=;h9019k8:251?xu6n:=1<76g534>n;7=81:p5c5?2909w0:ke;1b5>;3m>08;=5rs0d0=?6=:r7?hh4<969>0`1=;?<0q~?i3`83>7}:63e3ty:j>l50;0x91bb2:2:70:j7;164>{t9o9h6=4={<6ga?50>27?i:4<449~w4`4l3:1>v3;dg8a7>;?880::<5rs0d0a?6=:r7?hk4m2:?;44<6=o1v52z?7`c5e9~w4`393:1>v3;dg8bb>;?880:9n5rs0d76?6=:r7?hk4ne:?;44<6=k1v52z?7`c6`9~w4`3=3:1>v3;dg8a`>;?880::45rs0d72?6=:r7?hk4mc:?;44<6>>1v52z?7`c649~w4`313:1>v3;dg8a=>;?880::95rs0d7e?6=:r7?hk4m8:?;44<6>:1v52z?7`c5`9~w4`3l3:1?v3;dg827<=:?<81=9h4=6ff>4063ty:j9k50;1x91ba2893709:2;37`>;0ll0:9k5rs0d7b?6=;r7?hk4>369>304=9=i01:jj:07f?xu6n<:1<7=t=5fe>45134=>>7?;b:?4``<6=m1vnn6<;l;|q2b04=839p19ji:017?812:3;?5638dd821g=z{8l>?7>53z?7`c<6<<16;8<514;892bb28f4694?5|5=nm6<:;;<566?720276`9~w4`2=3:1?v3;dg8206=:?<81=894=6ff>40>3ty:j8850;1x91ba28>9709:2;361>;0ll0:::5rs0d63?6=;r7?hk4>409>304=9<>01:jj:045?xu6n<21<7=t=5fe>42734=>>7?:3:?4``<6><1vnn6<8;;|q2b0g=839p19ji:01f?812:3;>=638dd8226=z{8l>n7>53z?7`c<6;m16;8<5142892bb28<97p}>f4a94?5|5=nm6<=<;<566?730275`9~w4`2l3:1>v3;dg80e5=:f4d94?4|5=nm6>7k;<6f`?51k2wx=k8?:18182cn392o63;ee802g=z{8l==7>52z?7`c<41k168hj537c8yv7a>;0;6?u24ed9786;|q2b35=838p19ji:2;:?82bl39=46s|1g47>5<5s4>oj7=68:?7aa<4>>1v0a`=;0?019kk:247?xu6n?=1<76?334>nh7=93:p5c0?2909w0:kf;1:7>;3mm08:?5rs0d5=?6=:r7?hk4<939>0`b=;?;0q~?i6`83>7}:6073ty:j;l50;0x91ba2:3;70:jd;16b>{t9ov3;dg80<`=:f7d94?4|5=nm6>6m;<6f`?52i2wx=k9?:18182cn393m63;ee801<=z{8l<=7>52z?7`c<400168hj534:8yv7a?;0;6?u24ed97=><5=oo6>;8;|q2b25=838p19ji:2:4?82bl39>:6s|1g57>5<5s4>oj7=76:?7aa<4=<1v0a`=;1>019kk:270?xu6n>=1<76>434>nh7=:2:p5c1?2909w0:kf;1;6>;3mm089<5rs0d4=?6=:r7?hk4<819>0`b=;=l0q~?i7`83>7}:62b3ty:j:l50;0x91ba2:=n70:jd;17`>{t9o=h6=4={<6gb?50l27?ii4<4b9~w4`0l3:1>v3;dg803f=:j7p}>f6d94?4|5=nm6>9n;<6f`?5312wx=k6?:18182cn39<563;ee800==z{8l3=7>52z?7`c<4?1168hj53558yv7a0;0;6?u24ed9721<5=oo6>:9;|q2b=5=838p19ji:2c5?82bl39<96s|1g:7>5<5s4>oj7=n5:?7aa<4?=1v0a`=;h9019kk:251?xu6n1=1<76g534>nh7=81:p5c>?2909w0:kf;1b5>;3mm08;=5rs0d;=?6=:r7?hk4<969>0`b=;?<0q~?i8`83>7}:63e3ty:j5l50;0x91ba2:2:70:jd;164>{t9o2h6=4={<6gb?50>27?ii4<449~w4`?l3:1>v3;e18a7>;?<>0::<5rs0d;a?6=:r7?i=4m2:?;02<6=o1v52z?7a55e9~w4`>93:1>v3;e18bb>;?<>0:9n5rs0d:6?6=:r7?i=4ne:?;02<6=k1v52z?7a56`9~w4`>=3:1>v3;e18a`>;?<>0::45rs0d:2?6=:r7?i=4mc:?;02<6>>1v52z?7a5649~w4`>13:1>v3;e18a=>;?<>0::95rs0d:e?6=:r7?i=4m8:?;02<6>:1v52z?7a55`9~w4`>l3:1?v3;e1827<=:?021=9h4=907>4063ty:j4k50;1x91c7289370968;37`>;?:=0:9k5rs0d:b?6=;r7?i=4>369>3<>=9=i015<;:07f?xu6nh:1<7=t=5g3>45134=247?;b:?;61<6=m1v03;?563725821g=z{8lj?7>53z?7a5<6<<16;46514;89=4328f`694?5|5=o;6<:;;<5:94>6`9~w4`f=3:1?v3;e18206=:?021=894=907>40>3ty:jl850;1x91c728>970968;361>;?:=0:::5rs0db3?6=;r7?i=4>409>3<>=9<>015<;:045?xu6nh21<7=t=5g3>42734=247?:3:?;61<6><1v03;>=637258226=z{8ljn7>53z?7a5<6;m16;46514289=4328<97p}>f`a94?5|5=o;6<=<;<5:94>5`9~w4`fl3:1>v3;e180e5=:538g891`62:f`d94?4|5=o;6>7k;<6e5?51k2wx=kl?:18182b8392o63;f0802g=z{8li=7>52z?7a5<41k168k?537c8yv7aj;0;6?u24d29786;|q2bg5=838p19k?:2;:?82a939=46s|1g`7>5<5s4>n<7=68:?7b4<4>>1v0`6=;0?019h>:247?xu6nk=1<76?334>m=7=93:p5cd?2909w0:j0;1:7>;3n808:?5rs0da=?6=:r7?i=4<939>0c7=;?;0q~?ib`83>7}:6073ty:jol50;0x91c72:3;70:i1;16b>{t9ohh6=4={<6f4?5?n27?j<4<5d9~w4`el3:1>v3;e180<`=:539f891`62:?h7p}>fcd94?4|5=o;6>6m;<6e5?52i2wx=km?:18182b8393m63;f0801<=z{8lh=7>52z?7a5<400168k?534:8yv7ak;0;6?u24d297=><5=l:6>;8;|q2bf5=838p19k?:2:4?82a939>:6s|1ga7>5<5s4>n<7=76:?7b4<4=<1v0`6=;1>019h>:270?xu6nj=1<76>434>m=7=:2:p5ce?2909w0:j0;1;6>;3n8089<5rs0d`=?6=:r7?i=4<819>0c7=;=l0q~?ic`83>7}:62b3ty:jnl50;0x91c72:=n70:i1;17`>{t9oih6=4={<6f4?50l27?j<4<4b9~w4`dl3:1>v3;e1803f=:536`891`62:>j7p}>fbd94?4|5=o;6>9n;<6e5?5312wx=kj?:18182b839<563;f0800==z{8lo=7>52z?7a5<4?1168k?53558yv7al;0;6?u24d29721<5=l:6>:9;|q2ba5=838p19k?:2c5?82a939<96s|1gf7>5<5s4>n<7=n5:?7b4<4?=1v0`6=;h9019h>:251?xu6nm=1<76g534>m=7=81:p5cb?2909w0:j0;1b5>;3n808;=5rs0dg=?6=:r7?i=4<969>0c7=;?<0q~?id`83>7}:63e3ty:jil50;0x91c72:2:70:i1;164>{t9onh6=4={<6f4?50>27?j<4<449~w4`cl3:1ov3;e08a2>;3m80i863;e08a7>;3m80i>63;e08a5>;3m80i<63;e08bb>;3m80ji63;e08ab>;3m80ii63;e08a`>;>k80::45rs0dga?6=:r7?i<4mc:?:g4<6>>1v52z?7a4649~w4`b93:1>v3;e08a=>;>k80::95rs0df6?6=:r7?i<4m8:?:g4<6>:1v52z?7a45`9~w4`b=3:1>v3;e0802c=:4}r3ea3<72;q68h?537f891c12:3n7p}>fd594?4|5=o:6>8l;<6f2?5>l2wx=kk7:18182b939=n63;e780=f=z{8ln57>52z?7a4<4>h168h8538`8yv7amh0;6?u24d3973?<5=o=6>7n;|q2b`d=838p19k>:24;?82b>39256s|1gg`>5<5s4>n=7=97:?7a3<4111v0`7=;?>019k9:2;6?xu6nll1<760434>n:7=64:p5c`72909w0:j1;156>;3m?085>5rs0de5?6=:r7?i<4<609>0`0=;080q~?if383>7}:4=5g5>6?63ty:jk=50;0x91c62:?m70:j6;1:4>{t9ol?6=4={<6f5?52m27?i;4<8g9~w4`a=3:1>v3;e0801a=:fg594?4|5=o:6>;n;<6f2?5?j2wx=kh7:18182b939>563;e78052z?7a4<4=1168h8539;8yv7anh0;6?u24d39701<5=o=6>67;|q2bcd=838p19k>:275?82b>393;6s|1gd`>5<5s4>n=7=:5:?7a3<40?1v0`7=;<9019k9:2:7?xu6nol1<763534>n:7=73:p65672909w0:j1;165>;3m?084?5rs3235?6=:r7?i<4<4g9>0`0=;1:0q~7}:61a3ty9<==50;0x91c62:>o70:j6;14a>{t:9:?6=4={<6f5?53k27?i;4<7e9~w767=3:1>v3;e0800g=::6;<6f2?50i2wx>=>7:18182b939?463;e7803<=z{;:;57>52z?7a4<4<>168h8536:8yv478h0;6?u24d39710<5=o=6>98;|q145d=838p19k>:256?82b>39j:6s|212`>5<5s4>n=7=84:?7a3<4i<1v?>?d;296~;3m808;>524d497d20`7=;>8019k9:2c0?xu589l1<761634>n:7=n2:p65772909w0:j1;144>;3m?08m<5rs3225?6=:r7?i<4<679>0`0=;0=0q~7}:6>d3ty9<<=50;0x91c62:?;70:j6;1;5>{t:9;?6=4={<6f5?53=27?i;4<779~w766=3:1hv3;e0827f=:o4=5g2>45>34>n=7?<8:?7a4<6;>168h?5124891c6289>70:j1;300>;3m80:88524d39512<5=o:6<:<;<;ba?71127i4h4>309~w766>3:1?v3;e08207=:1ho1=;94=c:e>4563ty9<<950;1x91c628>:707ne;352>;e190:?<5rs322419>=dc=9??01o7>:012?xu58831<7=t=5g2>45a343ji7?94:?a=7<6;81v?>>a;297~;3m80:?h529`g9535<5k386<=>;|q144d=839p19k>:01g?8?fm3;=>63m958274=z{;::o7>53z?7a4<6;:165lk514c89g?2289:7p}=00f94?3|5=o:64=4=5g1><5<5=oi64=4=5g`><5<502<6:83891c520;019km:83891cd20;01468:0f4?xu588l1<7;t=5g2><6<5=o964>4=5ga><6<5=oh64>4=8:4>4b13ty950;7x91c621l019k=:9d891ce21l019kl:9d89<>028n>7p}=03394?3|5=o:65k4=5g1>=c<5=oi65k4=5g`>=c<502<6:9f891c521n019km:9f891cd21n01468:0f0?xu58;91<7;t=5g2>=e<5=o965m4=5ga>=e<5=oh65m4=8:4>4b53ty9028n:7p}=03794?3|5=o:64m4=5g1>>;|q1470=83?p19k>:8`891c520h019km:8`891cd20h01468:323?xu58;=1<7;t=5g2>4`a3ty9028ln7p}=03;94?3|5=o:6464=5g1><><5=oi6464=5g`><><502<6:85891c520=019km:85891cd20=01468:0d`?xu58;h1<7;t=5g2><0<5=o96484=5ga><0<5=oh6484=8:4>4`53ty9028o<7p}=03f94?3|5=o:64:4=5g1><2<5=oi64:4=5g`><2<502<6:9c891c521k019km:9c891cd21k01468:0f3?xu58;l1<7mt=5g1>g0<5=o96o:4=5g1>g5<5=o96o<4=5g1>g7<5=o96o>4=5g1>d`<5=o96lk4=5g1>g`<5=o96ok4=5g1>gb<50o<6<86;|q1466=838p19k=:ca894013ty9<><50;0x91c52kk014k8:046?xu58:91<7g?<50o<6<8;;|q1462=838p19k=:c:894053ty9<>850;0x91c52hn014k8:07b?xu58:=1<760a34>nm7=n0:p655?2909w0:j2;15`>;3mh085h5rs320=?6=:r7?i?4<6b9>0`g=;0n0q~7}:6?d3ty9<>l50;0x91c52:{t:99h6=4={<6f6?51127?il4<9`9~w764l3:1>v3;e3802==:8:;<6fe?5>>2wx>=:?:18182b:39=863;e`80=0=z{;:?=7>52z?7a7<4>:168ho53868yv47<;0;6?u24d09734<5=oj6>7<;|q1415=838p19k=:242?82bi392>6s|2167>5<5s4>n>7=90:?7ad<4181v?>;5;296~;3m;089k524dc97<60`4=;63c34>nm7=7e:p652?2909w0:j2;16g>;3mh084i5rs327=?6=:r7?i?4<5`9>0`g=;1h0q~7}:6>f3ty9<9l50;0x91c52:?370:ja;1;=>{t:9>h6=4={<6f6?52?27?il4<899~w763l3:1>v3;e38013=:;;;<6fe?5?=2wx>=;?:18182b:39>?63;e`80<1=z{;:>=7>52z?7a7<4=;168ho53918yv47=;0;6?u24d09707<5=oj6>6=;|q1405=838p19k=:26e?82bi393<6s|2177>5<5s4>n>7=;e:?7ad<4?o1v?>:5;296~;3m;088i524dc972c0`4=;=i019kn:25g?xu58<=1<762e34>nm7=8c:p653?2909w0:j2;17e>;3mh08;o5rs326=?6=:r7?i?4<489>0`g=;>k0q~7}:61>3ty9<8l50;0x91c52:><70:ja;14<>{t:9?h6=4={<6f6?53>27?il4<769~w762l3:1>v3;e38030=:7p}=04d94?4|5=o96>9<;<6fe?5f<2wx>=8?:18182b:39<>63;e`80e6=z{;:==7>52z?7a7<4?8168ho53`08yv47>;0;6?u24d09726<5=oj6>o>;|q1435=838p19k=:245?82bi392;6s|2147>5<5s4>n>7=:b:?7ad<40j1v?>95;296~;3m;089=524dc97=70`4=;=?019kn:255?xu58?=1<7jt=5g1>45d34>n>7?;3m;0:?8524d09562<5=o96<::;<6f6?73<27?i?4>429>=a2=9?301o99:012?xu58?21<7=t=5g1>425343o87?97:?a32<6;81v?>99;297~;3m;0:8<529e69530<5k=36<=>;|q143g=839p19k=:063?8?c<3;=963m788274=z{;:=n7>53z?7a7<6;o165i:517689g1f289:7p}=07a94?5|5=o96<=j;<;g0?71;27i;o4>309~w761l3:1?v3;e3827a=:1m>1=;<4=c5`>4563ty9<;k50;1x91c52898707k4;36e>;e?m0:?<5rs325b?6=kr7?i>4m6:?7a64m3:?7a64m1:?7a64nf:?7a64mf:?7a64md:?bb4<6>01v?>80;296~;3m:0io63nf08222=z{;:<=7>52z?7a6679~w760:3:1>v3;e28ae>;fn80::85rs3247?6=:r7?i>4m9:?bb4<6>=1v?>84;296~;3m:0i463nf08226=z{;:<97>52z?7a6639~w760>3:1>v3;e28b`>;fn80:9l5rs3243?6=lr7?i>4>3b9>0`5=9:k019k<:01:?82b;3;8463;e28272=:84=5g0>45234>n?7?<4:?7a6<6<<168h=5156891c428>870o62;363>;fll0::45rs3244>439>e<4=931<7=t=5g0>42634k2>7?:4:?b``<6>?1v?>8a;297~;3m:0:8=52a809505<5hnn6<8:;|q142d=839p19k<:01e?8g>:3;>>63ndd8221=z{;:53z?7a6<6;l16m4<514389dbb28<87p}=06f94?5|5=o86<=k;639~w760m3:1?v3;e28276=:i081=964=`ff>43f3ty9<:h50;0x91c42:k;70:j4;15b>{t:92;6=4={<6f7?5>m27?i94<6e9~w76?93:1>v3;e280=a=:1?;m4}r03<7<72;q68h=538a891c32:7m;<6f0?51i2wx>=6;:18182b;392m63;e5802<=z{;:397>52z?7a6<410168h:537:8yv470?0;6?u24d197<><5=o?6>88;|q14=1=838p19k<:2;5?82b<39=96s|21:;>5<5s4>n?7=65:?7a1<4>=1v?>79;296~;3m:0859524d697350`5=;09019k;:241?xu581h1<76?534>n87=91:p65>d2909w0:j3;1:5>;3m=08:=5rs32;`?6=:r7?i>4<919>0`2=;7}:63b3ty9<5h50;0x91c42:2n70:j4;16`>{t:93;6=4={<6f7?5?l27?i94<5b9~w76>93:1>v3;e2801?8o4}r03=7<72;q68h=539c891c32:?27p}=08194?4|5=o86>66;<6f0?5202wx>=7;:18182b;393463;e58012=z{;:297>52z?7a6<40>168h:53448yv471?0;6?u24d197=0<5=o?6>;:;|q14<1=838p19k<:2:6?82b<39>86s|21;;>5<5s4>n?7=74:?7a1<4=:1v?>69;296~;3m:084>524d697040`5=;18019k;:272?xu580h1<76>734>n87=;f:p65?d2909w0:j3;14b>;3m=088h5rs32:`?6=:r7?i>4<7d9>0`2=;=n0q~7}:62d3ty9<4h50;0x91c42:=h70:j4;17f>{t:9k;6=4={<6f7?50j27?i94<4`9~w76f93:1>v3;e2803d=:1?974}r03e7<72;q68h=536;891c32:>37p}=0`194?4|5=o86>97;<6f0?53?2wx>=o;:18182b;39<;63;e58003=z{;:j97>52z?7a6<4i?168h:53678yv47i?0;6?u24d197d3<5=o?6>9;;|q14d1=838p19k<:2c7?82b<395<5s4>n?7=n3:?7a1<4?;1v?>n9;296~;3m:08m?524d697270`5=;h;019k;:253?xu58hh1<76?034>n87=96:p65gd2909w0:j3;1;g>;3m=089o5rs32b`?6=:r7?i>4<809>0`2=;<:0q~7}:6223ty9:8189=g428n27p}=0c294?3|5=o864?4=5g4><7<5=oo64?4=5d2><7<51k86=`<5=o<65h4=5gg>=`<5=l:65h4=9c0>4b23ty9:9g89=g428n?7p}=0c694?3|5=o865j4=5g4>=b<5=oo65j4=5d2>=b<51k86=d<5=o<65l4=5gg>=d<5=l:65l4=9c0>4b63ty9:8a89=g42;::7p}=0c:94?3|5=o864l4=5g4>?;|q14g?=83?p19k<:8c891c020k019kk:8c891`620k015o<:0de?xu58kk1<7;t=5g0>4`b3ty9:8:89=g428lo7p}=0ca94?3|5=o86494=5g4><1<5=oo6494=5d2><1<51k86<3<5=o<64;4=5gg><3<5=l:64;4=9c0>4c03ty9019k8:86891cc20>019h>:8689=g428nh7p}=0b294?3|5=o865o4=5g4>=g<5=oo65o4=5d2>=g<51k86019k;:c1891c32k8019k;:c3891c32k:019k;:`d891c32ho019k;:cd891c32ko019k;:cf89dg228<27p}=0b094?4|5=o?6om4=`c6>4003ty91<7gg<5hk>6<8:;|q14f3=838p19k;:c;89dg2284043ty9db<5hk>6<;n;|q14f?=83np19k;:01`?82b<3;8m63;e5827<=:1=>64=5g7>45034>n87?<6:?7a1<6;<168h:5126891c328>>70:j4;370>;3m=0:8>52a549501<5h396<86;|q14fg=839p19k;:061?8g3>3;>963n938222=z{;:hn7>53z?7a1<6<816m98514689d?528<=7p}=0ba94?5|5=o?6<:?;649~w76dl3:1?v3;e5827c=:i=<1=8<4=`;1>4033ty9;f1;0::>5rs32`b?6=;r7?i94>3e9>e10=9<:01l7=:041?xu58m:1<7=t=5g7>45434k?:7?;8:?b=7<6=h1v?>k1;296~;3m=08m=524d7973`0`2=;0o019k::24g?xu58m91<76?c34>n97=9c:p65b32909w0:j4;1:g>;3m<08:o5rs32g1?6=:r7?i94<9c9>0`3=;?k0q~7}:1?4o4=5g6>60>3ty9{t:9n36=4={<6f0?5>027?i84<669~w76c13:1>v3;e580=3=:7;;<6f1?51;2wx>=jl:18182b<392?63;e48027=z{;:oh7>52z?7a1<41;168h;53738yv47ll0;6?u24d697<7<5=o>6>8?;|q14a`=838p19k;:2;3?82b=39>j6s|21g3>5<5s4>n87=7f:?7a0<4=l1v?>j1;296~;3m=084h524d7970b0`2=;1n019k::27`?xu58l91<76>e34>n97=:a:p65c32909w0:j4;1;e>;3m<08945rs32f1?6=:r7?i94<889>0`3=;<20q~7}:1?564=5g6>6303ty9{t:9o36=4={<6f0?5?>27?i84<549~w76b13:1>v3;e580<0=:6<;<6f1?52:2wx>=kl:18182b<393>63;e48014=z{;:nh7>52z?7a1<409168h;535d8yv47ml0;6?u24d6972`<5=o>6>:j;|q14``=838p19k;:25f?82b=39?h6s|21d3>5<5s4>n87=8d:?7a0<4i1;296~;3m=08;n524d7971d0`2=;>h019k::26b?xu58o91<761f34>n97=;9:p65`32909w0:j4;14=>;3m<08855rs32e1?6=:r7?i94<799>0`3=;==0q~7}:1?:94=5g6>6213ty9{t:9l36=4={<6f0?5f=27?i84<759~w76a13:1>v3;e580e1=:o=;<6f1?5092wx>=hl:18182b<39j=63;e48035=z{;:mh7>52z?7a1<41>168h;53748yv47nl0;6?u24d697=e<5=o>6>;m;|q14c`=838p19k;:2:2?82b=39><6s|2023>5<5s4>n87=86:?7a0<4<<1v???1;291~;3m=02?63;e98:7>;3ml02?63;f38:7>;?lj0:h45rs3336?6==r7?i9461:?7a=<>927?ih461:?7b7<>9273hn4>d69~w777;3:19v3;e58:4>;3m102<63;ed8:4>;3n;02<637db82`3=z{;;;87>55z?7a1;3ml03i63;f38;a>;?lj0:h95rs3332?6==r7?i947d:?7a=d29~w777?3:19v3;e58;g>;3m103o63;ed8;g>;3n;03o637db82`7=z{;;;47>55z?7a1;3ml02o63;f38:g>;?lj09<<5rs333e?6==r7?i946b:?7a=<>j27?ih46b:?7b7<>j273hn4=019~w777j3:19v3;e58:e>;3m102m63;ed8:e>;3n;02m637db82bc=z{;;;o7>55z?7a1<>127?i5469:?7a`<>127?j?469:?;`f<6nl1v???d;291~;3m=02463;e98:<>;3ml02463;f38:<>;?lj0:ji5rs333a?6==r7?i9467:?7a=<>?27?ih467:?7b7<>?273hn4>fb9~w777n3:19v3;e58:2>;3m102:63;ed8:2>;3n;02:637db82b7=z{;;:<7>55z?7a1<>=27?i5465:?7a`<>=27?j?465:?;`f<6m>1v??>1;291~;3m=02863;e98:0>;3ml02863;f38:0>;?lj0:hn5rs3326?6==r7?i947a:?7a=d19~w776;3:1ov3;e48a2>;3m<0i863;e48a7>;3m<0i>63;e48a5>;3m<0i<63;e48bb>;3m<0ji63;e48ab>;3m<0ii63;e48a`>;f=00::45rs3320?6=:r7?i84mc:?b1<<6>>1v??>5;296~;3m<0in63n588223=z{;;::7>52z?7a0649~w776?3:1>v3;e48a=>;f=00::95rs332:1v??>9;296~;3m<0i;63n588227=z{;;:m7>52z?7a05`9~w776j3:1hv3;e4827f=:o4=5g6>45>34>n97?<8:?7a0<6;>168h;5124891c2289>70:j5;300>;3m<0:88524d79512<5=o>6<:<;<;ee?72?27j8;4>689~w776k3:1?v3;e48207=:1ok1=8;4=`65>4003ty9=:707ia;360>;f419>=cg=9<901l:9:046?xu598l1<7=t=5g6>45a343mm7?:2:?b03<6>=1v??=0;297~;3m<0:?h529gc9507<5h>=6<8<;|q1577=839p19k::01g?8?ai3;><63n478227=z{;;9>7>53z?7a0<6;:165ko515:89d2128?j7p}=13194?4|5=o>6>o?;<6f2?51n2wx><<;:18182b=392i63;e7802a=z{;;997>52z?7a0<41m168h8537a8yv46:?0;6?u24d7978m;|q1571=838p19k::2;a?82b>39=m6s|200;>5<5s4>n97=6a:?7a3<4>01v??=9;296~;3m<0854524d4973>l4?:3y>0`3=;02019k9:244?xu59;h1<76?134>n:7=95:p644d2909w0:j5;1:1>;3m?08:95rs331`?6=:r7?i84<959>0`0=;?90q~<>2d83>7}:6053ty9=?h50;0x91c22:3970:j6;155>{t:89;6=4={<6f1?5>927?i;4<619~w77493:1>v3;e480=5=:6>6j;<6f2?52l2wx><=;:18182b=393h63;e7801f=z{;;897>52z?7a0<40k168h8534c8yv46;?0;6?u24d797=g<5=o=6>;6;|q1561=838p19k::2::?82b>39>46s|201;>5<5s4>n97=78:?7a3<4=>1v??<9;296~;3m<084:524d497000`3=;1<019k9:276?xu59:h1<76>234>n:7=:4:p645d2909w0:j5;1;0>;3m?089>5rs330`?6=:r7?i84<829>0`0=;<80q~<>3d83>7}:6363ty9=>h50;0x91c22:2;70:j6;17b>{t:8>;6=4={<6f1?50n27?i;4<4d9~w77393:1>v3;e4803`=:h7p}=15194?4|5=o>6>9l;<6f2?53j2wx><:;:18182b=3952z?7a0<4?h168h8535;8yv46:7;|q1511=838p19k::25;?82b>39?;6s|206;>5<5s4>n97=87:?7a3<40`3=;h?019k9:257?xu59=h1<76g334>n:7=83:p642d2909w0:j5;1b7>;3m?08;?5rs337`?6=:r7?i840`0=;>;0q~<>4d83>7}:6173ty9=9h50;0x91c22:3<70:j6;152>{t:8?;6=4={<6f1?5?k27?i;4<5c9~w77293:1>v3;e480<4=:4}r0217<72;q68h;5364891c12:>>7p}=14194?3|5=o>64=4=5g:><5<5=om64=4=5d0><5<50;>620;019ki:83891`420;014?::0f4?xu59<6<5=o264>4=5ge><6<5=l864>4=836>4b13ty9=8850;7x91c221l019k6:9d891ca21l019h<:9d89<7228n>7p}=14594?3|5=o>65k4=5g:>=c<5=om65k4=5d0>=c<50;>6=83?p19k::9f891c>21n019ki:9f891`421n014?::0f0?xu59<31<7;t=5g6>=e<5=o265m4=5ge>=e<5=l865m4=836>4b53ty9=8o50;7x91c221h019k6:9`891ca21h019h<:9`89<7228n:7p}=14`94?3|5=o>64m4=5g:>6?>>;|q150e=83?p19k::8`891c>20h019ki:8`891`420h014?::323?xu594`a3ty9=8k50;7x91c2203019k6:8;891ca203019h<:8;89<7228ln7p}=14d94?3|5=o>6464=5g:><><5=om6464=5d0><><50;>620=019ki:85891`420=014?::0d`?xu59?;1<7;t=5g6><0<5=o26484=5ge><0<5=l86484=836>4`53ty9=;<50;7x91c220?019k6:87891ca20?019h<:8789<7228o<7p}=17194?3|5=o>64:4=5g:><2<5=om64:4=5d0><2<50;>621k019ki:9c891`421k014?::0f3?xu59??1<7mt=5g5>g0<5=o=6o:4=5g5>g5<5=o=6o<4=5g5>g7<5=o=6o>4=5g5>d`<5=o=6lk4=5g5>g`<5=o=6ok4=5g5>gb<5h:o6<86;|q1530=838p19k9:ca89d6c28<<7p}=17594?4|5=o=6ol4=`2g>4013ty9=;650;0x91c12kk01l>k:046?xu59?31<7g?<5h:o6<8;;|q153g=838p19k9:c:89d6c28<87p}=17`94?4|5=o=6o94=`2g>4053ty9=;m50;0x91c12hn01l>k:07b?xu59?n1<7jt=5g5>45d34>n:7?;3m?0:?8524d49562<5=o=6<::;<6f2?73<27?i;4>429>=dc=9<=014hn:04:?xu59?o1<7=t=5g5>425343ji7?:5:?:bd<6>>1v??9f;297~;3m?0:8<529`g9502<50lj6<89;|q1526=839p19k9:063?8?fm3;>?636f`8220=z{;;<=7>53z?7a3<6;o165lk514089<`f28629~w770;3:1?v3;e7827a=:1ho1=8>4=8db>4053ty9=::50;1x91c12898707ne;37<>;>nh0:9l5rs3341?6==r7?i;463:?7ad<>;27?j=463:?7b1<>;2728h4>d89~w770>3:19v3;e78:5>;3mh02=63;f18:5>;3n=02=6364d82`2=z{;;<;7>55z?7a3<>827?il460:?7b5<>827?j9460:?:0`<6l?1v??88;291~;3m?03j63;e`8;b>;3n903j63;f58;b>;>d59~w770i3:19v3;e78;`>;3mh03h63;f18;`>;3n=03h6364d82`6=z{;;55z?7a3;3n903n63;f58;f>;>k27?j=46c:?7b1<>k2728h4=009~w770m3:19v3;e78:f>;3mh02n63;f18:f>;3n=02n6364d8145=z{;;55z?7a3<>i27?il46a:?7b5<>i27?j946a:?:0`<6no1v??70;291~;3m?02563;e`8:=>;3n902563;f58:=>;>027?j=468:?7b1<>02728h4>fe9~w77?:3:19v3;e78:3>;3mh02;63;f18:3>;3n=02;6364d82bf=z{;;3?7>55z?7a3<>>27?il466:?7b5<>>27?j9466:?:0`<6n;1v??74;291~;3m?02963;e`8:1>;3n902963;f58:1>;><27?j=464:?7b1<><2728h4>db9~w77?>3:19v3;e78;e>;3mh03m63;f18;e>;3n=03m6364d82`5=z{;;3;7>5cz?7a227?i:4m4:?7a2689~w77?03:1>v3;e68ag>;e9>0:::5rs33;=?6=:r7?i:4mb:?a52<6>?1v??7a;296~;3m>0im63m168220=z{;;3n7>52z?7a2659~w77?k3:1>v3;e68a<>;e9>0::>5rs33;`?6=:r7?i:4m7:?a52<6>;1v??7e;296~;3m>0jh63m16821d=z{;;3j7>5dz?7a2<6;j168h9512c891c0289270:j7;30<>;3m>0:?:524d59560<5=o<6<=:;<6f3?74<27?i:4>449>0`1=9=>019k8:060?8ge03;>;63m05822<=z{;;2<7>53z?7a2<6<;16mo6514789g6328<<7p}=18394?5|5=o<6<:>;679~w77>:3:1?v3;e68205=:ik21=8=4=c27>4023ty9=4=50;1x91c0289m70om8;366>;e8=0::95rs33:0?6=;r7?i:4>3d9>eg>=9<;01o>;:040?xu590?1<7=t=5g4>45c34ki47?:0:?a41<6>;1v??66;297~;3m>0:?>52ac:951><5k:?6<;n;|q15<1=838p19k8:2c3?82b039=j6s|20;;>5<5s4>n;7=6e:?7a=<4>m1v??69;296~;3m>085i524d:973e0`1=;0i019k7:24a?xu590h1<76?e34>n47=9a:p64?d2909w0:j7;1:e>;3m108:45rs33:`?6=:r7?i:4<989>0`>=;?20q~<>9d83>7}:6003ty9=4h50;0x91c02:3=70:j8;151>{t:8k;6=4={<6f3?5>=27?i54<659~w77f93:1>v3;e680=1=:7=;<6f52z?7a2<419168h6534d8yv46i?0;6?u24d597=`<5=o36>;j;|q15d1=838p19k8:2:f?82b039>h6s|20c;>5<5s4>n;7=7d:?7a=<4=j1v??n9;296~;3m>084o524d:970g0`1=;1k019k7:27:?xu59hh1<76>>34>n47=:8:p64gd2909w0:j7;1;<>;3m1089:5rs33b`?6=:r7?i:4<869>0`>=;<<0q~<>ad83>7}:6323ty9=lh50;0x91c02:2>70:j8;160>{t:8h;6=4={<6f3?5?<27?i54<529~w77e93:1>v3;e680<6=:6?;<6f52z?7a2<4?l168h6535f8yv46j?0;6?u24d5972b<5=o36>:l;|q15g1=838p19k8:25`?82b039?n6s|20`;>5<5s4>n;7=8b:?7a=<408;l524d:971?0`1=;>3019k7:26;?xu59kh1<761?34>n47=;7:p64dd2909w0:j7;143>;3m1088;5rs33a`?6=:r7?i:40`>=;>?0q~<>bd83>7}:6133ty9=oh50;0x91c02:k?70:j8;147>{t:8i;6=4={<6f3?5f;27?i54<739~w77d93:1>v3;e680e7=:78;<6f2wx>52z?7a2<408168h653428yv46k?0;6?u24d59720<5=o36>::;|q15f1=83ip19k7:c4891c?2k>019k7:c1891c?2k8019k7:c3891c?2k:019k7:`d891c?2ho019k7:cd891c?2ko019k7:cf89dee28<27p}=1b:94?4|5=o36om4=`aa>4003ty9=n750;0x91c?2kh01lmm:045?xu59jk1<7gg<5hii6<8:;|q15fd=838p19k7:c;89dee284043ty9=nj50;0x91c?2k=01lmm:041?xu59jo1<7db<5hii6<;n;|q15f`=83np19k7:01`?82b03;8m63;e9827<=:64=5g;>45034>n47?<6:?7a=<6;<168h65126891c?28>>70:j8;370>;3m10:8>52a7a9501<5hh36<86;|q15a6=839p19k7:061?8g1k3;>963nb98222=z{;;o=7>53z?7a=<6<816m;m514689dd?28<=7p}=1e094?5|5=o36<:?;649~w77c;3:1?v3;e9827c=:i?i1=8<4=``;>4033ty9=i:50;1x91c?289n70o9c;365>;fj10::>5rs33g1?6=;r7?i54>3e9>e3e=9<:01ll7:041?xu59m<1<7=t=5g;>45434k=o7?;8:?bf=<6=h1v??k7;296~;3m108m=524d;973`0`>=;0o019k6:24g?xu59m31<76?c34>n57=9c:p64bf2909w0:j8;1:g>;3m008:o5rs33gf?6=:r7?i54<9c9>0`?=;?k0q~<>db83>7}:60>3ty9=ij50;0x91c?2:3270:j9;15<>{t:8nn6=4={<6f027?i44<669~w77cn3:1>v3;e980=3=:2:7;;<6f=?51;2wx>52z?7a=<41;168h753738yv46m=0;6?u24d:97<7<5=o26>8?;|q15`3=838p19k7:2;3?82b139>j6s|20g5>5<5s4>n47=7f:?7a<<4=l1v??j7;296~;3m1084h524d;970b0`>=;1n019k6:27`?xu59l31<76>e34>n57=:a:p64cf2909w0:j8;1;e>;3m008945rs33ff?6=:r7?i54<889>0`?=;<20q~<>eb83>7}:6303ty9=hj50;0x91c?2:2<70:j9;162>{t:8on6=4={<6f27?i44<549~w77bn3:1>v3;e980<0=:2:?87p}=1g394?4|5=o36>6<;<6f=?52:2wx>63;e88014=z{;;m?7>52z?7a=<409168h7535d8yv46n=0;6?u24d:972`<5=o26>:j;|q15c3=838p19k7:25f?82b139?h6s|20d5>5<5s4>n47=8d:?7a<<40`>=;>h019k6:26b?xu59o31<761f34>n57=;9:p64`f2909w0:j8;14=>;3m008855rs33ef?6=:r7?i54<799>0`?=;==0q~<>fb83>7}:6213ty9=kj50;0x91c?2:k=70:j9;141>{t:8ln6=4={<6fv3;e980e1=:2:=97p}=21394?4|5=o36>o=;<6f=?5092wx>?>=:18182b039j=63;e88035=z{;8;?7>52z?7a=<41>168h753748yv458=0;6?u24d:97=e<5=o26>;m;|q1653=838p19k7:2:2?82b139><6s|2325>5<5s4>n47=86:?7a<<4<<1v?;3m00i?63;e88a6>;3m00i=63;e88a4>;3m00jj63;e88ba>;3m00ij63;e88aa>;3m00ih63n7g822<=z{;8;47>52z?7a<669~w74713:1>v3;e88af>;f?o0::;5rs303e?6=:r7?i44ma:?b3c<6><1v?52z?7a<629~w747l3:1>v3;e88a3>;f?o0::?5rs303a?6=:r7?i44nd:?b3c<6=h1v?369>0`?=9:<019k6:016?82b13;8863;e88200=:42434k9<7?:7:?b2f<6>01v?<>0;297~;3m00:8?52a329503<5h863n6b8223=z{;8:>7>53z?7a<<6<916m?>514189d0d28<>7p}=20194?5|5=o26<=i;659~w746<3:1?v3;e8827`=:i;:1=8?4=`4`>4043ty9><;50;1x91c>289o70o=0;364>;f>j0::?5rs3022?6=;r7?i44>329>e76=9=201l8l:07b?xu5:8=1<76g734>nm7=9f:p677?2909w0:j9;1:a>;3mh08:i5rs302=?6=:r7?i44<9e9>0`g=;?i0q~<=1`83>7}:60e3ty9>2:3i70:ja;15e>{t:;;h6=4={<6f=?5>i27?il4<689~w746l3:1>v3;e880=<=:79;<6fe?51=2wx>?52z?7a<<41=168ho53718yv45:;0;6?u24d;97<5<5=oj6>8=;|q1675=838p19k6:2;1?82bi39==6s|2307>5<5s4>n57=61:?7ad<4>91v?<=5;296~;3m0085=524dc970`;4?:3y>0`?=;1l019kn:27f?xu5:;=1<76>b34>nm7=:d:p674?2909w0:j9;1;`>;3mh089n5rs301=?6=:r7?i44<8c9>0`g=;7}:63>3ty9>?l50;0x91c>2:2270:ja;16<>{t:;8h6=4={<6f=?5?027?il4<569~w745l3:1>v3;e880<2=:7p}=23d94?4|5=o26>6:;<6fe?52<2wx>?=?:18182b1393863;e`8016=z{;88=7>52z?7a<<40:168ho53408yv45;;0;6?u24d;97=4<5=oj6>;>;|q1665=838p19k6:2:3?82bi39?j6s|2317>5<5s4>n57=8f:?7ad<40`?=;>n019kn:26`?xu5::=1<761d34>nm7=;b:p675?2909w0:j9;14f>;3mh088l5rs300=?6=:r7?i44<7`9>0`g=;=30q~<=3`83>7}:62?3ty9>>l50;0x91c>2:=370:ja;173>{t:;9h6=4={<6f=?50?27?il4<479~w744l3:1>v3;e880e3=:o;;<6fe?50;2wx>?:?:18182b139j?63;e`8037=z{;8?=7>52z?7a<<4i;168ho53638yv45<;0;6?u24d;97d7<5=oj6>9?;|q1615=838p19k6:2;4?82bi39=:6s|2367>5<5s4>n57=7c:?7ad<4=k1v?<;5;296~;3m0084<524dc97060`?=;><019kn:266?xu5:==1<7mt=5gb>g0<5=oj6o:4=5gb>g5<5=oj6o<4=5gb>g7<5=oj6o>4=5gb>d`<5=oj6lk4=5gb>g`<5=oj6ok4=5gb>gb<5h986<86;|q161>=838p19kn:ca89d5428<<7p}=25;94?4|5=oj6ol4=`10>4013ty9>9o50;0x91cf2kk01l=<:046?xu5:=h1<7g?<5h986<8;;|q161e=838p19kn:c:89d5428<87p}=25f94?4|5=oj6o94=`10>4053ty9>9k50;0x91cf2hn01l=<:07b?xu5:=l1<7jt=5gb>45d34>nm7?;3mh0:?8524dc9562<5=oj6<::;<6fe?73<27?il4>429>=a2=9<=01l425343o87?:5:?b65<6>>1v?<:1;297~;3mh0:8<529e69502<5h8;6<89;|q1604=839p19kn:063?8?c<3;>?63n218220=z{;8>?7>53z?7ad<6;o165i:514089d4728=4>629~w742=3:1?v3;e`827a=:1m>1=8>4=`03>4053ty9>8850;1x91cf2898707k4;37<>;f:90:9l5rs3063?6=:r7?io4m3:?600<6>81v?<:8;296~;3mk0i>63:44821c=z{;8>57>52z?7ag884>5d9~w742i3:1>v3;ec8a4>;2<<0:9i5rs306f?6=:r7?io4nf:?600<6=j1v?<:c;296~;3mk0ji63:44821g=z{;8>h7>52z?7ag884>6c9~w742m3:1>v3;ec8aa>;2<<0::l5rs306b?6=:r7?io4md:?600<6>01v?<90;296~;3mk0io63:448222=z{;8==7>52z?7ag884>679~w741:3:1>v3;ec8ae>;2<<0::85rs3057?6=:r7?io4m9:?600<6>=1v?<94;296~;3mk0i463:448226=z{;8=97>52z?7ag884>639~w741>3:1>v3;ec8b`>;2<<0:9l5rs3053?6=:r7?io4<6g9>0c6=;h:0q~<=6983>7}:6?b3ty9>;750;0x91ce2:{t:;v3;ec802d=:87;<6e4?5>12wx>?8j:18182bj39=;63;f180===z{;8=j7>52z?7ag<4><168k>53848yv45?90;6?u24d`9732<5=l;6>7:;|q1627=838p19km:240?82a839286s|2351>5<5s4>nn7=92:?7b5<41:1v?<83;296~;3mk08:<524g297<40`d=;?:019h?:2;2?xu5:>?1<763a34>m<7=60:p67112909w0:jb;16a>;3n9084k5rs3043?6=:r7?io4<5e9>0c6=;1o0q~<=7983>7}:6>c3ty9>:750;0x91ce2:?j70:i0;1;f>{t:;=j6=4={<6ff?52127?j=4<8`9~w740j3:1>v3;ec801==:;9;<6e4?5??2wx>?9j:18182bj39>963;f180<3=z{;852z?7ag<4==168k>53978yv45090;6?u24d`9705<5=l;6>6;;|q16=7=838p19km:271?82a8393?6s|23:1>5<5s4>nn7=:1:?7b5<40;1v?<73;296~;3mk088k524g297=60`d=;=o019h?:25e?xu5:1?1<762c34>m<7=8e:p67>12909w0:jb;17g>;3n908;i5rs30;3?6=:r7?io4<4c9>0c6=;>i0q~<=8983>7}:61e3ty9>5750;0x91ce2:>270:i0;14e>{t:;2j6=4={<6ff?53027?j=4<789~w74?j3:1>v3;ec8002=:9:;<6e4?5f>2wx>?6j:18182bj39<863;f180e0=z{;83j7>52z?7ag<4?:168k>53`68yv45190;6?u24d`9724<5=l;6>o<;|q16<7=838p19km:252?82a839j>6s|23;1>5<5s4>nn7=80:?7b5<4i81v?<63;296~;3mk08:;524g297<10`d=;63734>m<7=71:p67?12909w0:jb;171>;3n908;;5rs30:3?6=;r7?io4>389>174=9?;01o79:012?xu5:021<7=t=5ga>45?34?9>7?:f:?a=2<6;81v?<69;297~;3mk0:?:52530950c<5k336<=>;|q16h63m988274=z{;82n7>53z?7ag<6;<169?<514a89g?f289:7p}=28a94?5|5=oi6<=;;<716?72j27i5o4>309~w74>l3:1?v3;ec8200=:=;81=;l4=c;`>4563ty9>4k50;1x91ce28>?70;=2;35e>;e1m0:?<5rs30:b?6=;r7?io4>429>174=9?301o7j:012?xu5:h:1<7=t=5ga>42534?9>7?97:?a=c<6;81v?;|q16d4=839p19km:063?835:3;=963ma08274=z{;8j?7>53z?7ag<6;o169?<517689gg5289:7p}=2`694?5|5=oi6<=j;<716?71;27im>4>309~w74f=3:1?v3;ec827a=:=;81=;<4=cc7>4563ty9>l850;1x91ce289870;=2;36e>;ei<0:?<5rs30b3?6=:r7?in4m3:?681v?63:8c821c=z{;8j57>52z?7af4o4>5d9~w74fi3:1>v3;eb8a4>;20k0:9i5rs30bf?6=:r7?in4nf:?652z?7af4o4>6c9~w74fm3:1>v3;eb8aa>;20k0::l5rs30bb?6=:r7?in4md:?601v?52z?7af4o4>679~w74e:3:1>v3;eb8ae>;20k0::85rs30a7?6=:r7?in4m9:?6=1v?52z?7af4o4>639~w74e>3:1>v3;eb8b`>;20k0:9l5rs30a3?6=:r7?in4<6g9>0c2=;h:0q~<=b983>7}:6?b3ty9>o750;0x91cd2:{t:;hj6=4={<6fg?51j27?j94<9b9~w74ej3:1>v3;eb802d=:1?4l4}r01ff<72;q68hm537;891`32:3j7p}=2cf94?4|5=oh6>87;<6e0?5>12wx>?lj:18182bk39=;63;f580===z{;8ij7>52z?7af<4><168k:53848yv45k90;6?u24da9732<5=l?6>7:;|q16f7=838p19kl:240?82a<39286s|23a1>5<5s4>no7=92:?7b1<41:1v?0`e=;?:019h;:2;2?xu5:j?1<763a34>m87=60:p67e12909w0:jc;16a>;3n=084k5rs30`3?6=:r7?in4<5e9>0c2=;1o0q~<=c983>7}:6>c3ty9>n750;0x91cd2:?j70:i4;1;f>{t:;ij6=4={<6fg?52127?j94<8`9~w74dj3:1>v3;eb801==:1?574}r01gf<72;q68hm5345891`32:237p}=2bf94?4|5=oh6>;9;<6e0?5??2wx>?mj:18182bk39>963;f580<3=z{;8hj7>52z?7af<4==168k:53978yv45l90;6?u24da9705<5=l?6>6;;|q16a7=838p19kl:271?82a<393?6s|23f1>5<5s4>no7=:1:?7b1<40;1v?0`e=;=o019h;:25e?xu5:m?1<762c34>m87=8e:p67b12909w0:jc;17g>;3n=08;i5rs30g3?6=:r7?in4<4c9>0c2=;>i0q~<=d983>7}:61e3ty9>i750;0x91cd2:>270:i4;14e>{t:;nj6=4={<6fg?53027?j94<789~w74cj3:1>v3;eb8002=:1?:64}r01`f<72;q68hm5354891`32:=<7p}=2ef94?4|5=oh6>9:;<6e0?5f>2wx>?jj:18182bk39<863;f580e0=z{;8oj7>52z?7af<4?:168k:53`68yv45m90;6?u24da9724<5=l?6>o<;|q16`7=838p19kl:252?82a<39j>6s|23g1>5<5s4>no7=80:?7b1<4i81v?0`e=;63734>m87=71:p67c12909w0:jc;171>;3n=08;;5rs30f3?6=;r7?in4>389>13>=9?;01o9j:012?xu5:l21<7=t=5g`>45?34?=47?:f:?a3c<6;81v?;|q16`g=839p19kl:015?83103;>h63m808274=z{;8nn7>53z?7af<6;<169;6514a89g>5289:7p}=2da94?5|5=oh6<=;;<754>309~w74bl3:1?v3;eb8200=:=?21=;l4=c:7>4563ty9>hk50;1x91cd28>?70;98;35e>;e0<0:?<5rs30fb?6=;r7?in4>429>13>=9?301o69:012?xu5:o:1<7=t=5g`>42534?=47?97:?a<2<6;81v?;|q16c4=839p19kl:063?83103;=963m888274=z{;8m?7>53z?7af<6;o169;6517689g>f289:7p}=2g694?5|5=oh6<=j;<75309~w74a=3:1?v3;eb827a=:=?21=;<4=c:`>4563ty9>k850;1x91cd289870;98;36e>;e0m0:?<5rs30e3?6=:r7?ii4m3:?430<6>81v?63874821c=z{;8m57>52z?7aa5d9~w74ai3:1>v3;ee8a4>;0?<0:9i5rs30ef?6=:r7?ii4nf:?430<6=j1v?52z?7aa6c9~w74am3:1>v3;ee8aa>;0?<0::l5rs30eb?6=:r7?ii4md:?430<6>01v?=?0;296~;3mm0io638748222=z{;9;=7>52z?7aa679~w757:3:1>v3;ee8ae>;0?<0::85rs3137?6=:r7?ii4m9:?430<6>=1v?=?4;296~;3mm0i4638748226=z{;9;97>52z?7aa639~w757>3:1>v3;ee8b`>;0?<0:9l5rs3133?6=;r7?ii4>389>2f0=9=l01:;=:042?xu5;921<7=t=5gg>45?34?96<;j;|q175g=839p19kk:015?80d>3;?n63853821a=z{;9;n7>53z?7aa<6;<16:n8515c8923528?h7p}=31a94?5|5=oo6<=;;<4`2?73127<9?4>5c9~w757l3:1?v3;ee8200=:>j<1=874=671>40e3ty9?=k50;1x91cc28>?708l6;36<>;0=;0::l5rs313b?6=;r7?ii4>429>2f0=9<=01:;=:04:?xu5;8:1<7=t=5gg>42534>1v?=>1;297~;3mm0:8<526b49502<5>?96<89;|q1744=839p19kk:063?80d>3;>?638538220=z{;9:?7>53z?7aa<6;o16:n851408923528629~w756=3:1?v3;ee827a=:>j<1=8>4=671>4053ty9?<850;1x91cc2898708l6;37<>;0=;0:9l5rs3123?6=:r7?ii40`c=;?l0q~<<1983>7}:60c3ty9?<750;0x91cc2:3o70:je;15g>{t::;j6=4={<6f`?5>k27?ih4<6c9~w756j3:1>v3;ee80=g=:76;<6fa?5102wx>>?j:18182bl392463;ed8022=z{;9:j7>52z?7aa<41?168hk53778yv44:90;6?u24df97<3<5=on6>8;;|q1777=838p19kk:2;7?82bm39=?6s|2201>5<5s4>nh7=63:?7a`<4>;1v?==3;296~;3mm085?524dg973794?:3y>0`b=;0;019kj:243?xu5;;?1<76?734>ni7=:f:p66412909w0:jd;1;b>;3ml089h5rs3113?6=:r7?ii4<8d9>0`c=;7}:63d3ty9??750;0x91cc2:2i70:je;16e>{t::8j6=4={<6f`?5?i27?ih4<589~w755j3:1>v3;ee80<<=:68;<6fa?52>2wx>>52z?7aa<40<168hk53468yv44;90;6?u24df97=2<5=on6>;<;|q1767=838p19kk:2:0?82bm39>>6s|2211>5<5s4>nh7=72:?7a`<4=81v?=<3;296~;3mm084=524dg971`0`b=;>l019kj:26f?xu5;:?1<761b34>ni7=;d:p66512909w0:jd;14`>;3ml088n5rs3103?6=:r7?ii4<7b9>0`c=;=h0q~<<3983>7}:62f3ty9?>750;0x91cc2:=j70:je;17=>{t::9j6=4={<6f`?50127?ih4<499~w754j3:1>v3;ee803==:=7p}=32f94?4|5=oo6>o9;<6fa?50=2wx>>=j:18182bl39j963;ed8031=z{;98j7>52z?7aa<4i=168hk53618yv44<90;6?u24df97d5<5=on6>9=;|q1717=838p19kk:2c1?82bm39<=6s|2261>5<5s4>nh7=n1:?7a`<4?91v?=;3;296~;3mm085:524dg97300`b=;1i019kj:27a?xu5;=?1<76>634>ni7=:0:p66212909w0:jd;142>;3ml08885rs3173?6=:r7?ih4m3:?5a<<6>81v?=;8;296~;3ml0i>639e8821c=z{;9?57>52z?7a`5d9~w753i3:1>v3;ed8a4>;1m00:9i5rs317f?6=:r7?ih4nf:?5a<<6=j1v?=;c;296~;3ml0ji639e8821g=z{;9?h7>52z?7a`6c9~w753m3:1>v3;ed8aa>;1m00::l5rs317b?6=:r7?ih4md:?5a<<6>01v?=:0;296~;3ml0io639e88222=z{;9>=7>52z?7a`679~w752:3:1>v3;ed8ae>;1m00::85rs3167?6=:r7?ih4m9:?5a<<6>=1v?=:4;296~;3ml0i4639e88226=z{;9>97>52z?7a`639~w752>3:1>v3;ed8b`>;1m00:9l5rs3163?6=;r7?ih4>389>26g=9=l01;m9:042?xu5;<21<7=t=5gf>45?34<8m7?;d:?5g3<6=o1v?=:9;297~;3ml0:?:5262c951e<5?i=6<;j;|q170g=839p19kj:015?804i3;?n639c7821a=z{;9>n7>53z?7a`<6;<16:>o515c893e128?h7p}=34a94?5|5=on6<=;;<40e?73127=o;4>5c9~w752l3:1?v3;ed8200=:>:k1=874=7a5>40e3ty9?8k50;1x91cb28>?708;1k?0::l5rs316b?6=;r7?ih4>429>26g=9<=01;m9:04:?xu5;?:1<7=t=5gf>42534<8m7?:5:?5g3<6>>1v?=91;297~;3ml0:8<5262c9502<5?i=6<89;|q1734=839p19kj:063?804i3;>?639c78220=z{;9=?7>53z?7a`<6;o16:>o5140893e128629~w751=3:1?v3;ed827a=:>:k1=8>4=7a5>4053ty9?;850;1x91cb2898708;1k?0:9l5rs3153?6=:r7?ih40``=;?l0q~<<6983>7}:60c3ty9?;750;0x91cb2:3o70:jf;15g>{t::k27?ik4<6c9~w751j3:1>v3;ed80=g=:76;<6fb?5102wx>>8j:18182bm392463;eg8022=z{;9=j7>52z?7a`<41?168hh53778yv44?90;6?u24dg97<3<5=om6>8;;|q1727=838p19kj:2;7?82bn39=?6s|2251>5<5s4>ni7=63:?7ac<4>;1v?=83;296~;3ml085?524dd97370`c=;0;019ki:243?xu5;>?1<76?734>nj7=:f:p66112909w0:je;1;b>;3mo089h5rs3143?6=:r7?ih4<8d9>0``=;7}:63d3ty9?:750;0x91cb2:2i70:jf;16e>{t::=j6=4={<6fa?5?i27?ik4<589~w750j3:1>v3;ed80<<=:68;<6fb?52>2wx>>9j:18182bm393:63;eg8010=z{;952z?7a`<40<168hh53468yv44090;6?u24dg97=2<5=om6>;<;|q17=7=838p19kj:2:0?82bn39>>6s|22:1>5<5s4>ni7=72:?7ac<4=81v?=73;296~;3ml084=524dd971`0`c=;>l019ki:26f?xu5;1?1<761b34>nj7=;d:p66>12909w0:je;14`>;3mo088n5rs31;3?6=:r7?ih4<7b9>0``=;=h0q~<<8983>7}:62f3ty9?5750;0x91cb2:=j70:jf;17=>{t::2j6=4={<6fa?50127?ik4<499~w75?j3:1>v3;ed803==:=7p}=39f94?4|5=on6>o9;<6fb?50=2wx>>6j:18182bm39j963;eg8031=z{;93j7>52z?7a`<4i=168hh53618yv44190;6?u24dg97d5<5=om6>9=;|q17<7=838p19kj:2c1?82bn39<=6s|22;1>5<5s4>ni7=n1:?7ac<4?91v?=63;296~;3ml085:524dd97300`c=;1i019ki:27a?xu5;0?1<76>634>nj7=:0:p66?12909w0:je;142>;3mo08885rs31:3?6=:r7?ik4m3:?51a<6>81v?=68;296~;3mo0i>6395e821c=z{;9257>52z?7ac5d9~w75>i3:1>v3;eg8a4>;1=m0:9i5rs31:f?6=:r7?ik4nf:?51a<6=j1v?=6c;296~;3mo0ji6395e821g=z{;92h7>52z?7ac6c9~w75>m3:1>v3;eg8aa>;1=m0::l5rs31:b?6=:r7?ik4md:?51a<6>01v?=n0;296~;3mo0io6395e8222=z{;9j=7>52z?7ac679~w75f:3:1>v3;eg8ae>;1=m0::85rs31b7?6=:r7?ik4m9:?51a<6>=1v?=n4;296~;3mo0i46395e8226=z{;9j97>52z?7ac639~w75f>3:1>v3;eg8b`>;1=m0:9l5rs31b3?6=;r7?ik4>389>1dc=9=l01;=n:042?xu5;h21<7=t=5ge>45?34?ji7?;d:?57d<6=o1v?=n9;297~;3mo0:?:525`g951e<5?9j6<;j;|q17dg=839p19ki:015?83fm3;?n6393`821a=z{;9jn7>53z?7ac<6;<169lk515c8935f28?h7p}=3`a94?5|5=om6<=;;<7ba?73127=?l4>5c9~w75fl3:1?v3;eg8200=:=ho1=874=71b>40e3ty9?lk50;1x91ca28>?70;ne;36<>;1;h0::l5rs31bb?6=;r7?ik4>429>1dc=9<=01;=n:04:?xu5;k:1<7=t=5ge>42534?ji7?:5:?57d<6>>1v?=m1;297~;3mo0:8<525`g9502<5?9j6<89;|q17g4=839p19ki:063?83fm3;>?6393`8220=z{;9i?7>53z?7ac<6;o169lk51408935f28629~w75e=3:1?v3;eg827a=:=ho1=8>4=71b>4053ty9?o850;1x91ca289870;ne;37<>;1;h0:9l5rs31a3?6=:r7?ik40c6=;?l0q~<7}:60c3ty9?o750;0x91ca2:3o70:i0;15g>{t::hj6=4={<6fb?5>k27?j=4<6c9~w75ej3:1>v3;eg80=g=:76;<6e4?5102wx>>lj:18182bn392463;f18022=z{;9ij7>52z?7ac<41?168k>53778yv44k90;6?u24dd97<3<5=l;6>8;;|q17f7=838p19ki:2;7?82a839=?6s|22a1>5<5s4>nj7=63:?7b5<4>;1v?=l3;296~;3mo085?524g297370``=;0;019h?:243?xu5;j?1<76?734>m<7=:f:p66e12909w0:jf;1;b>;3n9089h5rs31`3?6=:r7?ik4<8d9>0c6=;7}:63d3ty9?n750;0x91ca2:2i70:i0;16e>{t::ij6=4={<6fb?5?i27?j=4<589~w75dj3:1>v3;eg80<<=:68;<6e4?52>2wx>>mj:18182bn393:63;f18010=z{;9hj7>52z?7ac<40<168k>53468yv44l90;6?u24dd97=2<5=l;6>;<;|q17a7=838p19ki:2:0?82a839>>6s|22f1>5<5s4>nj7=72:?7b5<4=81v?=k3;296~;3mo084=524g2971`0``=;>l019h?:26f?xu5;m?1<761b34>m<7=;d:p66b12909w0:jf;14`>;3n9088n5rs31g3?6=:r7?ik4<7b9>0c6=;=h0q~<7}:62f3ty9?i750;0x91ca2:=j70:i0;17=>{t::nj6=4={<6fb?50127?j=4<499~w75cj3:1>v3;eg803==:=7p}=3ef94?4|5=om6>o9;<6e4?50=2wx>>jj:18182bn39j963;f18031=z{;9oj7>52z?7ac<4i=168k>53618yv44m90;6?u24dd97d5<5=l;6>9=;|q17`7=838p19ki:2c1?82a839<=6s|22g1>5<5s4>nj7=n1:?7b5<4?91v?=j3;296~;3mo085:524g297300``=;1i019h?:27a?xu5;l?1<76>634>m<7=:0:p66c12909w0:jf;142>;3n908885rs31f3?6=:r7?j=4m3:?6`4<6>81v?=j8;296~;3n90i>63:d0821c=z{;9n57>52z?7b5h<4>5d9~w75bi3:1>v3;f18a4>;2l80:9i5rs31ff?6=:r7?j=4nf:?6`4<6=j1v?=jc;296~;3n90ji63:d0821g=z{;9nh7>52z?7b5h<4>6c9~w75bm3:1>v3;f18aa>;2l80::l5rs31fb?6=:r7?j=4md:?6`4<6>01v?=i0;296~;3n90io63:d08222=z{;9m=7>52z?7b5h<4>679~w75a:3:1>v3;f18ae>;2l80::85rs31e7?6=:r7?j=4m9:?6`4<6>=1v?=i4;296~;3n90i463:d08226=z{;9m97>52z?7b5h<4>639~w75a>3:1>v3;f18b`>;2l80:9l5rs31e3?6=;r7?j=4>389>174=9=l018oj:042?xu5;o21<7=t=5d3>45?34?9>7?;d:?6e`<6=o1v?=i9;297~;3n90:?:52530951e<553z?7b5<6;<169?<515c890gb28?h7p}=3ga94?5|5=l;6<=;;<716?73127>mh4>5c9~w75al3:1?v3;f18200=:=;81=874=4cf>40e3ty9?kk50;1x91`728>?70;=2;36<>;2il0::l5rs31eb?6=;r7?j=4>429>174=9<=018oj:04:?xu5<9:1<7=t=5d3>42534?9>7?:5:?6e`<6>>1v?:?1;297~;3n90:8<525309502<5?63:ad8220=z{;>;?7>53z?7b5<6;o169?<5140890gb28mh4>629~w727=3:1?v3;f1827a=:=;81=8>4=4cf>4053ty98=850;1x91`7289870;=2;37<>;2il0:9l5rs3633?6=:r7?j<4m3:?4fg<6>81v?:?8;296~;3n80i>638bc821c=z{;>;57>52z?7b45d9~w727i3:1>v3;f08a4>;0jk0:9i5rs363f?6=:r7?j<4nf:?4fg<6=j1v?:?c;296~;3n80ji638bc821g=z{;>;h7>52z?7b46c9~w727m3:1>v3;f08aa>;0jk0::l5rs363b?6=:r7?j<4md:?4fg<6>01v?:>0;296~;3n80io638bc8222=z{;>:=7>52z?7b4679~w726:3:1>v3;f08ae>;0jk0::85rs3627?6=:r7?j<4m9:?4fg<6>=1v?:>4;296~;3n80i4638bc8226=z{;>:97>52z?7b4639~w726>3:1>v3;f08b`>;0jk0:9l5rs3623?6=;r7?j<4>389>35e=9=l01:77:042?xu5<821<7=t=5d2>45?34=;o7?;d:?4==<6=o1v?:>9;297~;3n80:?:5271a951e<5>336<;j;|q104g=839p19h>:015?817k3;?n63899821a=z{;>:n7>53z?7b4<6;<16;=m515c892??28?h7p}=40a94?5|5=l:6<=;;<53g?73127<554>5c9~w726l3:1?v3;f08200=:?9i1=874=6;;>40e3ty98?709?c;36<>;0110::l5rs362b?6=;r7?j<4>429>35e=9<=01:77:04:?xu5<;:1<7=t=5d2>42534=;o7?:5:?4==<6>>1v?:=1;297~;3n80:8<5271a9502<5>336<89;|q1074=839p19h>:063?817k3;>?638998220=z{;>9?7>53z?7b4<6;o16;=m5140892??28629~w725=3:1?v3;f0827a=:?9i1=8>4=6;;>4053ty98?850;1x91`62898709?c;37<>;0110:9l5rs3613?6=:r7?j<40c4=;?l0q~<;2983>7}:60c3ty98?750;0x91`62:3o70:i2;15g>{t:=8j6=4={<6e5?5>k27?j?4<6c9~w725j3:1>v3;f080=g=:76;<6e6?5102wx>99j7>52z?7b4<41?168k<53778yv43;90;6?u24g397<3<5=l96>8;;|q1067=838p19h>:2;7?82a:39=?6s|2511>5<5s4>m=7=63:?7b7<4>;1v?:<3;296~;3n8085?524g097370c7=;0;019h=:243?xu5<:?1<76?734>m>7=:f:p61512909w0:i1;1;b>;3n;089h5rs3603?6=:r7?j<4<8d9>0c4=;7}:63d3ty98>750;0x91`62:2i70:i2;16e>{t:=9j6=4={<6e5?5?i27?j?4<589~w724j3:1>v3;f080<<=:68;<6e6?52>2wx>9=j:18182a9393:63;f38010=z{;>8j7>52z?7b4<40<168k<53468yv43<90;6?u24g397=2<5=l96>;<;|q1017=838p19h>:2:0?82a:39>>6s|2561>5<5s4>m=7=72:?7b7<4=81v?:;3;296~;3n8084=524g0971`0c7=;>l019h=:26f?xu5<=?1<761b34>m>7=;d:p61212909w0:i1;14`>;3n;088n5rs3673?6=:r7?j<4<7b9>0c4=;=h0q~<;4983>7}:62f3ty989750;0x91`62:=j70:i2;17=>{t:=>j6=4={<6e5?50127?j?4<499~w723j3:1>v3;f0803==:=7p}=45f94?4|5=l:6>o9;<6e6?50=2wx>9:j:18182a939j963;f38031=z{;>?j7>52z?7b4<4i=168k<53618yv43=90;6?u24g397d5<5=l96>9=;|q1007=838p19h>:2c1?82a:39<=6s|2571>5<5s4>m=7=n1:?7b7<4?91v?::3;296~;3n8085:524g097300c7=;1i019h=:27a?xu5<6>634>m>7=:0:p61312909w0:i1;142>;3n;08885rs3663?6=:r7?j?4m3:?46c<6>81v?::8;296~;3n;0i>6382g821c=z{;>>57>52z?7b7k4>5d9~w722i3:1>v3;f38a4>;0:o0:9i5rs366f?6=:r7?j?4nf:?46c<6=j1v?::c;296~;3n;0ji6382g821g=z{;>>h7>52z?7b7k4>6c9~w722m3:1>v3;f38aa>;0:o0::l5rs366b?6=:r7?j?4md:?46c<6>01v?:90;296~;3n;0io6382g8222=z{;>==7>52z?7b7k4>679~w721:3:1>v3;f38ae>;0:o0::85rs3657?6=:r7?j?4m9:?46c<6>=1v?:94;296~;3n;0i46382g8226=z{;>=97>52z?7b7k4>639~w721>3:1>v3;f38b`>;0:o0:9l5rs3653?6=;r7?j?4>389>2=6=9=l01:>l:042?xu545?34<3<7?;d:?44f<6=o1v?:99;297~;3n;0:?:52692951e<5>:h6<;j;|q103g=839p19h=:015?80?83;?n6380b821a=z{;>=n7>53z?7b7<6;<16:5>515c8926d28?h7p}=47a94?5|5=l96<=;;<4;4?73127<5c9~w721l3:1?v3;f38200=:>1:1=874=62`>40e3ty98;k50;1x91`528>?70870;36<>;08j0::l5rs365b?6=;r7?j?4>429>2=6=9<=01:>l:04:?xu5<>:1<7=t=5d1>42534<3<7?:5:?44f<6>>1v?:81;297~;3n;0:8<526929502<5>:h6<89;|q1024=839p19h=:063?80?83;>?6380b8220=z{;>53z?7b7<6;o16:5>51408926d28629~w720=3:1?v3;f3827a=:>1:1=8>4=62`>4053ty98:850;1x91`5289870870;37<>;08j0:9l5rs3643?6=:r7?j?40c5=;?l0q~<;7983>7}:60c3ty98:750;0x91`52:3o70:i3;15g>{t:==j6=4={<6e6?5>k27?j>4<6c9~w720j3:1>v3;f380=g=:76;<6e7?5102wx>99j:18182a:392463;f28022=z{;>52z?7b7<41?168k=53778yv43090;6?u24g097<3<5=l86>8;;|q10=7=838p19h=:2;7?82a;39=?6s|25:1>5<5s4>m>7=63:?7b6<4>;1v?:73;296~;3n;085?524g197370c4=;0;019h<:243?xu5<1?1<76?734>m?7=:f:p61>12909w0:i2;1;b>;3n:089h5rs36;3?6=:r7?j?4<8d9>0c5=;7}:63d3ty985750;0x91`52:2i70:i3;16e>{t:=2j6=4={<6e6?5?i27?j>4<589~w72?j3:1>v3;f380<<=:68;<6e7?52>2wx>96j:18182a:393:63;f28010=z{;>3j7>52z?7b7<40<168k=53468yv43190;6?u24g097=2<5=l86>;<;|q10<7=838p19h=:2:0?82a;39>>6s|25;1>5<5s4>m>7=72:?7b6<4=81v?:63;296~;3n;084=524g1971`0c4=;>l019h<:26f?xu5<0?1<761b34>m?7=;d:p61?12909w0:i2;14`>;3n:088n5rs36:3?6=:r7?j?4<7b9>0c5=;=h0q~<;9983>7}:62f3ty984750;0x91`52:=j70:i3;17=>{t:=3j6=4={<6e6?50127?j>4<499~w72>j3:1>v3;f3803==:=7p}=48f94?4|5=l96>o9;<6e7?50=2wx>97j:18182a:39j963;f28031=z{;>2j7>52z?7b7<4i=168k=53618yv43i90;6?u24g097d5<5=l86>9=;|q10d7=838p19h=:2c1?82a;39<=6s|25c1>5<5s4>m>7=n1:?7b6<4?91v?:n3;296~;3n;085:524g197300c4=;1i019h<:27a?xu56>634>m?7=:0:p61g12909w0:i2;142>;3n:08885rs36b3?6=:r7?j>4m3:?5e6<6>81v?:n8;296~;3n:0i>639a2821c=z{;>j57>52z?7b64>5d9~w72fi3:1>v3;f28a4>;1i:0:9i5rs36bf?6=:r7?j>4nf:?5e6<6=j1v?:nc;296~;3n:0ji639a2821g=z{;>jh7>52z?7b64>6c9~w72fm3:1>v3;f28aa>;1i:0::l5rs36bb?6=:r7?j>4md:?5e6<6>01v?:m0;296~;3n:0io639a28222=z{;>i=7>52z?7b64>679~w72e:3:1>v3;f28ae>;1i:0::85rs36a7?6=:r7?j>4m9:?5e6<6>=1v?:m4;296~;3n:0i4639a28226=z{;>i97>52z?7b64>639~w72e>3:1>v3;f28b`>;1i:0:9l5rs36a3?6=;r7?j>4>389>1c2=9=l01;6?:042?xu545?34?m87?;d:?5<5<6=o1v?:m9;297~;3n:0:?:525g6951e<5?2;6<;j;|q10gg=839p19h<:015?83a<3;?n63981821a=z{;>in7>53z?7b6<6;<169k:515c893>728?h7p}=4ca94?5|5=l86<=;;<7e0?73127=4=4>5c9~w72el3:1?v3;f28200=:=o>1=874=7:3>40e3ty98ok50;1x91`428>?70;i4;36<>;1090::l5rs36ab?6=;r7?j>4>429>1c2=9<=01;6?:04:?xu542534?m87?:5:?5<5<6>>1v?:l1;297~;3n:0:8<525g69502<5?2;6<89;|q10f4=839p19h<:063?83a<3;>?639818220=z{;>h?7>53z?7b6<6;o169k:5140893>728629~w72d=3:1?v3;f2827a=:=o>1=8>4=7:3>4053ty98n850;1x91`4289870;i4;37<>;1090:9l5rs36`3?6=:r7?j>40c2=;?l0q~<;c983>7}:60c3ty98n750;0x91`42:3o70:i4;15g>{t:=ij6=4={<6e7?5>k27?j94<6c9~w72dj3:1>v3;f280=g=:1?;o4}r07gf<72;q68k=538c891`32:<27p}=4bf94?4|5=l86>76;<6e0?5102wx>9mj:18182a;392463;f58022=z{;>hj7>52z?7b6<41?168k:53778yv43l90;6?u24g197<3<5=l?6>8;;|q10a7=838p19h<:2;7?82a<39=?6s|25f1>5<5s4>m?7=63:?7b1<4>;1v?:k3;296~;3n:085?524g697370c5=;0;019h;:243?xu56?734>m87=:f:p61b12909w0:i3;1;b>;3n=089h5rs36g3?6=:r7?j>4<8d9>0c2=;7}:63d3ty98i750;0x91`42:2i70:i4;16e>{t:=nj6=4={<6e7?5?i27?j94<589~w72cj3:1>v3;f280<<=:1?864}r07`f<72;q68k=539:891`32:?<7p}=4ef94?4|5=l86>68;<6e0?52>2wx>9jj:18182a;393:63;f58010=z{;>oj7>52z?7b6<40<168k:53468yv43m90;6?u24g197=2<5=l?6>;<;|q10`7=838p19h<:2:0?82a<39>>6s|25g1>5<5s4>m?7=72:?7b1<4=81v?:j3;296~;3n:084=524g6971`0c5=;>l019h;:26f?xu561b34>m87=;d:p61c12909w0:i3;14`>;3n=088n5rs36f3?6=:r7?j>4<7b9>0c2=;=h0q~<;e983>7}:62f3ty98h750;0x91`42:=j70:i4;17=>{t:=oj6=4={<6e7?50127?j94<499~w72bj3:1>v3;f2803==:1?994}r07af<72;q68k=5365891`32:>=7p}=4df94?4|5=l86>o9;<6e0?50=2wx>9kj:18182a;39j963;f58031=z{;>nj7>52z?7b6<4i=168k:53618yv43n90;6?u24g197d5<5=l?6>9=;|q10c7=838p19h<:2c1?82a<39<=6s|25d1>5<5s4>m?7=n1:?7b1<4?91v?:i3;296~;3n:085:524g697300c5=;1i019h;:27a?xu56>634>m87=:0:p61`12909w0:i3;142>;3n=08885rs36e3?6=:r7?j94m3:?552<6>81v?:i8;296~;3n=0i>63916821c=z{;>m57>52z?7b15d9~w72ai3:1>v3;f58a4>;19>0:9i5rs36ef?6=:r7?j94nf:?552<6=j1v?:ic;296~;3n=0ji63916821g=z{;>mh7>52z?7b16c9~w72am3:1>v3;f58aa>;19>0::l5rs36eb?6=:r7?j94md:?552<6>01v?;?0;296~;3n=0io639168222=z{;?;=7>52z?7b1679~w737:3:1>v3;f58ae>;19>0::85rs3737?6=:r7?j94m9:?552<6>=1v?;?4;296~;3n=0i4639168226=z{;?;97>52z?7b1639~w737>3:1>v3;f58b`>;19>0:9l5rs3733?6=;r7?j94>389>13>=9=l018h;:042?xu5=921<7=t=5d7>45?34?=47?;d:?6b1<6=o1v?;?9;297~;3n=0:?:5257:951e<553z?7b1<6;<169;6515c890`328?h7p}=51a94?5|5=l?6<=;;<75j94>5c9~w737l3:1?v3;f58200=:=?21=874=4d7>40e3ty99=k50;1x91`328>?70;98;36<>;2n=0::l5rs373b?6=;r7?j94>429>13>=9<=018h;:04:?xu5=8:1<7=t=5d7>42534?=47?:5:?6b1<6>>1v?;>1;297~;3n=0:8<5257:9502<5?63:f58220=z{;?:?7>53z?7b1<6;o169;65140890`328j94>629~w736=3:1?v3;f5827a=:=?21=8>4=4d7>4053ty99<850;1x91`3289870;98;37<>;2n=0:9l5rs3723?6=;r7?j840c1=9:;015o<:`28yv42910;6>u24g497dd<5=l36<=>;<:b7?g53ty99<750;1x91`02:ki70:i9;305>;?lj0j<6s|243b>5<4s4>m47=nb:?7bd<6;8164im5a39~w736j3:1?v3;f880eg=:?4=836>d6=n4?:2y>0cg=;hh019hl:012?8?6=3k97p}=50f94?5|5=li6>om;<6e`?7492728h4n0:p607b2908w0:ic;1bf>;3nl0:?<5295g9e7=z{;?:j7>52z?7ba<4ik165595a19~w73583:1>v3;fd80eg=:11=1m?5rs3715?6=;r7?jk4156=9:;015o<:2a;?xu5=;81<7=t=423>6ge34?;=7?<1:?;`f<4k11v?;=3;297~;28808mo525109567<50;>6>m7;|q1172=839p18>=:2ca?837;3;8=6364d80g==z{;?997>52z?646<4ik1655953b:8yv42:?0;64u25169567<5<:j6>om;<7g5?5d?27==:4e5b=;j=01l=<:2a4?8e0;38:h63k18815a=:l8k1>;>k808o:529d597f1<5j=96??k;?4=1e9>`75=:8n01i<;:33g?xu5=;21<78t=426>45634?;h7=nb:?6e`<4k>169k:53b589<`f2:i<70o=0;1`3>{t:<826=4:{<731?5fj27>>?413>=;j=014oj:2a4?8?c<39h;6s|240b>5<4s4?;:7?<1:?64g<4ik1659k5a99~w735j3:1>v3:0780eg=:11=1m55rs371g?6=;r7><:4>309>15e=;hh014:j:`48yv42:m0;6?u251597dd<502<6l84}r066`<72?q69=651238906b2:ki70;k1;;f?806?33n70o?d;;f?8g4;33n7p}=53d94?3|5<:36>om;<771??b34?3n77j;<;`5??b343n;77j;|q1166=836:012?837n39jn63:ad8:a>;2n=02i636f`8:a>;f:902i6s|2412>5<2s4?;57=nb:?667<>m27>:546e:?:e`<>m272h946e:p60552902w0;?a;305>;29808mo5264f97f1<5?k86>m8;g22=:8n01i?m:33g?8b6k38:h6s|2410>5<4s4?;n7?<1:?657<4ik165<;5a99~w734<3:1?v3:0b8274=:=891?ll4=836>d0?84?:7y>15b=9:;018??:2ca?804i39h;6398180g2=:i=<1?n94=`4`>6e03ty99>850;4x906b289:70;>4;1bf>;1=m02i639a28:a>;f=002i63n7g8:a>{t:<9<6=49{<73b?74927>=8426g=1l16:5>59d9>e10=1l16m;m59d9~w73403:1:v3:118274=:=8=1?ll4=7a5>6e034=;o7=l7:?b=7<4k>16mo653b58yv42;00;64u25039567<5<;=6>om;<4f=?5d?27<>k4ed3=;j=01lmm:2a4?8e0=38:h63k1e815a=:l8o1>45634?:57=nb:?;`f2wx>8=l:185836<3;8=63:1c80eg=:>l315h5273d9=`=:ih?15h52ab`9=`=z{;?8h7>56z?650<6;8169l:8g89d?520o01ll7:8g8yv42;l0;64u25049567<5<;o6>om;<541?5d?27ec7=;j=01o?8:2a4?8e0>38:h63k1g815a=:l;:1>;01108o:52aeg97f1<5k:?6>m8;|q1116=839p18?7:012?835839jn637a28b<>{t:<>:6=4<{<72=?74927>><4?964k4=6;;>8>4?:7y>14d=9:;018?i:2ca?810=33n709mb;;f?8ga933n70l>7;;f?xu5==>1<79t=43g>456342;=7=l7:?;02<4k>16n>j53b589g042:i<70j<5;1bf>;a0?0:?<5rs3771?6=?r7>=h4>309>3ac=;j=015<;:2a4?8d5i39h;63m5180g2=:mj?1?ll4=gg;>4563ty999850;1x902228>m70l66;1bf>;cm;0:?<5rs3773?6=;r7>884>4e9>f<1=;hh01ik;:012?xu5==21<7=t=466>42d34h247=nb:?ga3<6;81v?;;9;297~;2<<0:8o52b8;97dd<5mo36<=>;|q111g=839p18:::06b?8d>i39jn63keb8274=z{;??n7>53z?600<6<016n4l53``89acb289:7p}=55a94?5|5<>>6<;6;<`:g?5fj27oil4>309~w733l3:1?v3:44821==:j0n1?ll4=ed3>4563ty999k50;1x902228?<70l6e;1bf>;cn;0:?<5rs377b?6=;r7>884>549>f<`=;hh01ih;:012?xu5=<:1<7=t=466>43334hj<7=nb:?gb3<6;81v?;:1;297~;2<<0:9>52b`397dd<5mlj6<=>;|q1104=839p18:::071?8df:39jn63kfb8274=z{;?>?7>53z?600<6=816nl=53``89a`?289:7p}=54694?5|5<>>6<;?;<`b0?5fj27ojh4>309~w732=3:1?v3:44820==:jh?1?ll4=d23>4563ty998850;1x90>e28>m70l8e;1bf>;ck;0:?<5rs3763?6=;r7>4o4>4e9>f2`=;hh01im9:012?xu5=<21<7=t=4:a>42d34h3<7=nb:?gg=<6;81v?;:9;297~;20k0:8o52b9397dd<5mi?6<=>;|q110g=839p186m:06b?8d?:39jn63kc`8274=z{;?>n7>53z?6309~w732l3:1?v3:8c821==:j1?1?ll4=ef3>4563ty998k50;1x90>e28?<70l76;1bf>;cl=0:?<5rs376b?6=;r7>4o4>549>f=1=;hh01ij9:012?xu5=?:1<7=t=4:a>43334h347=nb:?g`7<6;81v?;91;297~;20k0:9>52b9;97dd<5mn36<=>;|q1134=839p186m:071?8d?i39jn63kd`8274=z{;?=?7>53z?6309~w731=3:1?v3:8c820==:j1n1?ll4=eg3>4563ty99;850;1x90b628>m70jj3;1bf>;bm?0:?<5rs3753?6=;r7>h<4>4e9>``3=;hh01hk7:012?xu5=?21<7=t=4f2>42d34nn;7=nb:?faf<6;81v?;99;297~;2l80:8o52dd;97dd<5lon6<=>;|q113g=839p18j>:06b?8bbl39jn63je`8274=z{;?=n7>53z?6`4<6<016hhh53``89``7289:7p}=57a94?5|5309~w731l3:1?v3:d0821==:lo;1?ll4=dd7>4563ty99;k50;1x90b628?<70ji3;1bf>;bn?0:?<5rs375b?6=;r7>h<4>549>`c3=;hh01hhn:012?xu5=>:1<7=t=4f2>43334nm;7=nb:?fbf<6;81v?;81;297~;2l80:9>52dg`97dd<5ll36<=>;|q1124=839p18j>:071?8bal39jn63jfd8274=z{;?53z?6`4<6=816hk753``89c67289:7p}=56694?5|5309~w730=3:1?v3:d0820==:m9;1?ll4=g27>4563ty99:850;1x937028>m70jl3;1bf>;bk10:?<5rs3743?6=;r7==:4>4e9>`f1=;hh01hm9:012?xu5=>21<7=t=734>42d34nh57=nb:?fgd<6;81v?;89;297~;19>0:8o52db797dd<5lih6<=>;|q112g=839p1;?8:06b?8bdj39jn63jcd8274=z{;?53z?552<6<016hnj53``89`b7289:7p}=56a94?5|5?;<6<;6;309~w730l3:1?v3916821==:lm;1?ll4=df5>4563ty99:k50;1x937028?<70jk5;1bf>;bl;0:?<5rs374b?6=;r7==:4>549>`a1=;hh01hj7:012?xu5=1:1<7=t=734>43334no?7=nb:?f`d<6;81v?;71;297~;19>0:9>52de;97dd<5lnh6<=>;|q11=4=839p1;?8:071?8bcj39jn63jdd8274=z{;?3?7>53z?552<6=816hij53``89`c5289:7p}=59694?5|5?;<6<;?;309~w73?=3:1?v3916820==:ll;1?ll4=dg3>4563ty995850;1x933c28>m70kj7;1bf>;aih0:?<5rs37;3?6=;r7=9i4>4e9>a`?=;hh01kol:012?xu5=121<7=t=77g>42d34onh7=nb:?ee=<6;81v?;79;297~;1=m0:8o52edd97dd<5okn6<=>;|q11=g=839p1;;k:06b?8cbj39jn63ib18274=z{;?3n7>53z?51a<6<016ik?53``89cd5289:7p}=59a94?5|5??o6<;6;309~w73?l3:1?v395e821==:mo?1?ll4=g`;>4563ty995k50;1x933c28?<70ki7;1bf>;ajh0:?<5rs37;b?6=;r7=9i4>549>acd=;hh01kl9:012?xu5=0:1<7=t=77g>43334omh7=nb:?eff<6;81v?;61;297~;1=m0:9>52eg;97dd<5ohn6<=>;|q11<4=839p1;;k:071?8can39jn63ic18274=z{;?2?7>53z?51a<6=816j=?53``89ce5289:7p}=58694?5|5??o6<;?;309~w73>=3:1?v395e820==:n9?1?ll4=ga5>4563ty994850;1x93g428>m70kl9;1bf>;a0h0:?<5rs37:3?6=;r7=m>4>4e9>af1=;hh01k6l:012?xu5=021<7=t=7c0>42d34ohn7=nb:?e<=<6;81v?;69;297~;1i:0:8o52ebf97dd<5o3;6<=>;|q1153z?5e6<6<016ii?53``89c>b289:7p}=58a94?5|5?k86<;6;309~w73>l3:1?v39a2821==:mm=1?ll4=g;5>4563ty994k50;1x93g428?<70kk3;1bf>;a110:?<5rs37:b?6=;r7=m>4>549>aa?=;hh01k7n:012?xu5=h:1<7=t=7c0>43334oon7=nb:?e=`<6;81v?;n1;297~;1i:0:9>52eef97dd<5ok;6<=>;|q11d4=839p1;o<:071?8ccn39jn63i9b8274=z{;?j?7>53z?5e6<6=816ih=53``89cg5289:7p}=5`694?5|5?k86<;?;309~w73f=3:1?v39a2820==:ml;1?ll4=gc5>4563ty99l850;1x93c>28>m70hnb;1bf>;689k1=>?4}r06e2<72:q6:h7515f89cgc2:ki70??0b8274=z{;?j47>53z?5a<<65<4s442f34li=7=nb:?2444=9:;0q~<:ac83>6}:>l31=974=g`0>6ge34;;=;4>309~w73fk3:1?v39e8821<=:nk?1?ll4=0228ok:18080b13;>463ib880eg=:99;?6<=>;|q11dc=839p1;k6:074?8`ej39jn63>00c9567mk4?:2y>2`?=9559>bgb=;hh01<>>e;305>{t:5547289:7p}=5c094?5|5?o26<;=;u26d;9507<5oi86>om;<3363<6;81v?;m4;297~;1m00:9=52fb797dd<58:9>7?<1:p60d22908w08j9;37<>;ak>08mo52110;>4563ty99o850;1x924a28>m70h7b;1bf>;amh0:?<5rs37a3?6=;r7<>k4>4e9>b=b=;hh01kkj:012?xu5=k21<7=t=60e>42d34l357=nb:?eb5<6;81v?;m9;297~;0:o0:8o52f8397dd<5ooh6<=>;|q11gg=839p1:;39jn63if38274=z{;?in7>53z?46c<6<016j5h53``89c`3289:7p}=5ca94?5|5>8m6<;6;309~w73el3:1?v382g821==:n0=1?ll4=gd;>4563ty99ok50;1x924a28?<70h69;1bf>;anj0:?<5rs37ab?6=;r7<>k4>549>b43334l2j7=nb:?ebd<6;81v?;l1;297~;0:o0:9>52f`397dd<58:;<7?<1:p60e52908w09=f;366>;a1m08mo521121>4563ty99n=50;1x924a28?:70hn3;1bf>;689>1=>?4}r06g1<72:q6;?h514289cg22:ki70??078274=z{;?h97>53z?46c<6<116jl953``8946703;8=6s|24a5>5<4s4=<97?;f:?245d=;hh01<>9c;305>{t:5<4s4=<97?;c:?245`=;hh01<>80;305>{t:5<4s4=<97?;a:?2445=;hh01<>9e;305>{t:5<4s4=<97?:9:?244?=;hh01<>86;305>{t:5<4s4=<97?:7:?244d=;hh01<>8a;305>{t:5<4s4=<97?:4:?244`=;hh01<>70;305>{t:5<4s4=<97?:2:?2473=;hh01<>72;305>{t:5<4s4=<97?:0:?2475=;hh01<>76;305>{t:6=4<{<541?73027:5<4s4=in7?;f:?eag<4ik16==:l:012?xu5=m=1<7=t=6`a>42c34lnj7=nb:?241g=9:;0q~<:d983>6}:?kh1=9m4=gd2>6ge34;;8h4>309~w73c13:1?v38bc820g=:nln1?ll4=0264?7492wx>8jn:18081ej3;?m63if280eg=:99?96<=>;|q11ad=839p1:lm:06:?8`a=39jn63>0469567hn4?:2y>3gd=9<301kh8:2ca?877=10:?<5rs37g`?6=;r7599>bc?=;hh01<>:a;305>{t:5531289:7p}=5ed94?5|5>hi6<;:;u27c`9502<5oli6>om;<331`<6;81v?;j1;297~;0jk0:9>521122>6ge34;;:=4>309~w73b:3:1?v38bc8217=:99:86>om;<3327<6;81v?;j3;297~;0jk0:9<521126>6ge34;;:;4>309~w73b<3:1?v38bc8215=:99:<6>om;<332=<6;81v?;j5;297~;0jk0:8552112:>6ge34;;:94>309~w73b>3:1>v38dd820c=:lh91?ll4}r06a2<72;q6;ik515f89ag22:ki7p}=5d:94?4|5>nn6<:l;8k6:18181cm3;?n63kac80eg=z{;?nm7>52z?4``<6om;|q11`e=838p1:jj:07:?8bfn39jn6s|24gg>5<5s4=oi7?:8:?gf4<4ik1v?;je;296~;0ll0:9:52dc197ddik4?:3y>3ac=943334ni57=nb:p60`62909w09ke;367>;cjk08mo5rs37e6?6=:r7539>`g1=;hh0q~<:f283>7}:?mo1=8?4=e`g>6ge3ty99k:50;0x92bb28?;70jmf;1bf>{t:6=4={<5ga?73027oo<43:1>v3700820c=:99om;|q11c1=838p15>>:06g?877>k08mo5rs37e4b9>55162:ki7p}=5g;94?4|51::6<:m;<3336<4ik1v?;ia;296~;?880:8l52114e>6ge3ty99kl50;0x9=6628>270??7480eg=z{;?mo7>52z?;44<6=016==98:2ca?xu5=on1<743?34;;;44v37008212=:99=i6>om;|q11c`=838p15>>:076?877?o08mo5rs3434?6=:r73<<4>559>55>62:ki7p}=61394?4|51::6<;<;<333a<4ik1v?8?2;296~;?880:9?5211:0>6ge3ty9:==50;0x9=6628?:70??8480eg=z{;<;87>52z?;44<6=916==68:2ca?xu5>9?1<742?34;;4443:1>v3725820c=:l>?1?ll4}r0542<72;q64?:515f89a162:ki7p}=61:94?4|518?6<:l;;>6:1818>5<3;?n63k7880eg=z{;<;m7>52z?;61<6om;|q125e=838p15<;:07:?8b?939jn6s|272g>5<5s42987?:8:?g<6<4ik1v?8?e;296~;?:=0:9:52d6d97dd<72=98:1<743334n3;7=nb:p63762909w06=4;367>;c0008mo5rs3426?6=:r73>94>539>`=d=;hh0q~<91283>7}:0;>1=8?4=e:e>6ge3ty9:<:50;0x9=4328?;70j61;1bf>{t:?;>6=4={<:10?73027o4i43:1>v3746820c=:99>o6>om;|q1241=838p15:8:06g?8774b9>552a2:ki7p}=60;94?4|51><6<:m;<3314<4ik1v?8>a;296~;?<>0:8l521170>6ge3ty9:270??5480eg=z{;<:o7>52z?;02<6=016==;6:2ca?xu5>8n1<743?34;;9o4v37468212=:99?<6>om;|q124`=838p15:8:076?877=m08mo5rs3414?6=:r738:4>559>553a2:ki7p}=63394?4|51><6<;<;<3324<4ik1v?8=2;296~;?<>0:9?521140>6ge3ty9:?=50;0x9=2028?:70??6680eg=z{;<987>52z?;02<6=916==86:2ca?xu5>;?1<742?34;;:843:1?v376`8230=:jh<1=:;4=e1a>6ge3ty9:?950;1x9=0f28=870ln6;347>;c;m08mo5rs341739>fd0=9>801i=6:2ca?xu5>;31<7=t=94b>41634hj:7?81:?g7c<4ik1v?8=a;297~;?>h0:;=52b`49526<5m>:6>om;|q127d=839p158n:04e?8df>3;=j63k4280eg=z{;<9o7>53z?;2d<6>l16nl8517g89a222:ki7p}=63f94?5|516ge3ty9:?h50;1x9=0f283h70ln6;3:g>;c<>08mo5rs3404?6=;r73:l4>9c9>fd0=90h01i:k:2ca?xu5>:;1<7=t=94b>4?f34hj:7?6a:?g0c<4ik1v?8<2;297~;?>h0:5452b`495om;|q1265=839p158n:0;;?8df>3;2463k5280eg=z{;<887>53z?;2d<60l16nl8519g89a302:ki7p}=62794?5|513:1?v376`823==:jh<1=:64=e76>6ge3ty9:>950;1x9=0f28;c=k08mo5rs340a69>?0q~<93883>7}:0?k1=l;4=9c0>4143ty9:>o50;0x9=0f28k?706n3;346>{t:?9i6=4={<:5e?7f;273m>4>709~w704k3:1>v376`82e7=:0h91=:>4}r057a<72;q64;o51`389=g428;=i:1818>1i3;2j637a2822a=z{;52z?;2d<6ko164l=518f8yv41<80;6?u287c95fc<51k86<7l;|q1214=838p158n:0ag?8>f;3;2n6s|2760>5<5s42=m7?lc:?;e6<61h1v?8;4;296~;?>h0:oo528`195<3g=9jk015o<:0;;?xu5>=<1<74e7342j?7?7e:p63202909w069a;3a1>;?i:0:4>5rs347a`9>20q~<94883>7}:0?k1=4k4=9c0>40d3ty9:9o50;0x9=g428k<706kc;341>{t:?>i6=4={<:b7?7f=273hn4>729~w703k3:1>v37a282e1=:0mi1=:<4}r050a<72;q64l=51`189=bd28=:7p}=65g94?4|51k86;:i:1818>f;3;j=637db822c=z{;<><7>52z?;e6<6i9164im517g8yv41=80;6?u28`195<`<51nh6<8k;|q1204=838p15o<:0ae?8>ck3;2h6s|2770>5<5s42j?7?le:?;`f<61j1v?8:4;296~;?i:0:oi528ea95<<1<74ee342oo7?69:p63302909w06n3;3`e>;?lj0:555rs3464>c19>7}:0h91=o;4=9f`>4>43ty9:8o50;0x9=g428kj706kc;34<>{t:??i6=4={<:b7?7>m273hn4>6b9~w702k3:1>v37db82e2=:18?1=:;4}r051a<72;q64im51`789<7228=87p}=64g94?4|51nh6;;i:1818>ck3;j?636148234=z{;<=<7>52z?;`f<6i;165<;51628yv41>80;6?u28ea95d7<50;>6<8i;|q1234=838p15jl:0c3?8?6=3;=i6s|2740>5<5s42oo7?6f:?:50<6>m1v?894;296~;?lj0:ok5290795?<1<74ec343:97?6b:p63002909w06kc;3`g>;>9<0:5l5rs345cc9>=43=9030q~<96883>7}:0mi1=no4=836>4??3ty9:;o50;0x9=bd28i;707>5;3;a>{t:?829~w701k3:1>v37db82ed=:18?1=:64}r052a<72;q64im518g89<72286;8i:1818?6=3;j96364d8236=z{;<<<7>52z?:50<6i=1659k51608yv41?80;6?u290795d5<50>n6<9>;|q1224=838p14?::0c1?8?3m3;<<6s|2750>5<5s43:97?n1:?:0`<6>o1v?884;296~;>9<0:m=5295g953c=43=90l014:j:04g?xu5>><1<74ea343?i7?6d:p63102909w07>5;3`a>;>ce9>=1c=90h0q~<97883>7}:18?1=nm4=86f>4?f3ty9::o50;0x9<7228ii707;e;3:=>{t:?=i6=4={<;21?7di2728h4>999~w700k3:1>v361482g5=:1=o1=5k4}r053a<72;q65<;51c789<2b28287p}=66g94?4|50;>6;9i:1818?6=3;2i6364d822f=z{;<3<7>52z?:0`<6i>1655951678yv41080;6?u295g95d3<502<6<9<;|q12=4=838p14:j:0c7?8???3;<>6s|27:0>5<5s43?i7?n3:?:<2<6?81v?874;296~;>=1c=9h;01468:04e?xu5>1<1<74g73433;7?9e:p63>02909w07;e;3:b>;>0>0::i5rs34;cg9>==1=90n0q~<98883>7}:1=o1=nk4=8:4>4?d3ty9:5o50;0x9<2b28io70777;3:f>{t:?2i6=4={<;7a?7dk2724:4>9`9~w70?k3:1>v364d82gg=:11=1=474}r05028337p}=69g94?4|50>n6;6i:1818?3m3;i96368682<6=z{;<2<7>52z?:0`<6ih16559516:8yv41180;6?u295g95:074?8d?m39jn63j538274=z{;<2?7>53z?:g4<6=<16n5h53``89`33289:7p}=68694?5|50i:6<;;;<`:4?5fj27n9;4>309~w70>=3:1?v36c08216=:j0;1?ll4=d7;>4563ty9:4850;1x9;b=h0:?<5rs34:3?6=;r72o<4>509>f<5=;hh01h;j:012?xu5>021<7=t=8a2>43734h287=nb:?f25<6;81v?869;297~;>k80:8552b8797dd<5l?h6<=>;|q1239jn63j438274=z{;<2n7>53z?:a2<6=<16n:953``89`23289:7p}=68a94?5|50o<6<;;;<`4309~w70>l3:1?v36e68216=:j>31?ll4=d6b>4563ty9:4k50;1x9;b509>f2d=;hh01h:7:012?xu5>h:1<7=t=8g4>43734hm>0:8552b6f97dd<5l?;6<=>;|q12d4=839p1l>k:074?8c2;39jn63i178274=z{;53z?b4a<6=<16i8;53``89c7?289:7p}=6`694?5|5h:o6<;;;309~w70f=3:1?v3n0e8216=:m<31?ll4=g3`>4563ty9:l850;1x9d6c28?970k:b;1bf>;a:90:?<5rs34b3?6=;r7j509>a0`=;hh01k<=:012?xu5>h21<7=t=`2g>43734o==7=nb:?e5`<6;81v?8n9;297~;f8m0:8552e4f97dd<5o8?6<=>;|q12dg=839p1l=<:074?8c3;39jn63i078274=z{;53z?b76<6=<16i9;53``89c6?289:7p}=6`a94?5|5h986<;;;309~w70fl3:1?v3n328216=:m=h1?ll4=g2f>4563ty9:lk50;1x9d5428?970k;d;1bf>;a8h0:?<5rs34bb?6=;r7j?>4>509>a1?=;hh01k??:012?xu5>k:1<7=t=`10>43734o?j7=nb:?e57<6;81v?8m1;297~;f;:0:8552e4397dd<5o;?6<=>;|q12g4=839p1l;6:074?8`6?39jn63id98274=z{;53z?b1<<6=<16j<753``89cbf289:7p}=6c694?5|5h?26<;;;309~w70e=3:1?v3n588216=:n8n1?ll4=gg3>4563ty9:o850;1x9d3>28?970h=1;1bf>;am;0:?<5rs34a3?6=;r7j944>509>b75=;hh01kjj:012?xu5>k21<7=t=`7:>43734l:j7=nb:?ea1<6;81v?8m9;297~;f=00:8552f3797dd<5oo=6<=>;|q12gg=839p1l9i:074?8`7?39jn63ic98274=z{;53z?b3c<6=<16j=753``89ced289:7p}=6ca94?5|5h=m6<;;;309~w70el3:1?v3n7g8216=:n9l1?ll4=gab>4563ty9:ok50;1x9d1a28?970h?b;1bf>;al90:?<5rs34ab?6=;r7j;k4>509>b47=;hh01kj=:012?xu5>j:1<7=t=`5e>43734l:?7=nb:?e`1<6;81v?8l1;297~;f?o0:8552f0797dd<5on=6<=>;|q12f4=839p1lo::074?8`c139jn63>02c95674?:2y>ed3=9559>bab=;hh01<>;0;305>{t:?i>6=4<{555d289:7p}=6b494?5|5hk>6<;=;0;6>u2a`79507<5onm6>om;<3301<6;81v?8l8;297~;fi<0:9=52fd797dd<58:?:7?<1:p63e>2908w0on5;37<>;am>08mo52116;>4563ty9:no50;1x9dee28?<70hl9;1bf>;68;i1=>?4}r05gg<72:q6mnl514789cec2:ki70??2d8274=z{;53z?bgg<6==16jnh53``89465i3;8=6s|27ag>5<4s4khn7?:3:?egg<4ik16===?:012?xu5>jo1<7=t=`aa>43534lo=7=nb:?2464=9:;0q~<9cg83>6}:ijh1=8?4=gf0>6ge34;;?94>309~w70c83:1?v3ncc8215=:nm?1?ll4=0202?7492wx>;j>:1808gdj3;?463id680eg=:99936<=>;|q12a4=839p1lh>:074?877;k08mo5211;`>4563ty9:i=50;1x9d`628?>70??3g80eg=:993n6<=>;|q12a2=839p1lh>:077?877<808mo5211;b>4563ty9:i;50;1x9d`628?870??3e80eg=:99k;6<=>;|q12a0=839p1lh>:071?877<:08mo5211c1>4563ty9:i950;1x9d`628?:70??4480eg=:99k?6<=>;|q12a>=839p1lh>:073?877<>08mo5211c5>4563ty9:i750;1x9d`628>370??4880eg=:99k36<=>;|q12ag=839p1o?8:074?877:m08mo5211:`>4563ty9:il50;1x9g7028?>70??2g80eg=:992j6<=>;|q12ae=839p1o?8:077?877:k08mo5211:f>4563ty9:ij50;1x9g7028?870??3080eg=:993;6<=>;|q12ac=839p1o?8:071?877;:08mo5211;1>4563ty9:ih50;1x9g7028?:70??3480eg=:993?6<=>;|q12`6=839p1o?8:073?877;>08mo5211;5>4563ty9:h?50;1x9g7028>370??3880eg=:99336<=>;|q12`4=838p1o;39jn6s|27g0>5<5s4h9m7?:5:?g=0<4ik1v?8j4;296~;e:h0:9952d8597ddf7g=9<901i76:2ca?xu5>l<1<743534n2h7=nb:p63c02909w0l=a;365>;c1o08mo5rs34fl4>519>`7}:j;k1=964=ec2>6ge3ty9:ho50;0x9g5c28?<70??9e80eg=z{;52z?a7a<6=<16==7i:2ca?xu5>li1<743334;;5o4v3m3e8216=:99k:6>om;|q12`c=838p1o=k:071?877i:08mo5rs34fb?6=:r7i?i4>509>55g22:ki7p}=6g294?4|5k9o6<;?;<33e2<4ik1v?8i1;296~;e;m0:855211c:>6ge3ty9:k<50;0x9g3728?<70j95;1bf>{t:?l86=4={<`64?72=27o::4v3m518211=:l?91?ll4}r05b0<72;q6n8>514189a0>2:ki7p}=6g494?4|5k?;6<;=;;h8:1818d283;>=63k6e80eg=z{;52z?a15<6=916h;h53``8yv41n00;6?u2b42951><5m=86>om;|q12cg=838p1o8<:074?8770m08mo5rs34ef?6=:r7i:>4>549>55>e2:ki7p}=6ga94?4|5k<86<;;;<33:0:9>5211;2>6ge3ty9:kk50;0x9g0428?970??9280eg=z{;52z?a26<6=816==7::2ca?xu5?9:1<743734;;5:4v3m62820==:99326>om;|q1354=83;:w0l86;314>;e?>0:>=52b6:9576<5k=26<219>f2e=9;:01o9k:003?8d0m3;9<63m7g8265=:j1:1=?>4=c:2>44734h3>7?=0:?a<6<6:916n5:513289g>2288;70j=4;137>{t:>:86=4=1z?a<3<6:916n59513289g>?288;70l79;314>;e0h0:>=52b9`9576<5k2h6<219>f=`=9;:01o7?:003?8d>93;9<63m938265=:j091=?>4=c;7>44734h297?=0:?a=3<6:916n49513289g??288;70l69;314>;e1h0:>=52b8`9576<5k3h6<219>f<`=9;:01oo?:003?8df93;9<63ma38265=:jh91=?>4=cc7>44734hj97?=0:?g66<48:1v?9?4;296~;ei?0j:63k3280eg=z{;=;97>53z?aga<5::16ni653c:89f1?2::87p}=71494?4|5kio6>><;:>8:1808ddm3;9n63md2826g=:jm>1>?:4}r044=<72:q6nnk523189gb42:h370mie;137>{t:>:26=4={<``a?57;27hih4>309~w717i3:1?v3mcg826g=:jm>1=?l4=cf6>7433ty9;=l50;1x9gea2;8870lk4;1a<>;dno08<>5rs353g?6=:r7iok4<029>g``=9:;0q~<80e83>6}:jm:1=?l4=cf6>44e34ho:7<=4:p626b2908w0lk0;017>;el<08n552d129755fa6=;9901nh?:012?xu5?8:1<7=t=cf2>44e34ho:7?=b:?a`2<5:=1v?9>1;297~;el809>>52be497g><5m::6>><;|q1344=838p1oj>:220?8ea93;8=6s|2630>5<4s4ho>7?=b:?a`2<6:k16ni652368yv409=0;6>u2be09675<5kn<6>l7;:?::1818dc:39;?63lf38274=z{;=::7>5dz?a`6<58:16o9h520g89f372;;o70m88;02`>;dl>09=h52ce:964b<5jn26??k;gae=:8n01nkj:2ca?8eam38:o63k2b815g=z{;=:;7>53z?a`1<58:16ohh53``89f`a2;;h7p}=70:94?5|5kn>6?><;77d3ty9;;c8;09=n5rs352f?6=;r7ih54=029>g2>=:8i01nh<:2ca?xu5?8i1<745634i<57=?3:p627c2909w0lka;305>;d?k08<>5rs352a?6=:r7iho4>309>g2g=;990q~<81g83>7}:jmi1=>?4=b5`>6643ty9;?>50;0x9gbc289:70m8e;137>{t:>8:6=4={<`ga?74927h;i4<029~w715:3:1>v3mdg8274=:k>l1?==4}r0466<72;q6nh>512389f>62::87p}=73694?4|5ko:6<=>;:<::1818db:3;8=63l838046=z{;=9:7>52z?aa6<6;816o5:53118yv40:>0;6?u2bd69567<5j286>><;|q137>=838p1ok::012?8e?=39;?6s|260:>5<5s4hn:7?<1:?`<2<48:1v?9=a;296~;em>0:?<52c949755o4?:3y>f`>=9:;01n67:220?xu5?;i1<745634i3m7=?3:p624c2909w0lja;305>;d0008<>5rs351a?6=:r7iio4>309>g=d=;990q~<82g83>7}:jli1=>?4=b:g>6643ty9;>>50;0x9gcc289:70m7c;137>{t:>9:6=4={<`fa?74927h4h4<029~w714:3:1>v3meg8274=:k0:1?==4}r0476<72;q6nk>512389f>a2::87p}=72694?4|5kl:6<=>;:=::1818da:3;8=63l928046=z{;=8:7>52z?ab6<6;816o4<53118yv40;>0;6?u2bg69567<5j3?6>><;|q136>=838p1oh::012?8e>>39;?6s|261:>5<5s4hm:7?<1:?`=0<48:1v?90:?<52c859755fc>=9:;01n76:220?xu5?:i1<745634i247=?3:p625c2909w0lia;305>;d1h08<>5rs350a?6=:r7ijo4>309>g7}:joi1=>?4=b;a>6643ty9;9>50;0x9g`c289:70m6d;137>{t:>>:6=4={<`ea?74927h5k4<029~w713:3:1>v3mfg8274=:k0o1?==4}r0406<72;q6o=>512389fg72::87p}=75694?4|5j::6<=>;::::1818e7:3;8=63la08046=z{;=?:7>52z?`46<6;816ol=53118yv40<>0;6?u2c169567<5jk>6>><;|q131>=838p1n>::012?8ef<39;?6s|266:>5<5s4i;:7?<1:?`e3<48:1v?9;a;296~;d8>0:?<52c`:9755g5>=9:;01no8:220?xu5?=i1<745634ij57=?3:p622c2909w0m?a;305>;dih08<>5rs357a?6=:r7h309>gde=;990q~<84g83>7}:k9i1=>?4=bca>6643ty9;8>50;0x9f6c289:70mnd;137>{t:>?:6=4={v3l0g8274=:kho1?==4}r0416<72;q6o<>512389fd72::87p}=74694?4|5j;:6<=>;:;::1818e6:3;8=63lb08046=z{;=>:7>52z?`56<6;816oo=53118yv40=>0;6?u2c069567<5jh>6>><;|q130>=838p1n?::012?8ee<39;?6s|267:>5<5s4i::7?<1:?`f3<48:1v?9:a;296~;d9>0:?<52cc:9755g4>=9:;01nl8:220?xu5?45634ii57=?3:p623c2909w0m>a;305>;djk08<>5rs356a?6=:r7h=o4>309>ggg=;990q~<85g83>7}:k8i1=>?4=b``>6643ty9;;>50;0x9f7c289:70mme;137>{t:><:6=4={v3l1g8274=:kkl1?==4}r0426<72;q6o?>512389fe62::87p}=77694?4|5j8:6<=>;:8::1818e5:3;8=63lc38046=z{;==:7>52z?`66<6;816on:53118yv40>>0;6?u2c369567<5ji86>><;|q133>=838p1n<::012?8ed=39;?6s|264:>5<5s4i9:7?<1:?`g2<48:1v?99a;296~;d:>0:?<52cb49755g7>=9:;01nm7:220?xu5??i1<745634ihm7=?3:p620c2909w0m=a;305>;dk008<>5rs355a?6=:r7h>o4>309>gfd=;990q~<86g83>7}:k;i1=>?4=bag>6643ty9;:>50;0x9f4c289:70mlc;137>{t:>=:6=4={v3l2g8274=:km:1?==4}r0436<72;q6o>>512389fea2::87p}=76694?4|5j9:6<=>;:9::1818e4:3;8=63ld28046=z{;=<:7>52z?`76<6;816oi<53118yv40?>0;6?u2c269567<5jn?6>><;|q132>=838p1n=::012?8ec>39;?6s|265:>5<5s4i8:7?<1:?``0<48:1v?98a;296~;d;>0:?<52ce59755g6>=9;h01n=k:00a?8e4m38986s|265`>5<4s4i847<=3:?`7a<4j116oim53118yv40?m0;6?u2c2:9755<5jl?6<=>;|q132c=839p1n=6:00a?8e4m3;9n63l3g8161=z{;=53z?`7<<5::16o>k53c:89fbe2::87p}=79294?4|5j926>><;:6>:1808e4i3;9n63l3g826g=:k=:1>?:4}r04<7<72:q6o>o523189f5a2:h370mka;137>{t:>286=4={309~w71?<3:1?v3l3c826g=:k=:1=?l4=b62>7433ty9;5;50;1x9f5e2;8870m;0;1a<>;dl008<>5rs35;2?6=:r7h?o4<029>gc1=9:;0q~<88683>6}:k:i1=?l4=b62>44e34i?>7=?3:p62>?2908w0m;d<808n552ce:9755g6e=;9901nh7:012?xu5?1k1<7:t=b1g>76434i?i7<>c:?``f<59j16ok:53``8yv400k0;69u2c2g9655<5j>n6??k;77d34im:7=nb:p62>c290?w0m;0;037>;dom;|q13=c=83>p1n:>:320?8e3m389<63ld9815f=:ko21?ll4}r0486??k;b`?=;hh0q~<89083>7}:k=91>6ge3ty9;4<50;7x9f212;;h70mi9;02g>;c8:08mo52d1a964e<5m:n6??i;|q13<5=839p1n:8:33g?8b7<39jn63k10815f=z{;=287>53z?`1c<59m16oh;53``89a772;;n7p}=78794?5|5j>3:1?v3l70815a=:kli1?ll4=e37>77b3ty9;4950;028e0:39;?63kc38265=:lj91=?>4=ea7>44734nh97?=0:?gg3<6:916hn9513289ae?288;70jl9;314>;ckh0:>=52db`9576<5mih6<219>`f`=9;:01ij?:003?8bc93;9<63kd38265=:lm91=?>4=ef7>44734no97?=0:?g`3<6:916hi9513289ab?288;70jk9;314>;clh0:>=52de`9576<5mnh6<219>`a`=9;:01ik?:003?8bb93;9<6s|26;;>5<59r7h;>4<029>af0=9;:01hm8:003?8cd03;9<63jc88265=:mjk1=?>4=daa>44734oho7?=0:?fga<6:916ink513289`ea288;70kk0;314>;bl80:>=52ee09576<5ln86<219>aa0=9;:01hj8:003?8cc03;9<63jd88265=:mmk1=?>4=dfa>44734ooo7?=0:?f`a<6:916iik513289`ba288;70kj0;314>;bm80:>=52ed09576<5lo86<219~w71>13:1>219>b=d=9;:01k6l:003?8`?l3;9<63i8d8265=:n1l1=?>4=g;3>44734l2=7?=0:?e=7<6:916j4=513289c?3288;70h65;314>;a1?0:>=52f859576<5o336<219>bl3;9<63i9d8265=:n0l1=?>4=gc3>44734lj=7?=0:?ee7<6:916jl=513289cg3288;70hn5;314>;ai?0:>=52f`59576;amk0:>=52fda9576<5ooo6<219>bc6=9;:01kh>:003?8`a:3;9<63if28265=:no>1=?>4=gd6>44734lm:7?=0:?eb2<6:916jk6513289c`>288;70hia;314>;ank0:>=52fga9576<5olo6<219>5567288;70??008265=:99:96<;:003?8778<0:>=521125>44734;;<:4>219>556?288;70??088265=z{;=2n7>520y>g20=;9901<>;a;314>;68=h1=?>4=027g?75827:<9j513289463m3;9<63>05d9576<58:><7?=0:?2407=9;:01<>:2;314>;68<91=?>4=0260?75827:<8;513289462>3;9<63>0459576<58:>47?=0:?240?=9;:01<>:a;314>;684=026g?75827:<8j513289462m3;9<63>04d9576<58:=<7?=0:?2437=9;:01<>92;314>;68?91=?>4=0250?75827:<;;513289461>3;9<63>0759576<58:=47?=0:?243?=9;:0q~<89b83>37|5j=<6>><;4>219>a32=9;:01h8::003?8c1>3;9<63j668265=:m?21=?>4=d4:>44734o=m7?=0:?f2g<6:916i;m513289`0c288;70k9e;314>;b>o0:>=52e629576<5l=:6<4>219>a22=9;:01h9::003?8c0>3;9<63j768265=:m>21=?>4=d5:>44734o;b?o0:>=52e929576<5l2:6<4>219>a=2=9;:01h6::003?8c?>3;9<63j868265=:m121=?>4=d::>44734o3m7?=0:?fc288;70k7e;314>;b0o0:>=52e829576<5l3:6<4>219>a<2=9;:01h7::003?8c>>3;9<63j968265=:m021=?>4=d;:>44734o2m7?=0:?f=g<6:916i4m513289`?c288;70k6e;314>;b1o0:>=52e`29576<5lk:6<4>219>ad2=9;:01ho::003?8cf>3;9<63ja68265=:mh21=?>4=dc:>44734ojm7?=0:?feg<6:916ilm513289`gc288;70kne;314>;bio0:>=52ec29576<5lh:6<4>219>ag2=9;:01hl::003?8ce>3;9<63jb68265=:mk21=?>4=d`:>44734oim7?=0:?ffg<6:916iom513289`dc288;70kme;314>;bjo0:>=52eb29576<5li:6<5<5s4ie:?f7c<4ik1v?96f;296~;d?k09=h52e2`97ddg2e=:8o01h=k:2ca?xu5?h;1<777b34o8;7=nb:p62g52909w0m8e;02a>;b;008mo5rs35b7?6=:r7h;k4=1d9>a63=;hh0q~<8a583>7}:k1:1>6ge3ty9;l;50;0x9f>62;;n70k<3;1bf>{t:>k=6=4={v3l82815`=:m;h1?ll4}r04e=<72;q6o5:520g89`4a2:ki7p}=7`;94?4|5j2>6??j;:on:1818e?>38:i63j2480eg=z{;=jn7>52z?`<2<59l16i?953``8yv40ij0;6?u2c9:964c<5l;m6>om;|q13db=838p1no8:33f?8c5;39jn6s|26cf>5<5s4ij57<>e:?f64<4ik1v?9nf;296~;dih09=h52e0f97ddgdd=:8o01h?6:2ca?xu5?k;1<777b34o:n7=nb:p62d52909w0mnd;02a>;b9>08mo5rs35a7?6=:r7hmh4=1d9>a43=;hh0q~<8b583>7}:khl1>6ge3ty9;o;50;0x9fd72;;n70k>3;1bf>{t:>h=6=4={v3lb3815`=:m9l1?ll4}r04f=<72;q6oo=520g89`6e2:ki7p}=7c;94?4|5jh?6??j;:ln:1818ee=38:i63j0880eg=z{;=in7>52z?`f3<59l16i=953``8yv40jj0;6?u2cc:964c<5l:>6>om;|q13gb=838p1njj:012?8ecn39;?6s|26`f>5<5s4in97?<1:?g55<48:1v?9mf;296~;dmj0:?<52d069755`55=9:;01i>l:220?xu5?j;1<745634n:=7=?3:p62e52909w0j?5;305>;c8m08<>5rs35`7?6=;r7o<84`5b=:8n01i>i:33f?xu5?j>1<745634n;i7=?3:p62e22909w0j?7;305>;c8o08<>5rs35`2?6=:r7o<54>309>`44=;990q~<8c683>7}:l931=>?4=e30>6643ty9;n650;028b6139;?63je78265=:ml=1=?>4=dg;>44734on57?=0:?fad<6:916ihl513289`cd288;70kjd;314>;bml0:>=52edd9576<5ll;6<219>ac5=9;:01hh;:003?8ca=3;9<63jf78265=:mo=1=?>4=dd;>44734om57?=0:?fbd<6:916ikl513289``d288;70kid;314>;bnl0:>=52egd9576<5o:;6<219>b55=9;:01k>;:003?8`7=3;9<6s|26a:>5<59r7o=l4<029>b50=9;:01k>8:003?8`703;9<63i088265=:n9k1=?>4=g2a>44734l;o7?=0:?e4a<6:916j=k513289c6a288;70h>0;314>;a980:>=52f009576<5o;86<219>b40=9;:01k?8:003?8`603;9<63i188265=:n8k1=?>4=g3a>44734l:o7?=0:?e5a<6:916j;a:80:>=52f309576<5o886<84>219~w71di3:1>219>bdd=9;:01kol:003?8`fl3;9<63iad8265=:nhl1=?>4=g`3>44734li=7?=0:?ef7<6:916jo=513289cd3288;70hm5;314>;aj?0:>=52fc59576<5oh36<219>bgd=9;:01kll:003?8`el3;9<63ibd8265=:nkl1=?>4=ga3>44734lh=7?=0:?eg7<6:916jn=513289ce3288;70hl5;314>;ak?0:>=52fb59576;ak00:>=52fbc9576<5oii6<219>bfc=9;:01kmi:003?8`c83;9<63id08265=:nm81=?>4=gf0>44734lo87?=0:?e`0<6:916ji8513289cb0288;70hk8;314>;al00:>=52fec9576<5oni6<219>bac=9;:01kji:003?8`b83;9<63ie08265=:nl81=?>4=gg0>44734ln87?=0:?ea0<6:916jh8513289cc0288;7p}=7ba94?46s4n:h7=?3:?245g=9;:01<>?b;314>;689i1=?>4=023`?75827:<=k513289467n3;9<63>0029576<58::=7?=0:?2444=9;:01<>>3;314>;688>1=?>4=0221?75827:<<8513289466?3;9<63>00:9576<58::57?=0:?244g=9;:01<>>b;314>;688i1=?>4=022`?75827:<0329576<58:9=7?=0:?2474=9;:01<>=3;314>;68;>1=?>4=0211?75827:03:9576<58:957?=0:p62ec2909=v3k1d8046=:998j6<=52110f>44734;;>k4>219>5557288;70??308265=:99996<=521115>44734;;?:4>219>555?288;70??388265=:999j6<=52111f>44734;;?k4>219>5527288;70??408265=:99>96<=521165>44734;;8:4>219>552?288;70??488265=z{;=hi7>520y>`4`=;9901<>9a;314>;68?h1=?>4=025g?75827:<;j513289461m3;9<63>07d9576<58:<<7?=0:?2427=9;:01<>82;314>;68>91=?>4=0240?75827:<:;513289460>3;9<63>0659576<58:<47?=0:?242?=9;:01<>8a;314>;68>h1=?>4=024g?75827:<:j513289460m3;9<63>06d9576<58:3<7?=0:?24=7=9;:01<>72;314>;68191=?>4=02;0?75827:<5;51328946?>3;9<63>0959576<58:347?=0:?24=?=9;:0q~<8cg83>77|5m8;6>><;<33=5211:g>44734;;4h4>219>55>a288;70??918265=:993:6<=5211;6>44734;;5;4>219>55?0288;70??998265=:99326<=5211;g>44734;;5h4>219>55?a288;70??a18265=:99k:6<=5211c6>44734;;m;4>219>55g0288;70??a98265=:99k26<;cm;0:>=52dd19576<5mo?6<219>``1=9;:01ik7:003?8bb13;9<63ke`8265=:llh1=?>4=eg`>44734nnh7?=0:?ga`<6:916hhh513289a`7288;70ji1;314>;cn;0:>=52dg19576<5ml?6<219>`c1=9;:01ih7:003?8ba13;9<63kf`8265=:loh1=?>4=ed`>44734nmh7?=0:?gb`<6:916hkh513289`67288;70k?1;314>{t:>n:6=4=1z?g67<48:16i9<513289`24288;70k;4;314>;b<<0:>=52e549576<5l><6<219>a1g=9;:01h:m:003?8c3k3;9<63j4e8265=:m=o1=?>4=d6e>44734o><7?=0:?f14<6:916i8<513289`34288;70k:4;314>;b=<0:>=52e449576<5l?<6<219>a0g=9;:01h;m:003?8c2k3;9<63j5e8265=:m4=d7e>44734o=<7?=0:?f24<6:91v?9k2;296~;c;;08mo52d2195674?:3y>`62=;hh01i=::012?xu5?m>1<76ge34n8;7?<1:p62b22909w0j<8;1bf>;c;00:?<5rs35g2?6=:r7o?l4`6d=9:;0q~<8d683>7}:l:i1?ll4=e1g>4563ty9;i650;0x9a5b2:ki70j{t:>n26=4={309~w71ci3:1>v3k4380eg=:l=91=>?4}r04`g<72;q6h9:53``89a22289:7p}=7ea94?4|5m>=6>om;:jk:1818b3039jn63k488274=z{;=oi7>52z?g0d<4ik16h9l51238yv40lo0;6?u2d5a97dd<5m>o6<=>;|q13`6=838p1i:j:2ca?8b3n3;8=6s|26g2>5<5s4n><7=nb:?g14<6;81v?9j2;296~;c=;08mo52d4195674?:3y>`02=;hh01i;::012?xu5?l>1<76ge34n>;7?<1:p62c22909w0j:8;1bf>;c=00:?<5rs35f2?6=:r7o9l4`0d=9:;0q~<8e683>7}:l4563ty9;h650;0x9a3b2:ki70j:f;305>{t:>o26=4={309~w71bi3:1>v3k6380eg=:l?91=>?4}r04ag<72;q6h;:53``89a02289:7p}=7da94?4|5m<=6>om;:kk:1818b1039jn63k688274=z{;=ni7>52z?g2d<4ik16h;l51238yv40mo0;6?u2d7a97dd<5m;|q13c6=838p1i8j:2ca?8b1n3;8=6s|26d2>5<5s4n<<7=nb:?g34<6;81v?9i2;296~;c?;08mo52d6195674?:3y>`22=;hh01i9::012?xu5?o>1<76ge34n<;7?<1:p62`22909w0j88;1bf>;c?00:?<5rs35e2?6=:r7o;l4`2d=9:;0q~<8f683>7}:l>i1?ll4=e5g>4563ty9;k650;0x9a1b2:ki70j8f;305>{t:>l26=4={309~w71ai3:1>v3k8380eg=:l191=>?4}r04bg<72;q6h5:53``89a>2289:7p}=7ga94?4|5m2=6>om;:hk:1818b?039jn63k888274=z{;=mi7>52z?g;|q1<56=838p1i6j:2ca?8b?n3;8=6s|2922>5<5s4n2<7=nb:?g=4<6;81v?6?2;296~;c1;08mo52d8195674?:3y>`<2=;hh01i7::012?xu509>1<76ge34n2;7?<1:p6=622909w0j68;1bf>;c100:?<5rs3:32?6=:r7o5l4`7}:l0i1?ll4=e;g>4563ty94=650;0x9a?b2:ki70j6f;305>{t:1:26=4={309~w7>7i3:1>v3ka380eg=:lh91=>?4}r0;4g<72;q6hl:53``89ag2289:7p}=81a94?4|5mk=6>om;5>k:1818bf039jn63ka88274=z{;2;i7>52z?ged<4ik16hll51238yv4?8o0;6?u2d`a97dd<5mko6<=>;|q1<46=838p1ioj:2ca?8bfn3;8=6s|2932>5<5s4ni<7=nb:?gf4<6;81v?6>2;296~;cj;08mo52dc195674?:3y>`g2=;hh01il::012?xu508>1<76ge34ni;7?<1:p6=722909w0jm8;1bf>;cj00:?<5rs3:22?6=:r7onl4`gd=9:;0q~<71683>7}:lki1?ll4=e`g>4563ty94<650;0x9adb2:ki70jmf;305>{t:1;26=4={309~w7>6i3:1>v3kc380eg=:lj91=>?4}r0;5g<72;q6hn:53``89ae2289:7p}=80a94?4|5mi=6>om;5?k:1818bd039jn63kc88274=z{;2:i7>52z?ggd<4ik16hnl51238yv4?9o0;6?u2dba97dd<5mio6<=>;|q1<76=838p1imj:2ca?8bdn3;8=6s|2902>5<5s4no<7=nb:?g`4<6;81v?6=2;296~;cl;08mo52de19567>4?:3y>`a2=;hh01ij::012?xu50;>1<76ge34no;7?<1:p6=422909w0jk8;1bf>;cl00:?<5rs3:12?6=:r7ohl4`ad=9:;0q~<72683>7}:lmi1?ll4=efg>4563ty94?650;0x9abb2:ki70jkf;305>{t:1826=4={309~w7>5i3:1>v3ke380eg=:ll91=>?4}r0;6g<72;q6hh:53``89ac2289:7p}=83a94?4|5mo=6>om;552z?gad<4ik16hhl51238yv4?:o0;6?u2dda97dd<5moo6<=>;|q1<66=838p1ikj:2ca?8bbn3;8=6s|2912>5<5s4nm<7=nb:?gb4<6;81v?6<2;296~;cn;08mo52dg195674?:3y>`c2=;hh01ih::012?xu50:>1<76ge34nm;7?<1:p6=522909w0ji8;1bf>;cn00:?<5rs3:02?6=:r7ojl4`cd=9:;0q~<73683>7}:loi1?ll4=edg>4563ty94>650;0x9a`b2:ki70jif;305>{t:1926=4={309~w7>4i3:1>v3j0380eg=:m991=>?4}r0;7g<72;q6i=:53``89`62289:7p}=82a94?4|5l:=6>om;5=k:1818c7039jn63j088274=z{;28i7>52z?f4d<4ik16i=l51238yv4?;o0;6?u2e1a97dd<5l:o6<=>;|q1<16=838p1h>j:2ca?8c7n3;8=6s|2962>5<5s4o:<7=nb:?f54<6;81v?6;2;296~;b9;08mo52e0195674?:3y>a42=;hh01h?::012?xu50=>1<76ge34o:;7?<1:p6=222909w0k>8;1bf>;b900:?<5rs3:72?6=:r7n=l4a4d=9:;0q~<74683>7}:m8i1?ll4=d3g>4563ty949650;0x9`7b2:ki70k>f;305>{t:1>26=4={<4>309~w7>3i3:1>v3j2380eg=:m;91=>?4}r0;0g<72;q6i?:53``89`42289:7p}=85a94?4|5l8=6>om;5:k:1818c5039jn63j288274=z{;2?i7>52z?f6d<4ik16i?l51238yv4?;|q1<06=838p1h5<5s4o8<7=nb:?f74<6;81v?6:2;296~;b;;08mo52e2195674?:3y>a62=;hh01h=::012?xu50<>1<76ge34o8;7?<1:p6=322909w0k<8;1bf>;b;00:?<5rs3:62?6=:r7n?l4a6d=9:;0q~<75683>7}:m:i1?ll4=d1g>4563ty948650;0x9`5b2:ki70k{t:1?26=4={309~w7>2i3:1>v3j4380eg=:m=91=>?4}r0;1g<72;q6i9:53``89`22289:7p}=84a94?4|5l>=6>om;5;k:1818c3039jn63j488274=z{;2>i7>52z?f0d<4ik16i9l51238yv4?=o0;6?u2e5a97dd<5l>o6<=>;|q1<36=838p1h:j:2ca?8c3n3;8=6s|2942>5<5s4o><7=nb:?f14<6;81v?692;296~;b=;08mo52e4195674?:3y>a02=;hh01h;::012?xu50?>1<76ge34o>;7?<1:p6=022909w0k:8;1bf>;b=00:?<5rs3:52?6=:r7n9l4a0d=9:;0q~<76683>7}:m4563ty94;650;0x9`3b2:ki70k:f;305>{t:1<26=4={309~w7>1i3:1>v3j6380eg=:m?91=>?4}r0;2g<72;q6i;=53``89c4f289:7p}=87a94?4|5lom;58k:1818c1=39jn63i298274=z{;2=i7>52z?f23<4ik16i;951238yv4?>o0;6?u2e7597dd<5o8=6<=>;|q1<26=838p1h87:2ca?8c113;8=6s|2952>5<5s4o=57=nb:?e6f<6;81v?682;296~;b>h08mo52e7`95674?:3y>a3d=;hh01k>1<76ge34o=h7?<1:p6=122909w0k9d;1bf>;a;90:?<5rs3:42?6=:r7n:h4a3`=9:;0q~<77683>7}:m?l1?ll4=g1;>4563ty94:650;0x9`172:ki70k81;305>{t:1=26=4={309~w7>0i3:1>v3j7380eg=:m>91=>?4}r0;3g<72;q6i:=53``89c51289:7p}=86a94?4|5l=?6>om;59k:1818c0=39jn63i358274=z{;252z?f33<4ik16i:951238yv4??o0;6?u2e6597dd<5o9j6<=>;|q1<=6=838p1h97:2ca?8c013;8=6s|29:2>5<5s4o<57=nb:?e7f<6;81v?672;296~;b?h08mo52e6`95674?:3y>a2d=;hh01k=j:012?xu501>1<76ge34o22909w0k8d;1bf>;aa2`=9:;0q~<78683>7}:m>l1?ll4=g63>4563ty945650;0x9`>72:ki70k71;305>{t:1226=4={309~w7>?i3:1>v3j8380eg=:m191=>?4}r0;om;56k:1818c?=39jn63i498274=z{;23i7>52z?f<3<4ik16i5951238yv4?0o0;6?u2e9597dd<5o>j6<=>;|q1<<6=838p1h67:2ca?8c?13;8=6s|29;2>5<5s4o357=nb:?e0f<6;81v?662;296~;b0h08mo52e9`95674?:3y>a=d=;hh01k;;:012?xu500>1<76ge34o3h7?<1:p6=?22909w0k7d;1bf>;aa=`=9:;0q~<79683>7}:m1l1?ll4=g71>4563ty944650;0x9`?72:ki70k61;305>{t:1326=4={309~w7>>i3:1>v3j9380eg=:m091=>?4}r0;=g<72;q6i4=53``89c31289:7p}=88a94?4|5l3?6>om;57k:1818c>=39jn63i598274=z{;22i7>52z?f=3<4ik16i4951238yv4?1o0;6?u2e8597dd<5o?j6<=>;|q113;8=6s|29c2>5<5s4o257=nb:?e27<6;81v?6n2;296~;b1h08mo52e8`95674?:3y>a1<76ge34o2h7?<1:p6=g22909w0k6d;1bf>;a>90:?<5rs3:b2?6=:r7n5h4a<`=9:;0q~<7a683>7}:m0l1?ll4=g7f>4563ty94l650;0x9`g72:ki70kn1;305>{t:1k26=4={309~w7>fi3:1>v3ja380eg=:mh91=>?4}r0;eg<72;q6il=53``89c01289:7p}=8`a94?4|5lk?6>om;5ok:1818cf=39jn63i698274=z{;2ji7>52z?fe3<4ik16il951238yv4?io0;6?u2e`597dd<5o=;6<=>;|q15<5s4oj57=nb:?e2d<6;81v?6m2;296~;bih08mo52e``95674?:3y>add=;hh01k8j:012?xu50k>1<76ge34ojh7?<1:p6=d22909w0knd;1bf>;a>j0:?<5rs3:a2?6=:r7nmh4ad`=9:;0q~<7b683>7}:mhl1?ll4=g51>4563ty94o650;0x9`d72:ki70km1;305>{t:1h26=4={309~w7>ei3:1>v3jb380eg=:mk91=>?4}r0;fg<72;q6io=53``89c11289:7p}=8ca94?4|5lh?6>om;5lk:1818ce=39jn63i7d8274=z{;2ii7>52z?ff3<4ik16io951238yv4?jo0;6?u2ec597dd<5o=36<=>;|q15<5s4oi57=nb:?e3f<6;81v?6l2;296~;bjh08mo52ec`95674?:3y>agd=;hh01k9n:012?xu50j>1<76ge34oih7?<1:p6=e22909w0kmd;1bf>;a0;0:?<5rs3:`2?6=:r7nnh4ag`=9:;0q~<7c683>7}:mkl1?ll4=g:7>4563ty94n650;0x9`e72:ki70kl1;305>{t:1i26=4={309~w7>di3:1>v3jc380eg=:mj91=>?4}r0;gg<72;q6in:53``89`e2289:7p}=8ba94?4|5li=6>om;5mk:1818cd039jn63jc88274=z{;2hi7>52z?fgd<4ik16inl51238yv4?ko0;6?u2eba97dd<5lio6<=>;|q15<5s4oo<7=nb:?f`4<6;81v?6k2;296~;bl;08mo52ee195674?:3y>aa2=;hh01hj::012?xu50m>1<76ge34oo;7?<1:p6=b22909w0kk8;1bf>;bl00:?<5rs3:g2?6=:r7nhl4aad=9:;0q~<7d683>7}:mmi1?ll4=dfg>4563ty94i650;0x9`bb2:ki70kkf;305>{t:1n26=4={309~w7>ci3:1>v3je380eg=:ml91=>?4}r0;`g<72;q6ih:53``89`c2289:7p}=8ea94?4|5lo=6>om;5jk:1818cb039jn63je88274=z{;2oi7>52z?fad<4ik16ihl51238yv4?lo0;6?u2eda97dd<5loo6<=>;|q1<`6=838p1hkj:2ca?8cbn3;8=6s|29g2>5<5s4om<7=nb:?fb4<6;81v?6j2;296~;bn;08mo52eg195674?:3y>ac2=;hh01hh::012?xu50l>1<76ge34om;7?<1:p6=c22909w0ki8;1bf>;bn00:?<5rs3:f2?6=:r7njl4acd=9:;0q~<7e683>7}:moi1?ll4=ddg>4563ty94h650;0x9``b2:ki70kif;305>{t:1o26=4={309~w7>bi3:1>v3i0380eg=:n991=>?4}r0;ag<72;q6j=:53``89c62289:7p}=8da94?4|5o:=6>om;5kk:1818`7039jn63i088274=z{;2ni7>52z?e4d<4ik16j=l51238yv4?mo0;6?u2f1a97dd<5o:o6<=>;|q1j:2ca?8`7n3;8=6s|29d2>5<5s4l:<7=nb:?e54<6;81v?6i2;296~;a9;08mo52f0195674?:3y>b42=;hh01k?::012?xu50o>1<76ge34l:;7?<1:p6=`22909w0h>8;1bf>;a900:?<5rs3:e2?6=:r7m=l4b4d=9:;0q~<7f683>7}:n8i1?ll4=g3g>4563ty94k650;0x9c7b2:ki70h>f;305>{t:1l26=4={<4>309~w7>ai3:1>v3i2380eg=:n;91=>?4}r0;bg<72;q6j?:53``89c42289:7p}=8ga94?4|5o8=6>om;5hk:1818`5039jn63i288274=z{;2mi7>52z?e6d<4ik16j?l51238yv4?no0;6?u2f3a97dd<5o8o6<=>;|q1=56=838p1k5<5s4l8<7=nb:?e74<6;81v?7?2;296~;a;;08mo52f2195674?:3y>b62=;hh01k=::012?xu519>1<76ge34l8;7?<1:p6<622909w0h<8;1bf>;a;00:?<5rs3;32?6=:r7m?l4b6d=9:;0q~<60683>7}:n:i1?ll4=g1g>4563ty95=650;0x9c5b2:ki70h{t:0:26=4={309~w7?7i3:1>v3i4380eg=:n=91=>?4}r0:4g<72;q6j9:53``89c22289:7p}=91a94?4|5o>=6>om;4>k:1818`3039jn63i488274=z{;3;i7>52z?e0d<4ik16j9l51238yv4>8o0;6?u2f5a97dd<5o>o6<=>;|q1=46=838p1k:j:2ca?8`3n3;8=6s|2832>5<5s4l><7=nb:?e14<6;81v?7>2;296~;a=;08mo52f4195674?:3y>b02=;hh01k;::012?xu518>1<76ge34l>;7?<1:p6<722909w0h:8;1bf>;a=00:?<5rs3;22?6=:r7m9l4b0d=9:;0q~<61683>7}:n4563ty95<650;0x9c3b2:ki70h:f;305>{t:0;26=4={309~w7?6i3:1>v3i6380eg=:n?91=>?4}r0:5g<72;q6j;:53``89c02289:7p}=90a94?4|5o<=6>om;4?k:1818`1039jn63i688274=z{;3:i7>52z?e2d<4ik16j;l51238yv4>9o0;6?u2f7a97dd<5o;|q1=76=838p1k8j:2ca?8`1n3;8=6s|2802>5<5s4l<<7=nb:?e34<6;81v?7=2;296~;a?;08mo52f619567>4?:3y>b22=;hh01k9::012?xu51;>1<76ge34l<;7?<1:p6<422909w0h88;1bf>;a?00:?<5rs3;12?6=:r7m;l4b2d=9:;0q~<62683>7}:n>i1?ll4=g5g>4563ty95?650;0x9c1b2:ki70h8f;305>{t:0826=4={309~w7?5i3:1>v3i8380eg=:n191=>?4}r0:6g<72;q6j5:53``89c>2289:7p}=93a94?4|5o2=6>om;452z?e:o0;6?u2f9a97dd<5o2o6<=>;|q1=66=838p1k6j:2ca?8`?n3;8=6s|2812>5<5s4l2<7=nb:?e=4<6;81v?7<2;296~;a1;08mo52f8195674?:3y>b<2=;hh01k7::012?xu51:>1<76ge34l2;7?<1:p6<522909w0h68;1bf>;a100:?<5rs3;02?6=:r7m5l4b7}:n0i1?ll4=g;g>4563ty95>650;0x9c?b2:ki70h6f;305>{t:0926=4={309~w7?4i3:1>v3ia380eg=:nh91=>?4}r0:7g<72;q6jl:53``89cg2289:7p}=92a94?4|5ok=6>om;4=k:1818`f039jn63ia88274=z{;38i7>52z?eed<4ik16jll51238yv4>;o0;6?u2f`a97dd<5oko6<=>;|q1=16=838p1koj:2ca?8`fn3;8=6s|2862>5<5s4li<7=nb:?ef4<6;81v?7;2;296~;aj;08mo52fc195674?:3y>bg2=;hh01kl::012?xu51=>1<76ge34li;7?<1:p6<222909w0hm8;1bf>;aj00:?<5rs3;72?6=:r7mnl4bgd=9:;0q~<64683>7}:nki1?ll4=g`g>4563ty959650;0x9cdb2:ki70hmf;305>{t:0>26=4={309~w7?3i3:1>v3ic380eg=:nj91=>?4}r0:0g<72;q6jn:53``89ce2289:7p}=95a94?4|5oi=6>om;4:k:1818`d039jn63ic88274=z{;3?i7>52z?egd<4ik16jnl51238yv4>;|q1=06=838p1kmj:2ca?8`dn3;8=6s|2872>5<5s4lo<7=nb:?e`4<6;81v?7:2;296~;al;08mo52fe195674?:3y>ba2=;hh01kj::012?xu51<>1<76ge34lo;7?<1:p6<322909w0hk8;1bf>;al00:?<5rs3;62?6=:r7mhl4bad=9:;0q~<65683>7}:nmi1?ll4=gfg>4563ty958650;0x9cbb2:ki70hkf;305>{t:0?26=4={309~w7?2i3:1>v3ie380eg=:nl91=>?4}r0:1g<72;q6jh:53``89cc2289:7p}=94a94?4|5oo=6>om;4;k:1818`b039jn63ie88274=z{;3>i7>52z?ead<4ik16jhl51238yv4>=o0;6?u2fda97dd<5ooo6<=>;|q1=36=838p1kkj:2ca?8`bn3;8=6s|2842>5<5s4lm<7=nb:?eb4<6;81v?792;296~;an;08mo52fg195674?:3y>bc2=;hh01kh::012?xu51?>1<76ge34lm;7?<1:p6<022909w0hi8;1bf>;an00:?<5rs3;52?6=:r7mjl4bcd=9:;0q~<66683>7}:noi1?ll4=gdg>4563ty95;650;0x9c`b2:ki70hif;305>{t:0<26=4={<3345<4ik16==>>:012?xu51?k1<7>k0;6?u21127>6ge34;;<84>309~w7?1k3:1>v3>01497dd<58:;;7?<1:p6<0c2909w0??0980eg=:99:26<=>;|q1=3c=838p1<>?a;1bf>;689h1=>?4}r0:2c<72;q6==>l:2ca?8778m0:?<5rs3;44?6=:r7:<=k53``89467n3;8=6s|2852>5<5s4;;==45576289:7p}=96094?4|58::>7=nb:?2445=9:;0q~<67283>7}:99;?6>om;<3350<6;81v?784;296~;688<1?ll4=0223?7492wx>49::1818779108mo52113:>4563ty95:850;0x9466i39jn63>00`9567557d2:ki70??1e8274=z{;3<47>52z?244c=;hh01<>>f;305>{t:0=26=4={<3365<4ik16==<>:012?xu51>k1<7?k0;6?u21107>6ge34;;>84>309~w7?0k3:1>v3>03497dd<58:9;7?<1:p6<1c2909w0??2980eg=:99826<=>;|q1=2c=838p1<>=a;1bf>;68;h1=>?4}r0:3c<72;q6==5<5s4;;?=45556289:7p}=99094?4|58:8>7=nb:?2465=9:;0q~<68283>7}:999?6>om;<3370<6;81v?774;296~;68:<1?ll4=0203?7492wx>46::181877;108mo52111:>4563ty955850;0x9464i39jn63>02`9567555d2:ki70??3e8274=z{;3347>52z?246c=;hh01<>{t:0226=4={<3305<4ik16==:>:012?xu511k1<70k0;6?u21167>6ge34;;884>309~w7??k3:1>v3>05497dd<58:?;7?<1:p6<>c2909w0??4980eg=:99>26<=>;|q1==c=838p1<>;a;1bf>;68=h1=>?4}r0:5<5s4;;9=45536289:7p}=98094?4|58:>>7=nb:?2405=9:;0q~<69283>7}:99??6>om;<3310<6;81v?764;296~;68<<1?ll4=0263?7492wx>47::181877=108mo52117:>4563ty954850;0x9462i39jn63>04`9567553d2:ki70??5e8274=z{;3247>52z?240c=;hh01<>:f;305>{t:0326=4={<3325<4ik16==8>:012?xu510k1<71k0;6?u21147>6ge34;;:84>309~w7?>k3:1>v3>07497dd<58:=;7?<1:p6;|q1=9a;1bf>;68?h1=>?4}r0:=c<72;q6==8l:2ca?877>m0:?<5rs3;b4?6=:r7:<;k53``89461n3;8=6s|28c2>5<5s4;;;=45516289:7p}=9`094?4|58:<>7=nb:?2425=9:;0q~<6a283>7}:99=?6>om;<3330<6;81v?7n4;296~;68><1?ll4=0243?7492wx>4o::181877?108mo52115:>4563ty95l850;0x9460i39jn63>06`9567551d2:ki70??7e8274=z{;3j47>52z?242c=;hh01<>8f;305>{t:0k26=4={<33<5<4ik16==6>:012?xu51hk1<7ik0;6?u211:7>6ge34;;484>309~w7?fk3:1>v3>09497dd<58:3;7?<1:p6;|q1=dc=838p1<>7a;1bf>;681h1=>?4}r0:ec<72;q6==6l:2ca?8770m0:?<5rs3;a4?6=:r7:<5k53``8946?n3;8=6s|28`2>5<5s4;;5=455?6289:7p}=9c094?4|58:2>7=nb:?24<5=9:;0q~<6b283>7}:993?6>om;<33=0<6;81v?7m4;296~;680<1?ll4=02:3?7492wx>4l::1818771108mo5211;:>4563ty95o850;0x946>i39jn63>08`956755?d2:ki70??9e8274=z{;3i47>52z?246f;305>{t:0h26=4={<33e5<4ik16==o>:012?xu51kk1<7jk0;6?u211c7>6ge34;;m84>309~w7?ek3:1>v3>0`497dd<58:j;7?<1:p6;|p5g4a2909wS?m2g9>7`>=9k8m7)=l2;04e>{t99km6=4={_33ec=:;l21==oi;%1`6?4>j2wx==on:181[77ih16?h6511cb?!5d:382h6s|1c0b>5<5sW;i>l523d:95g4f3-9h>7<6e:p55b02909wS??d69>7`>=99n<7)=l2;0f1>{t99n36=4={_33`==:;l21==j7;%1`6?4am2wx==mm:181[77j016?h6511`:?!5d:38mj6s|11a:>5<5sW;;n5523d:955d?3-9h>7<:7:p55e?2909wS??b69>7`>=99h<7)=l2;06<>{t99i<6=4={_33f3=:;l21==l9;%1`6?4212wx==m9:181[77j<16?h6511`6?!5d:38>m6s|11a6>5<5sW;;n9523d:955d33-9h>7<:b:p55e32909wS??b29>7`>=99h87)=l2;06g>{t99i86=4={_33f7=:;l21==l=;%1`6?42l2wx==j;:181[77k816?h6511a2?!5d:38>i6s|11f0>5<5sW;;o=523d:955e73-9h>7<94:p55b52909wS??bg9>7`>=99hm7)=l2;05b>{t99n:6=4={_33f`=:;l21==lj;%1`6?40j2wx==j?:181[77jm16?h6511`g?!5d:383:6s|11ae>5<5sW;;nn523d:955dd3-9h>7<61:p55eb2909wS??bc9>7`>=99hi7)=l2;0:1>{t99io6=4={_33fd=:;l21==ln;%1`6?4>>2wx==ml:181[77j816?h6511`2?!5d:382;6s|11a1>5<5sW;;n=523d:955d73-9h>7<68:p5g4b2909wS?m2d9>7`>=9k8n7)=l2;0:=>{t9k8o6=4={_3a6a=:;l21=oi2wx==j6:181[77l016?h6511f:?!5d:382o6s|10ab>5<5sW;:<>523d:954643-9h>7<:f:p54e?2909wS?>039>7`>=98:97)=l2;054>{t98i<6=4={_3244=:;l21=<>>;%1`6?4192wx=6s|10a7>5<5sW;;jh523d:955`b3-9h>7<93:p54e42909wS??fe9>7`>=99lo7)=l2;051>{t98i96=4={_33bf=:;l21==hl;%1`6?41>2wx=:181[77nk16?h6511da?!5d:38=;6s|10a3>5<5sW;;jl523d:955`f3-9h>7<98:p54da2909wS??f89>7`>=99l27)=l2;05=>{t98hn6=4={_33b==:;l21==h7;%1`6?41i2wx=16?h6511d4?!5d:38=n6s|10``>5<5sW;;j;523d:955`13-9h>7<9c:p54de2909wS??f49>7`>=99l>7)=l2;05`>{t98n96=4={_324d=:;l21=<>n;%1`6?41m2wx=:181[768016?h65102:?!5d:38<<6s|10f3>5<5sW;:<5523d:9546?3-9h>7<81:p54ea2909wS?>069>7`>=98:<7)=l2;046>{t98in6=4={_3243=:;l21=<>9;%1`6?40;2wx=5<5sW;:<9523d:954633-9h>7<85:p54ee2909wS??fg9>7`>=99lm7)=l2;042>{t98i>6=4={_33b1=:;l21==h;;%1`6?40?2wx=5<5sW;:i>523d:954c43-9h>7<89:p54`>2909wS?>e39>7`>=98o97)=l2;04g>{t98l36=4={_32a4=:;l21=;%1`6?40l2wx=5<5sW;:hh523d:954bb3-9h>7<8f:p54`32909wS?>de9>7`>=98no7)=l2;0;4>{t98l86=4={_32`f=:;l21=6s|10d2>5<5sW;:hl523d:954bf3-9h>7<73:p54`72909wS?>d89>7`>=98n27)=l2;0;0>{t98om6=4={_32`==:;l21=16?h6510f4?!5d:383;6s|10gg>5<5sW;:h;523d:954b13-9h>7<78:p54cd2909wS?>d49>7`>=98n>7)=l2;0;=>{t9;:86=4={_32ad=:;l21==:181[76m016?h6510g:?!5d:383n6s|1322>5<5sW;:i5523d:954c?3-9h>7<7c:p57672909wS?>e69>7`>=98o<7)=l2;0;`>{t98lm6=4={_32a3=:;l21=5<5sW;:i9523d:954c33-9h>7<60:p54`d2909wS?>dg9>7`>=98nm7)=l2;0:6>{t98l=6=4={_32`1=:;l21=;2wx=5<5sW;87<6f:p51>>2909wS?<0c9>7`>=9::i7)=l2;0b4>{t9=236=4={_304d=:;l21=>>n;%1`6?4f92wx=968:181[748016?h65122:?!5d:38j>6s|15:5>5<5sW;8<5523d:9566?3-9h>722909wS?<069>7`>=9::<7)=l2;0b0>{t9=2?6=4={_3043=:;l21=>>9;%1`6?4f=2wx=96=:181[748=16?h651227?!5d:38j:6s|15:2>5<5sW;8<>523d:956643-9h>772909wS?<039>7`>=9::97)=l2;0b<>{t9==m6=4={_3044=:;l21=>>>;%1`6?4f12wx=99j:181[748916?h651223?!5d:38jm6s|155g>5<5sW;9jk523d:957`a3-9h>77`>=9;ln7)=l2;0bg>{t9==i6=4={_31ba=:;l21=?hk;%1`6?4fl2wx=99n:181[75nj16?h6513d`?!5d:38ji6s|155:>5<5sW;9jo523d:957`e3-9h>77`>=9;l27)=l2;0a4>{t9===6=4={_31b==:;l21=?h7;%1`6?4e92wx=99::181[75n>16?h6513d4?!5d:38i>6s|1557>5<5sW;9j;523d:957`13-9h>77`>=9;l>7)=l2;0a0>{t9==96=4={_31b1=:;l21=?h;;%1`6?4e=2wx=99>:181[75n:16?h6513d0?!5d:38i:6s|1553>5<5sW;9j?523d:957`53-9h>77`>=9;l:7)=l2;0a<>{t9=5<5sW;9ii523d:957cc3-9h>77`>=9;oh7)=l2;0ag>{t9=<26=4={_31ag=:;l21=?km;%1`6?4el2wx=987:181[75mh16?h6513gb?!5d:38ii6s|1544>5<5sW;9i4523d:957c>3-9h>77`>=9;o37)=l2;0`4>{t9=<>6=4={_31a2=:;l21=?k8;%1`6?4d92wx=98;:181[75m?16?h6513g5?!5d:38h>6s|1540>5<5sW;9i8523d:957c23-9h>77`>=9:;:7)=l2;0`0>{t9=3;6=4={_3055=:;l21=>??;%1`6?4d=2wx=96i:181[748o16?h65122e?!5d:38h:6s|15:f>5<5sW;87c2909wS?<0e9>7`>=9::o7)=l2;0`<>{t9=2h6=4={_3040=:;l21=>>:;%1`6?4d12wx=96<:181[75nh16?h6513db?!5d:38hm6s|155;>5<5sW;9ik523d:957ca3-9h>77`>=9;o?7)=l2;0`g>{t9=<96=4={_31a6=:;l21=?k<;%1`6?4dl2wx=9kn:181[73jk16?h6515`a?!5d:38hi6s|15g;>5<5sW;?nl523d:951df3-9h>77`>=9=h27)=l2;0g4>{t9=o=6=4={_37f==:;l21=9l7;%1`6?4c92wx=9k::181[73j>16?h6515`4?!5d:38o>6s|15g7>5<5sW;?n;523d:951d13-9h>77`>=9=h>7)=l2;0g0>{t9=o:6=4={_37f6=:;l21=9l<;%1`6?4c=2wx=9k?:181[73j;16?h6515`1?!5d:38o:6s|15fe>5<5sW;?n<523d:951d63-9h>77`>=9=h;7)=l2;0g<>{t9=no6=4={_37ec=:;l21=9oi;%1`6?4c12wx=9jl:181[73il16?h6515cf?!5d:38om6s|15fa>5<5sW;?mi523d:951gc3-9h>77`>=9=kh7)=l2;0gg>{t9=n26=4={_37eg=:;l21=9om;%1`6?4cl2wx=9j7:181[73ih16?h6515cb?!5d:38oi6s|15f5>5<5sW;?m5523d:951g?3-9h>77`>=9=k<7)=l2;0f4>{t9=n?6=4={_37e3=:;l21=9o9;%1`6?4b92wx=9j<:181[73i<16?h6515c6?!5d:38n>6s|15f1>5<5sW;?m9523d:951g33-9h>77`>=9=k87)=l2;0f0>{t9=n;6=4={_37e7=:;l21=9o=;%1`6?4b>2wx=9mi:181[73i816?h6515c2?!5d:38n;6s|15af>5<5sW;?m=523d:951g73-9h>77`>=9=3m7)=l2;0f=>{t9=ii6=4={_37=a=:;l21=97k;%1`6?4bi2wx=9mn:181[731j16?h6515;`?!5d:38nn6s|15a:>5<5sW;?5o523d:951?e3-9h>77`>=9=3j7)=l2;0f`>{t9=i<6=4={_37=<=:;l21=976;%1`6?4bm2wx=9m9:181[731116?h6515;;?!5d:38nj6s|15a6>5<5sW;?5:523d:951?03-9h>77`>=9=3=7)=l2;0e5>{t9=i86=4={_37=0=:;l21=97:;%1`6?4a:2wx=9m=:181[731=16?h6515;7?!5d:38m?6s|15d3>5<5sW;?o=523d:951e73-9h>77`>=9=hm7)=l2;0e1>{t9=on6=4={_37f`=:;l21=9lj;%1`6?4a>2wx=9kk:181[73jm16?h6515`g?!5d:38m;6s|15g`>5<5sW;?nn523d:951dd3-9h>77`>=9=h?7)=l2;0e=>{t9=o96=4={_37e<=:;l21=9o6;%1`6?4ai2wx=9j8:181[731l16?h6515;f?!5d:38mn6s|15a`>5<5sW;?5>523d:951?43-9h>77`>=9=397)=l2;0e`>{zfhijm7>52zJ0g6=zfhijn7>53`yK7f550;0xL6e43tdjoo?50;0xL6e43tdjoo<50;0xL6e43tdjoo=50;0xL6e43tdjoo:50;0xL6e43tdjoo;50;0xL6e43tdjoo850;0xL6e43tdjoo950;0xL6e43tdjoo650;0xL6e43tdjoo750;0xL6e43tdjooo50;0xL6e43tdjool50;0xL6e43tdjoom50;0xL6e43tdjooj50;0xL6e43tdjook50;0xL6e43tdjooh50;0xL6e43tdjon>50;0xL6e43tdjon?50;0xL6e43tdjon<50;0xL6e43tdjon=50;0xL6e43tdjon:50;0xL6e43tdjon;50;1xL6e43tdjon850;1xL6e43tdjon950;1xL6e43tdjon650;1xL6e43tdjon750;1xL6e43tdjono50;1xL6e43tdjonl50;1xL6e43tdjonm50;1xL6e43tdjonj50;1xL6e43tdjonk50;1xL6e43tdjonh50;1xL6e43tdjoi>50;1xL6e43tdjoi?50;1xL6e43tdjoi<50;1xL6e43tdjoi=50;1xL6e43tdjoi:50;1xL6e43tdjoi;50;1xL6e43tdjoi850;1xL6e43tdjoi950;1xL6e43tdjoi650;1xL6e43tdjoi750;1xL6e43tdjoio50;1xL6e43tdjoil50;1xL6e43tdjoim50;1xL6e43tdjoij50;1xL6e43tdjoik50;1xL6e43tdjoih50;1xL6e43tdjoh>50;1xL6e43tdjoh?50;1xL6e43tdjoh<50;1xL6e43tdjoh=50;1xL6e43tdjoh:50;1xL6e43tdjoh;50;1xL6e43tdjoh850;1xL6e43tdjoh950;1xL6e43tdjoh650;1xL6e43tdjoh750;1xL6e43tdjoho50;1xL6e43tdjohl50;1xL6e43tdjohm50;1xL6e43tdjohj50;1xL6e43tdjohk50;1xL6e43tdjohh50;1xL6e43tdjok>50;1xL6e43tdjok?50;1xL6e43tdjok<50;1xL6e43tdjok=50;1xL6e43tdjok:50;1xL6e43tdjok;50;0xL6e43tdjok850;0xL6e43tdjok950;0xL6e43tdjok650;0xL6e43tdjok750;0xL6e43tdjoko50;0xL6e43tdjokl50;0xL6e43tdjokm50;0xL6e43tdjokj50;0xL6e43tdjokk50;0xL6e43tdjokh50;0xL6e43tdjh=>50;0xL6e43tdjh=?50;0xL6e43tdjh=<50;0xL6e43tdjh==50;0xL6e43tdjh=:50;0xL6e43tdjh=;50;0xL6e43tdjh=850;0xL6e43tdjh=950;0xL6e43tdjh=650;0xL6e43tdjh=750;0xL6e43tdjh=o50;0xL6e43tdjh=l50;0xL6e43tdjh=m50;0xL6e43tdjh=j50;0xL6e43tdjh=k50;0xL6e43tdjh=h50;0xL6e43tdjh<>50;0xL6e43tdjh50;0xL6e43tdjh??50;0xL6e43tdjh?<50;0xL6e43tdjh?=50;0xL6e43tdjh?:50;0xL6e43tdjh?;50;0xL6e43tdjh?850;0xL6e43tdjh?950;0xL6e43tdjh?650;0xL6e43tdjh?750;0xL6e43tdjh?o50;0xL6e43tdjh?l50;0xL6e43tdjh?m50;0xL6e43tdjh?j50;0xL6e43tdjh?k50;0xL6e43tdjh?h50;0xL6e43tdjh>>50;0xL6e43tdjh>?50;0xL6e43tdjh><50;0xL6e43tdjh>=50;0xL6e43tdjh>:50;0xL6e43tdjh>;50;0xL6e43tdjh>850;0xL6e43tdjh>950;0xL6e43tdjh>650;0xL6e43tdjh>750;0xL6e43tdjh>o50;0xL6e43tdjh>l50;0xL6e43tdjh>m50;0xL6e43tdjh>j50;0xL6e43tdjh>k50;0xL6e43tdjh>h50;0xL6e43tdjh9>50;0xL6e43tdjh9?50;0xL6e43tdjh9<50;0xL6e43tdjh9=50;0xL6e43tdjh9:50;0xL6e43tdjh9;50;0xL6e43tdjh9850;0xL6e43tdjh9950;0xL6e43tdjh9650;0xL6e43tdjh9750;0xL6e43tdjh9o50;0xL6e43tdjh9l50;0xL6e43tdjh9m50;0xL6e43tdjh9j50;0xL6e43tdjh9k50;0xL6e43tdjh9h50;0xL6e43tdjh8>50;0xL6e43tdjh8?50;0xL6e43tdjh8<50;0xL6e43td2i;:50;3xL6e43td2i;;50;3xL6e43td2in950;3xL6e43td2in650;3xL6e43td2in750;3xL6e43td2ino50;3xL6e43td2inl50;3xL6e43td2inm50;3xL6e43td2inj50;3xL6e43td2ink50;3xL6e43td2inh50;3xL6e43td2ii>50;3xL6e43td2ii?50;3xL6e43td2ii<50;3xL6e43td2ii=50;3xL6e43td2ii:50;3xL6e43td2ii;50;3xL6e43td2ii850;3xL6e43td2ii950;3xL6e43td2ii650;3xL6e43td2ii750;3xL6e43td2iio50;3xL6e43td2iil50;3xL6e43td2iim50;3xL6e43td2iij50;3xL6e43td2iik50;3xL6e43td2iih50;3xL6e43td2ih>50;3xL6e43td2ih?50;3xL6e43td2ih<50;3xL6e43td2ih=50;3xL6e43td2ih:50;3xL6e43td2ih;50;3xL6e43td2ih850;3xL6e43td2ih950;3xL6e43td2ih650;3xL6e43td2ih750;3xL6e43td2iho50;3xL6e43td2ihl50;3xL6e43td2ihm50;3xL6e43td2ihj50;3xL6e43td2ihk50;3xL6e43td2ihh50;3xL6e43td2ik>50;3xL6e43td2ik?50;3xL6e43td2ik<50;3xL6e43td2ik=50;3xL6e43td2ik:50;3xL6e43td2ik;50;3xL6e43td2ik850;3xL6e43td2ik950;3xL6e43td2ik650;3xL6e43td2ik750;3xL6e43td2iko50;3xL6e43td2ikl50;3xL6e43td2ikm50;3xL6e43td2ikj50;3xL6e43td2ikk50;3xL6e43td2ikh50;3xL6e43td2j=>50;3xL6e43td2j=?50;3xL6e43td2j=<50;3xL6e43td2j==50;3xL6e43td2j=:50;3xL6e43td2j=;50;3xL6e43td2j=850;3xL6e43td2j=950;3xL6e43td2j=650;3xL6e43td2j=750;3xL6e43td2j=o50;3xL6e43td2j=l50;3xL6e43td2j=m50;3xL6e43td2j=j50;3xL6e43td2j=k50;3xL6e43td2j=h50;3xL6e43td2j<>50;3xL6e43td2j50;3xL6e43td2j??50;3xL6e43td2j?<50;3xL6e43td2j?=50;3xL6e43td2j?:50;3xL6e43td2j?;50;3xL6e43td2j?850;3xL6e43td2j?950;3xL6e43td2j?650;3xL6e43td2j?750;3xL6e43td2j?o50;3xL6e43td2j?l50;3xL6e43td2j?m50;3xL6e43td2j?j50;3xL6e43td2j?k50;3xL6e43td2j?h50;3xL6e43td2j>>50;3xL6e43td2j>?50;3xL6e43td2j><50;3xL6e43td2j>=50;3xL6e43td2j>:50;3xL6e43td2j>;50;3xL6e43td2j>850;3xL6e43td2j>950;3xL6e43td2j>650;3xL6e43td2j>750;3xL6e43td2j>o50;3xL6e43td2j>l50;3xL6e43td2j>m50;3xL6e43td2j>j50;3xL6e43td2j>k50;3xL6e43td2j>h50;3xL6e43td2j9>50;3xL6e43td2j9?50;3xL6e43td2j9<50;3xL6e43td2j9=50;3xL6e43td2j9:50;3xL6e43td2j9;50;3xL6e43td2j9850;3xL6e43td2j9950;3xL6e43td2j9650;3xL6e43td2j9750;3xL6e43td2j9o50;3xL6e43td2j9l50;3xL6e43td2j9m50;3xL6e43td2j9j50;3xL6e43td2j9k50;3xL6e43td2j9h50;3xL6e43td2j8>50;3xL6e43td2j8?50;3xL6e43td2j8<50;3xL6e43td2j8=50;3xL6e43td2j8:50;3xL6e43td2j8;50;3xL6e43td2j8850;3xL6e43td2j8950;3xL6e43td2j8650;3xL6e43td2j8750;3xL6e43td2j8o50;3xL6e43td2j8l50;3xL6e43td2j8m50;3xL6e43td2j8j50;3xL6e43td2j8k50;3xL6e43td2j8h50;3xL6e43td2j;>50;3xL6e43td2j;?50;3xL6e43td2j;<50;3xL6e43td2j;=50;3xL6e43td2j;:50;3xL6e43td2j;;50;3xL6e43td2j;850;3xL6e43td2j;950;3xL6e43td2j;650;3xL6e43td2j;750;3xL6e43td2j;o50;3xL6e43td2j;l50;3xL6e43td2j;m50;3xL6e43td2j;j50;3xL6e43td2j;k50;3xL6e43td2j;h50;3xL6e43td2j:>50;3xL6e43td2j:?50;3xL6e43td2j:<50;3xL6e43td2j:=50;3xL6e43td2j::50;3xL6e43td2j:;50;3xL6e43td2j:850;3xL6e43td2j:950;3xL6e43td2j:650;3xL6e43td2j:750;3xL6e43td2j:o50;3xL6e43td2j:l50;3xL6e43td2j:m50;3xL6e43td2j:j50;3xL6e43td2j:k50;3xL6e43td2j:h50;3xL6e43td2j5>50;3xL6e43td2j5?50;3xL6e43td2j5<50;3xL6e43td2j5=50;3xL6e43td2j5:50;3xL6e43td2j5;50;3xL6e43td2j5850;3xL6e43td2j5950;3xL6e43td2j5650;3xL6e43td2j5750;3xL6e43td2j5o50;3xL6e43td2j5l50;3xL6e43td2j5m50;3xL6e43td2j5j50;3xL6e43td2j5k50;3xL6e43td2j5h50;3xL6e43td2j4>50;3xL6e43td2j4?50;3xL6e43td2j4<50;3xL6e43td2j4=50;3xL6e43td2j4:50;3xL6e43td2j4;50;3xL6e43td2j4850;3xL6e43td2j4950;3xL6e43td2j4650;3xL6e43td2j4750;3xL6e43td2j4o50;3xL6e43td2j4l50;3xL6e43td2j4m50;3xL6e43td2j4j50;3xL6e43td2j4k50;3xL6e43td2j4h50;3xL6e43td2jl>50;3xL6e43td2jl?50;3xL6e43td2jl<50;3xL6e43td2jl=50;3xL6e43td2jl:50;3xL6e43td2jl;50;3xL6e43td2jl850;3xL6e43td2jl950;3xL6e43td2jl650;3xL6e43td2jl750;3xL6e43td2jlo50;3xL6e43td2jll50;3xL6e43td2jlm50;3xL6e43td2jlj50;3xL6e43td2jlk50;3xL6e43td2jlh50;3xL6e43td2jo>50;3xL6e43td2jo?50;3xL6e43td2jo<50;3xL6e43td2jo=50;3xL6e43td2jo:50;3xL6e43td2jo;50;3xL6e43td2jo850;3xL6e43td2jo950;3xL6e43td2jo650;3xL6e43td2jo750;3xL6e43td2joo50;3xL6e43td2jol50;3xL6e43td2jom50;3xL6e43td2joj50;3xL6e43td2jok50;3xL6e43td2joh50;3xL6e43td2jn>50;3xL6e43td2jn?50;3xL6e43td2jn<50;3xL6e43td2jn=50;3xL6e43td2jn:50;3xL6e43td2jn;50;3xL6e43td2jn850;3xL6e43td2jn950;3xL6e43td2jn650;3xL6e43td2jn750;3xL6e43td2jno50;3xL6e43td2jnl50;0xL6e43td2jnm50;0xL6e43td2jnj50;0xL6e43td2jnk50;0xL6e43td2jnh50;0xL6e43td2ji>50;0xL6e43td2ji?50;0xL6e43td2ji<50;0xL6e43td2ji=50;0xL6e43td2ji:50;0xL6e43td2ji;50;0xL6e43td2ji850;0xL6e43td2ji950;0xL6e43td2ji650;0xL6e43td2ji750;0xL6e43td2jio50;0xL6e43td2jil50;0xL6e43td2jim50;0xL6e43td2jij50;3xL6e43td2jik50;3xL6e43td2jih50;3xL6e43td2jh>50;3xL6e43td2jh?50;3xL6e43td2jh<50;3xL6e43td2jh=50;3xL6e43td2jh:50;3xL6e43td2jh;50;3xL6e43td2jh850;3xL6e43td2jh950;3xL6e43td2jh650;3xL6e43td2jh750;3xL6e43td2jho50;3xL6e43td2jhl50;3xL6e43td2jhm50;3xL6e43td2jhj50;3xL6e43td2jhk50;3xL6e43td2jhh50;3xL6e43td2jk>50;3xL6e43td2jk?50;3xL6e43td2jk<50;3xL6e43td2jk=50;3xL6e43td2jk:50;3xL6e43td2jk;50;3xL6e43td2jk850;3xL6e43td2jk950;3xL6e43td2jk650;3xL6e43td2jk750;3xL6e43td2jko50;3xL6e43td2jkl50;3xL6e43td2jkm50;3xL6e43td2jkj50;3xL6e43td2jkk50;3xL6e43td2jkh50;3xL6e43tdj<=>50;3xL6e43tdj<=?50;3xL6e43tdj<=<50;3xL6e43tdj<==50;3xL6e43tdj<=:50;3xL6e43tdj<=;50;3xL6e43tdj<=850;3xL6e43tdj<=950;3xL6e43tdj<=650;3xL6e43tdj<=750;3xL6e43tdj<=o50;3xL6e43tdj<=l50;3xL6e43tdj<=m50;3xL6e43tdj<=j50;3xL6e43tdj<=k50;3xL6e43tdj<=h50;3xL6e43tdj<<>50;3xL6e43tdj<50;3xL6e43tdj>50;3xL6e43tdj<>?50;3xL6e43tdj<><50;3xL6e43tdj<>=50;3xL6e43tdj<>:50;3xL6e43tdj<>;50;3xL6e43tdj<>850;3xL6e43tdj<>950;3xL6e43tdj<>650;3xL6e43tdj<>750;3xL6e43tdj<>o50;3xL6e43tdj<>l50;3xL6e43tdj<>m50;3xL6e43tdj<>j50;3xL6e43tdj<>k50;3xL6e43tdj<>h50;3xL6e43tdj<9>50;3xL6e43tdj<9?50;3xL6e43tdj<9<50;3xL6e43tdj<9=50;3xL6e43tdj<9:50;3xL6e43tdj<9;50;3xL6e43tdj<9850;3xL6e43tdj<9950;3xL6e43tdj<9650;3xL6e43tdj<9750;3xL6e43tdj<9o50;3xL6e43tdj<9l50;3xL6e43tdj<9m50;3xL6e43tdj<9j50;3xL6e43tdj<9k50;3xL6e43tdj<9h50;3xL6e43tdj<8>50;3xL6e43tdj<8?50;3xL6e43tdj<8<50;3xL6e43tdj<8=50;3xL6e43tdj<8:50;3xL6e43tdj<8;50;3xL6e43tdj<8850;3xL6e43tdj<8950;3xL6e43tdj<8650;3xL6e43tdj<8750;3xL6e43tdj<8o50;3xL6e43tdj<8l50;3xL6e43tdj<8m50;3xL6e43tdj<8j50;3xL6e43tdj<8k50;3xL6e43tdj<8h50;3xL6e43tdj<;>50;3xL6e43tdj<;?50;3xL6e43tdj<;<50;3xL6e43tdj<;=50;3xL6e43tdj<;:50;3xL6e43tdj<;;50;3xL6e43tdj<;850;3xL6e43tdj<;950;3xL6e43tdj<;650;3xL6e43tdj<;750;3xL6e43tdj<;o50;3xL6e43tdj<;l50;3xL6e43tdj<;m50;3xL6e43tdj<;j50;3xL6e43tdj<;k50;3xL6e43tdj<;h50;3xL6e43tdj<:>50;3xL6e43tdj<:?50;3xL6e43tdj<:<50;3xL6e43tdj<:=50;3xL6e43tdj<::50;3xL6e43tdj<:;50;3xL6e43tdj<:850;3xL6e43tdj<:950;3xL6e43tdj<:650;3xL6e43tdj<:750;3xL6e43tdj<:o50;3xL6e43tdj<:l50;3xL6e43tdj<:m50;3xL6e43tdj<:j50;3xL6e43tdj<:k50;3xL6e43tdj<:h50;3xL6e43tdj<5>50;3xL6e43tdj<5?50;3xL6e43tdj<5<50;3xL6e43tdj<5=50;3xL6e43tdj<5:50;3xL6e43tdj<5;50;3xL6e43tdj<5850;3xL6e43tdj<5950;3xL6e43tdj<5650;3xL6e43tdj<5750;3xL6e43tdj<5o50;3xL6e43tdj<5l50;3xL6e43tdj<5m50;3xL6e43tdj<5j50;3xL6e43tdj<5k50;3xL6e43tdj<5h50;3xL6e43tdj<4>50;3xL6e43tdj<4?50;3xL6e43tdj<4<50;3xL6e43tdj<4=50;3xL6e43tdj<4:50;3xL6e43tdj<4;50;3xL6e43tdj<4850;3xL6e43tdj<4950;3xL6e43tdj<4650;3xL6e43tdj<4750;3xL6e43tdj<4o50;3xL6e43tdj<4l50;3xL6e43tdj<4m50;3xL6e43tdj<4j50;3xL6e43tdj<4k50;3xL6e43tdj<4h50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;3xL6e43tdj50;0xL6e43tdj50;0xL6e43tdj50;0xL6e43tdj==?50;0xL6e43tdj==<50;0xL6e43tdj===50;0xL6e43tdj==:50;0xL6e43tdj==;50;0xL6e43tdj==850;3xL6e43tdj==950;3xL6e43tdj==650;3xL6e43tdj==750;3xL6e43tdj==o50;3xL6e43tdj==l50;3xL6e43tdj==m50;3xL6e43tdj==j50;3xL6e43tdj==k50;3xL6e43tdj==h50;3xL6e43tdj=<>50;3xL6e43tdj=50;3xL6e43tdj=??50;3xL6e43tdj=?<50;3xL6e43tdj=?=50;3xL6e43tdj=?:50;3xL6653A9h?6saa006>5<5sA9h?6saa005>5<6sA9;>6FvFvF3:1=vF3:1=vFvF<039K7f552zJ0g6=zfh;247>52zJ0g6=zfh;257>52zJ0g6=zfh;2m7>52zJ0g6=zfh;2n7>52zJ0g6=zfh;2o7>52zJ0g6=zfh;2h7>52zJ0g6=zfh;2i7>52zJ0g6=zfh;2j7>53zJ0g6=zfh;j<7>53zJ0g6=zfh;j=7>53zJ0g6=zfh;j>7>53zJ0g6=zfh;j?7>53zJ0g6=zfh;j87>53zJ0g6=zfh;j97>53zJ0g6=zfh;j:7>53zJ0g6=zfh;j;7>51zJ0g6=zfh;j47>51zJ0g6=zfh;j57>51zJ0g6=zfh;jm7>51zJ0g6=zfh;jn7>51zJ0g6=zfh;jo7>51zJ0g6=zfh;jh7>51zJ0g6=zfh;ji7>51zJ0g6=zfh;jj7>51zJ0g6=zfh;i<7>51zJ0g6=zfh;i=7>51zJ0g6=zfh;i>7>51zJ0g6=zfh;i?7>51zJ0g6=zfh;i87>51zJ0g6=zfh;i97>51zJ0g6=zfh;i:7>51zJ0g6=zfh;i;7>51zJ0g6=zfh;i47>51zJ0g6=zfh;i57>51zJ0g6=zfh;im7>51zJ0g6=zfh;in7>51zJ0g6=zfh;io7>51zJ0g6=zfh;ih7>51zJ0g6=zfh;ii7>51zJ0g6=zfh;ij7>51zJ0g6=zfh;h<7>51zJ0g6=zfh;h=7>51zJ0g6=zfh;h>7>51zJ0g6=zfh;h?7>51zJ0g6=zfh;h87>51zJ0g6=zfh;h97>51zJ0g6=zfh;h:7>51zJ0g6=zfh;h;7>51zJ0g6=zfh;h47>51zJ0g6=zfh;h57>51zJ0g6=zfh;hm7>51zJ0g6=zfh;hn7>51zJ0g6=zfh;ho7>51zJ0g6=zfh;hh7>51zJ0g6=zfh;hi7>51zJ0g6=zfh;hj7>51zJ0g6=zfh;o<7>51zJ0g6=zfh;o=7>51zJ0g6=zfh;o>7>51zJ0g6=zfh;o?7>51zJ0g6=zfh;o87>51zJ0g6=zfh;o97>51zJ0g6=zfh;o:7>51zJ0g6=zfh;o;7>52zJ0g6=zfh;o47>52zJ0g6=zfh;o57>52zJ0g6=zfh;om7>52zJ0g6=zfh;on7>52zJ0g6=zfh;oo7>52zJ0g6=zfh;oh7>52zJ0g6=zfh;oi7>52zJ0g6=zfh;oj7>52zJ0g6=zfh;n<7>52zJ0g6=zfh;n=7>52zJ0g6=zfh;n>7>52zJ0g6=zfh;n?7>52zJ0g6=zfh;n87>52zJ0g6=zfh;n97>52zJ0g6=zfh;n:7>52zJ0g6=zfh;n;7>52zJ0g6=zfh;n47>52zJ0g6=zfh;n57>52zJ0g6=zfh;nm7>52zJ0g6=zfh;nn7>52zJ0g6=zfh;no7>52zJ0g6=zfh;nh7>52zJ0g6=zfh;ni7>52zJ0g6=zfh;nj7>52zJ0g6=zfh;m<7>52zJ0g6=zfh;m=7>53zJ0g6=zfh;m>7>53zJ0g6=zfh;m?7>53zJ0g6=zfh;m87>53zJ0g6=zfh;m97>53zJ0g6=zfh;m:7>53zJ0g6=zfh;m;7>53zJ0g6=zfh;m47>53zJ0g6=zfh;m57>51zJ0g6=zfh;mm7>51zJ0g6=zfh;mn7>51zJ0g6=zfh;mo7>51zJ0g6=zfh;mh7>51zJ0g6=zfh;mi7>51zJ0g6=zfh;mj7>51zJ0g6=zfh8;<7>51zJ0g6=zfh8;=7>51zJ0g6=zfh8;>7>51zJ0g6=zfh8;?7>51zJ0g6=zfh8;87>51zJ0g6=zfh8;97>51zJ0g6=zfh8;:7>51zJ0g6=zfh8;;7>51zJ0g6=zfh8;47>51zJ0g6=zfh8;57>51zJ0g6=zfh8;m7>51zJ0g6=zfh8;n7>51zJ0g6=zfh8;o7>51zJ0g6=zfh8;h7>51zJ0g6=zfh8;i7>51zJ0g6=zfh8;j7>51zJ0g6=zfh8:<7>51zJ0g6=zfh8:=7>51zJ0g6=zfh8:>7>51zJ0g6=zfh8:?7>51zJ0g6=zfh8:87>51zJ0g6=zfh8:97>51zJ0g6=zfh8::7>51zJ0g6=zfh8:;7>51zJ0g6=zfh8:47>51zJ0g6=zfh8:57>51zJ0g6=zfh8:m7>51zJ0g6=zfh8:n7>51zJ0g6=zfh8:o7>51zJ0g6=zfh8:h7>51zJ0g6=zfh8:i7>51zJ0g6=zfh8:j7>51zJ0g6=zfh89<7>51zJ0g6=zfh89=7>51zJ0g6=zfh89>7>51zJ0g6=zfh89?7>51zJ0g6=zfh8987>51zJ0g6=zfh8997>51zJ0g6=zfh89:7>51zJ0g6=zfh89;7>51zJ0g6=zfh8947>51zJ0g6=zfh8957>52zJ0g6=zfh89m7>52zJ0g6=zfh89n7>52zJ0g6=zfh89o7>52zJ0g6=zfh89h7>52zJ0g6=zfh89i7>52zJ0g6=zfh89j7>52zJ0g6=zfh88<7>52zJ0g6=zfh88=7>52zJ0g6=zfh88>7>52zJ0g6=zfh88?7>52zJ0g6=zfh8887>52zJ0g6=zfh8897>52zJ0g6=zfh88:7>52zJ0g6=zfh88;7>52zJ0g6=zfh8847>52zJ0g6=zfh8857>53zJ0g6=zfh88m7>53zJ0g6=zfh88n7>53zJ0g6=zfh88o7>53zJ0g6=zfh88h7>53zJ0g6=zfh88i7>53zJ0g6=zfh88j7>53zJ0g6=zfh8?<7>53zJ0g6=zfh8?=7>53zJ0g6=zfh8?>7>53zJ0g6=zfh8??7>53zJ0g6=zfh8?87>53zJ0g6=zfh8?97>53zJ0g6=zfh8?:7>53zJ0g6=zfh8?;7>53zJ0g6=zfh8?47>53zJ0g6=zfh8?57>51zJ0g6=zfh8?m7>51zJ0g6=zfh8?n7>51zJ0g6=zfh8?o7>51zJ0g6=zfh8?h7>51zJ0g6=zfh8?i7>51zJ0g6=zfh8?j7>51zJ0g6=zfh8><7>51zJ0g6=zfh8>=7>51zJ0g6=zfh8>>7>51zJ0g6=zfh8>?7>51zJ0g6=zfh8>87>51zJ0g6=zfh8>97>51zJ0g6=zfh8>:7>51zJ0g6=zfh8>;7>51zJ0g6=zfh8>47>51zJ0g6=zfh8>57>51zJ0g6=zfh8>m7>51zJ0g6=zfh8>n7>51zJ0g6=zfh8>o7>51zJ0g6=zfh8>h7>51zJ0g6=zfh8>i7>51zJ0g6=zfh8>j7>51zJ0g6=zfh8=<7>51zJ0g6=zfh8==7>51zJ0g6=zfh8=>7>51zJ0g6=zfh8=?7>51zJ0g6=zfh8=87>51zJ0g6=zfh8=97>51zJ0g6=zfh8=:7>51zJ0g6=zfh8=;7>51zJ0g6=zfh8=47>51zJ0g6=zfh8=57>51zJ0g6=zfh8=m7>51zJ0g6=zfh8=n7>51zJ0g6=zfh8=o7>51zJ0g6=zfh8=h7>51zJ0g6=zfh8=i7>51zJ0g6=zfh8=j7>51zJ0g6=zfh8<<7>51zJ0g6=zfh8<=7>51zJ0g6=zfh8<>7>51zJ0g6=zfh851zJ0g6=zfh8<87>51zJ0g6=zfh8<97>51zJ0g6=zfh8<:7>51zJ0g6=zfh8<;7>51zJ0g6=zfh8<47>51zJ0g6=zfh8<57>52zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh852zJ0g6=zfh83<7>52zJ0g6=zfh83=7>52zJ0g6=zfh83>7>52zJ0g6=zfh83?7>52zJ0g6=zfh8387>52zJ0g6=zfh8397>52zJ0g6=zfh83:7>52zJ0g6=zfh83;7>52zJ0g6=zfh8347>52zJ0g6=zfh8357>53zJ0g6=zfh83m7>53zJ0g6=zfh83n7>53zJ0g6=zfh83o7>53zJ0g6=zfh83h7>53zJ0g6=zfh83i7>53zJ0g6=zfh83j7>53zJ0g6=zfh82<7>53zJ0g6=zfh82=7>53zJ0g6=zfh82>7>53zJ0g6=zfh82?7>53zJ0g6=zfh8287>53zJ0g6=zfh8297>53zJ0g6=zfh82:7>53zJ0g6=zfh82;7>53zJ0g6=zfh8247>53zJ0g6=zfh8257>51zJ0g6=zfh82m7>51zJ0g6=zfh82n7>51zJ0g6=zfh82o7>51zJ0g6=zfh82h7>51zJ0g6=zfh82i7>51zJ0g6=zfh82j7>51zJ0g6=zfh8j<7>51zJ0g6=zfh8j=7>51zJ0g6=zfh8j>7>51zJ0g6=zfh8j?7>51zJ0g6=zfh8j87>51zJ0g6=zfh8j97>51zJ0g6=zfh8j:7>51zJ0g6=zfh8j;7>51zJ0g6=zfh8j47>51zJ0g6=zfh8j57>51zJ0g6=zfh8jm7>51zJ0g6=zfh8jn7>51zJ0g6=zfh8jo7>51zJ0g6=zfh8jh7>51zJ0g6=zfh8ji7>51zJ0g6=zfh8jj7>51zJ0g6=zfh8i<7>51zJ0g6=zfh8i=7>51zJ0g6=zfh8i>7>51zJ0g6=zfh8i?7>51zJ0g6=zfh8i87>51zJ0g6=zfh8i97>51zJ0g6=zfh8i:7>51zJ0g6=zfh8i;7>51zJ0g6=zfh8i47>51zJ0g6=zfh8i57>51zJ0g6=zfh8im7>51zJ0g6=zfh8in7>51zJ0g6=zfh8io7>51zJ0g6=zfh8ih7>51zJ0g6=zfh8ii7>51zJ0g6=zfh8ij7>51zJ0g6=zfh8h<7>51zJ0g6=zfh8h=7>51zJ0g6=zfh8h>7>51zJ0g6=zfh8h?7>51zJ0g6=zfh8h87>51zJ0g6=zfh8h97>51zJ0g6=zfh8h:7>51zJ0g6=zfh8h;7>51zJ0g6=zfh8h47>51zJ0g6=zfh8h57>52zJ0g6=zfh8hm7>52zJ0g6=zfh8hn7>52zJ0g6=zfh8ho7>52zJ0g6=zfh8hh7>52zJ0g6=zfh8hi7>52zJ0g6=zfh8hj7>52zJ0g6=zfh8o<7>52zJ0g6=zfh8o=7>51zJ0g6=zfh8o>7>51zJ0g6=zfh8o?7>51zJ0g6=zfh8o87>51zJ0g6=zfh8o97>51zJ0g6=zfh8o:7>51zJ0g6=zfh8o;7>51zJ0g6=zfh8o47>51zJ0g6=zfh8o57>51zJ0g6=zfh8om7>51zJ0g6=zfh8on7>51zJ0g6=zfh8oo7>51zJ0g6=zfh8oh7>51zJ0g6=zfh8oi7>51zJ0g6=zfh8oj7>51zJ0g6=zfh8n<7>51zJ0g6=zfh8n=7>51zJ0g6=zfh8n>7>51zJ0g6=zfh8n?7>51zJ0g6=zfh8n87>51zJ0g6=zfh8n97>51zJ0g6=zfh8n:7>51zJ0g6=zfh8n;7>51zJ0g6=zfh8n47>51zJ0g6=zfh8n57>51zJ0g6=zfh8nm7>51zJ0g6=zfh8nn7>51zJ0g6=zfh8no7>51zJ0g6=zfh8nh7>51zJ0g6=zfh8ni7>51zJ0g6=zfh8nj7>51zJ0g6=zfh8m<7>51zJ0g6=zfh8m=7>51zJ0g6=zfh8m>7>51zJ0g6=zfh8m?7>51zJ0g6=zfh8m87>51zJ0g6=zfh8m97>51zJ0g6=zfh8m:7>51zJ0g6=zfh8m;7>51zJ0g6=zfh8m47>51zJ0g6=zfh8m57>51zJ0g6=zfh8mm7>51zJ0g6=zfh8mn7>51zJ0g6=zfh8mo7>51zJ0g6=zfh8mh7>51zJ0g6=zfh8mi7>51zJ0g6=zfh8mj7>51zJ0g6=zfh9;<7>51zJ0g6=zfh9;=7>52zJ0g6=zfh9;>7>52zJ0g6=zfh9;?7>52zJ0g6=zfh9;87>52zJ0g6=zfh9;97>52zJ0g6=zfh9;:7>52zJ0g6=zfh9;;7>52zJ0g6=zfh9;47>52zJ0g6=zfh9;57>52zJ0g6=zfh9;m7>52zJ0g6=zfh9;n7>52zJ0g6=zfh9;o7>52zJ0g6=zfh9;h7>52zJ0g6=zfh9;i7>52zJ0g6=zfh9;j7>52zJ0g6=zfh9:<7>52zJ0g6=zfh9:=7>52zJ0g6=zfh9:>7>52zJ0g6=zfh9:?7>52zJ0g6=zfh9:87>52zJ0g6=zfh9:97>52zJ0g6=zfh9::7>52zJ0g6=zfh9:;7>52zJ0g6=zfh9:47>52zJ0g6=zfh9:57>52zJ0g6=zfh9:m7>52zJ0g6=zfh9:n7>52zJ0g6=zfh9:o7>52zJ0g6=zfh9:h7>52zJ0g6=zfh9:i7>52zJ0g6=zfh9:j7>52zJ0g6=zfh99<7>52zJ0g6=zfh99=7>52zJ0g6=zfh99>7>52zJ0g6=zfh99?7>51zJ0g6=zfh9987>51zJ0g6=zfh9997>51zJ0g6=zfh99:7>51zJ0g6=zfh99;7>51zJ0g6=zfh9947>51zJ0g6=zfh9957>51zJ0g6=zfh99m7>51zJ0g6=zfh99n7>51zJ0g6=zfh99o7>51zJ0g6=zfh99h7>51zJ0g6=zfh99i7>51zJ0g6=zfh99j7>51zJ0g6=zfh98<7>51zJ0g6=zfh98=7>51zJ0g6=zfh98>7>51zJ0g6=zfh98?7>51zJ0g6=zfh9887>51zJ0g6=zfh9897>51zJ0g6=zfh98:7>51zJ0g6=zfh98;7>51zJ0g6=zfh9847>51zJ0g6=zfh9857>51zJ0g6=zfh98m7>51zJ0g6=zfh98n7>51zJ0g6=zfh98o7>51zJ0g6=zfh98h7>51zJ0g6=zfh98i7>51zJ0g6=zfh98j7>51zJ0g6=zfh9?<7>51zJ0g6=zfh9?=7>51zJ0g6=zfh9?>7>51zJ0g6=zfh9??7>51zJ0g6=zfh9?87>51zJ0g6=zfh9?97>51zJ0g6=zfh9?:7>51zJ0g6=zfh9?;7>51zJ0g6=zfh9?47>51zJ0g6=zfh9?57>51zJ0g6=zfh9?m7>51zJ0g6=zfh9?n7>51zJ0g6=zfh9?o7>51zJ0g6=zfh9?h7>51zJ0g6=zfh9?i7>51zJ0g6=zfh9?j7>51zJ0g6=zfh9><7>51zJ0g6=zfh9>=7>51zJ0g6=zfh9>>7>51zJ0g6=zfh9>?7>52zJ0g6=zfh9>87>52zJ0g6=zfh9>97>52zJ0g6=zfh9>:7>52zJ0g6=zfh9>;7>52zJ0g6=zfh9>47>52zJ0g6=zfh9>57>52zJ0g6=zfh9>m7>52zJ0g6=zfh9>n7>52zJ0g6=zfh9>o7>52zJ0g6=zfh9>h7>52zJ0g6=zfh9>i7>52zJ0g6=zfh9>j7>52zJ0g6=zfh9=<7>52zJ0g6=zfh9==7>52zJ0g6=zfh9=>7>52zJ0g6=zfh9=?7>53zJ0g6=zfh9=87>53zJ0g6=zfh9=97>53zJ0g6=zfh9=:7>53zJ0g6=zfh9=;7>53zJ0g6=zfh9=47>53zJ0g6=zfh9=57>53zJ0g6=zfh9=m7>53zJ0g6=zfh9=n7>51zJ0g6=zfh9=o7>51zJ0g6=zfh9=h7>51zJ0g6=zfh9=i7>51zJ0g6=zfh9=j7>51zJ0g6=zfh9<<7>51zJ0g6=zfh9<=7>51zJ0g6=zfh9<>7>51zJ0g6=zfh951zJ0g6=zfh9<87>51zJ0g6=zfh9<97>51zJ0g6=zfh9<:7>51zJ0g6=zfh9<;7>51zJ0g6=zfh9<47>51zJ0g6=zfh9<57>51zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh951zJ0g6=zfh93<7>51zJ0g6=zfh93=7>51zJ0g6=zfh93>7>51zJ0g6=zfh93?7>51zJ0g6=zfh9387>51zJ0g6=zfh9397>51zJ0g6=zfh93:7>51zJ0g6=zfh93;7>51zJ0g6=zfh9347>51zJ0g6=zfh9357>51zJ0g6=zfh93m7>51zJ0g6=zfh93n7>51zJ0g6=zfh93o7>51zJ0g6=zfh93h7>51zJ0g6=zfh93i7>51zJ0g6=zfh93j7>51zJ0g6=zfh92<7>51zJ0g6=zfh92=7>51zJ0g6=zfh92>7>51zJ0g6=zfh92?7>51zJ0g6=zfh9287>51zJ0g6=zfh9297>51zJ0g6=zfh92:7>51zJ0g6=zfh92;7>51zJ0g6=zfh9247>51zJ0g6=zfh9257>51zJ0g6=zfh92m7>51zJ0g6=zfh92n7>52zJ0g6=zfh92o7>52zJ0g6=zfh92h7>52zJ0g6=zfh92i7>52zJ0g6=zfh92j7>52zJ0g6=zfh9j<7>52zJ0g6=zfh9j=7>52zJ0g6=zfh9j>7>52zJ0g6=zfh9j?7>52zJ0g6=zfh9j87>52zJ0g6=zfh9j97>52zJ0g6=zfh9j:7>52zJ0g6=zfh9j;7>52zJ0g6=zfh9j47>52zJ0g6=zfh9j57>52zJ0g6=zfh9jm7>52zJ0g6=zfh9jn7>52zJ0g6=zfh9jo7>52zJ0g6=zfh9jh7>52zJ0g6=zfh9ji7>52zJ0g6=zfh9jj7>52zJ0g6=zfh9i<7>52zJ0g6=zfh9i=7>52zJ0g6=zfh9i>7>52zJ0g6=zfh9i?7>52zJ0g6=zfh9i87>52zJ0g6=zfh9i97>53zJ0g6=zfh9i:7>53zJ0g6=zfh9i;7>53zJ0g6=zfh9i47>53zJ0g6=zfh9i57>53zJ0g6=zfh9im7>53zJ0g6=zfh9in7>53zJ0g6=zfh9io7>53zJ0g6=zfh9ih7>51zJ0g6=zfh9ii7>51zJ0g6=zfh9ij7>51zJ0g6=zfh9h<7>51zJ0g6=zfh9h=7>51zJ0g6=zfh9h>7>51zJ0g6=zfh9h?7>51zJ0g6=zfh9h87>51zJ0g6=zfh9h97>51zJ0g6=zfh9h:7>51zJ0g6=zfh9h;7>51zJ0g6=zfh9h47>51zJ0g6=zfh9h57>51zJ0g6=zfh9hm7>51zJ0g6=zfh9hn7>51zJ0g6=zfh9ho7>51zJ0g6=zfh9hh7>51zJ0g6=zfh9hi7>51zJ0g6=zfh9hj7>51zJ0g6=zfh9o<7>51zJ0g6=zfh9o=7>51zJ0g6=zfh9o>7>51zJ0g6=zfh9o?7>51zJ0g6=zfh9o87>51zJ0g6=zfh9o97>51zJ0g6=zfh9o:7>51zJ0g6=zfh9o;7>51zJ0g6=zfh9o47>51zJ0g6=zfh9o57>51zJ0g6=zfh9om7>51zJ0g6=zfh9on7>51zJ0g6=zfh9oo7>51zJ0g6=zfh9oh7>51zJ0g6=zfh9oi7>51zJ0g6=zfh9oj7>51zJ0g6=zfh9n<7>51zJ0g6=zfh9n=7>51zJ0g6=zfh9n>7>51zJ0g6=zfh9n?7>51zJ0g6=zfh9n87>51zJ0g6=zfh9n97>51zJ0g6=zfh9n:7>51zJ0g6=zfh9n;7>51zJ0g6=zfh9n47>51zJ0g6=zfh9n57>51zJ0g6=zfh9nm7>51zJ0g6=zfh9nn7>51zJ0g6=zfh9no7>51zJ0g6=zfh9nh7>52zJ0g6=zfh9ni7>52zJ0g6=zfh9nj7>52zJ0g6=zfh9m<7>52zJ0g6=zfh9m=7>52zJ0g6=zfh9m>7>52zJ0g6=zfh9m?7>52zJ0g6=zfh9m87>52zJ0g6=zfh9m97>52zJ0g6=zfh9m:7>52zJ0g6=zfh9m;7>52zJ0g6=zfh9m47>52zJ0g6=zfh9m57>52zJ0g6=zfh9mm7>52zJ0g6=zfh9mn7>52zJ0g6=zfh9mo7>52zJ0g6=zfh9mh7>52zJ0g6=zfh9mi7>52zJ0g6=zfh9mj7>52zJ0g6=zfh>;<7>52zJ0g6=zfh>;=7>52zJ0g6=zfh>;>7>52zJ0g6=zfh>;?7>52zJ0g6=zfh>;87>52zJ0g6=zfh>;97>52zJ0g6=zfh>;:7>52zJ0g6=zfh>;;7>53zJ0g6=zfh>;47>53zJ0g6=zfh>;57>53zJ0g6=zfh>;m7>53zJ0g6=zfh>;n7>53zJ0g6=zfh>;o7>53zJ0g6=zfh>;h7>53zJ0g6=zfh>;i7>53zJ0g6=zfh>;j7>51zJ0g6=zfh>:<7>51zJ0g6=zfh>:=7>51zJ0g6=zfh>:>7>51zJ0g6=zfh>:?7>51zJ0g6=zfh>:87>51zJ0g6=zfh>:97>51zJ0g6=zfh>::7>51zJ0g6=zfh>:;7>51zJ0g6=zfh>:47>51zJ0g6=zfh>:57>51zJ0g6=zfh>:m7>51zJ0g6=zfh>:n7>51zJ0g6=zfh>:o7>51zJ0g6=zfh>:h7>51zJ0g6=zfh>:i7>51zJ0g6=zfh>:j7>51zJ0g6=zfh>9<7>51zJ0g6=zfh>9=7>51zJ0g6=zfh>9>7>51zJ0g6=zfh>9?7>51zJ0g6=zfh>987>51zJ0g6=zfh>997>51zJ0g6=zfh>9:7>51zJ0g6=zfh>9;7>51zJ0g6=zfh>947>51zJ0g6=zfh>957>51zJ0g6=zfh>9m7>51zJ0g6=zfh>9n7>51zJ0g6=zfh>9o7>51zJ0g6=zfh>9h7>51zJ0g6=zfh>9i7>51zJ0g6=zfh>9j7>51zJ0g6=zfh>8<7>51zJ0g6=zfh>8=7>51zJ0g6=zfh>8>7>51zJ0g6=zfh>8?7>51zJ0g6=zfh>887>51zJ0g6=zfh>897>51zJ0g6=zfh>8:7>51zJ0g6=zfh>8;7>51zJ0g6=zfh>847>51zJ0g6=zfh>857>51zJ0g6=zfh>8m7>51zJ0g6=zfh>8n7>51zJ0g6=zfh>8o7>51zJ0g6=zfh>8h7>51zJ0g6=zfh>8i7>51zJ0g6=zfh>8j7>52zJ0g6=zfh>?<7>52zJ0g6=zfh>?=7>52zJ0g6=zfh>?>7>52zJ0g6=zfh>??7>52zJ0g6=zfh>?87>52zJ0g6=zfh>?97>52zJ0g6=zfh>?:7>52zJ0g6=zfh>?;7>52zJ0g6=zfh>?47>52zJ0g6=zfh>?57>52zJ0g6=zfh>?m7>52zJ0g6=zfh>?n7>52zJ0g6=zfh>?o7>52zJ0g6=zfh>?h7>52zJ0g6=zfh>?i7>52zJ0g6=zfh>?j7>52zJ0g6=zfh>><7>52zJ0g6=zfh>>=7>52zJ0g6=zfh>>>7>52zJ0g6=zfh>>?7>52zJ0g6=zfh>>87>52zJ0g6=zfh>>97>52zJ0g6=zfh>>:7>52zJ0g6=zfh>>;7>52zJ0g6=zfh>>47>52zJ0g6=zfh>>57>53zJ0g6=zfh>>m7>53zJ0g6=zfh>>n7>53zJ0g6=zfh>>o7>53zJ0g6=zfh>>h7>53zJ0g6=zfh>>i7>53zJ0g6=zfh>>j7>53zJ0g6=zfh>=<7>53zJ0g6=zfh>==7>52zJ0g6=zfh>=>7>52zJ0g6=zfh>=?7>52zJ0g6=zfh>=87>52zJ0g6=zfh>=97>52zJ0g6=zfh>=:7>52zJ0g6=zfh>=;7>52zJ0g6=zfh>=47>52zJ0g6=zfh>=57>52zJ0g6=zfh>=m7>52zJ0g6=zfh>=n7>52zJ0g6=zfh>=o7>52zJ0g6=zfh>=h7>52zJ0g6=zfh>=i7>52zJ0g6=zfh>=j7>52zJ0g6=zfh><<7>52zJ0g6=zfh><=7>52zJ0g6=zfh><>7>52zJ0g6=zfh>52zJ0g6=zfh><87>52zJ0g6=zfh><97>52zJ0g6=zfh><:7>52zJ0g6=zfh><;7>52zJ0g6=zfh><47>52zJ0g6=zfh><57>52zJ0g6=zfh>52zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>53zJ0g6=zfh>3<7>53zJ0g6=zfh>3=7>53zJ0g6=zfh>3>7>53zJ0g6=zfh>3?7>51zJ0g6=zfh>387>51zJ0g6=zfh>397>51zJ0g6=zfh>3:7>51zJ0g6=zfh>3;7>51zJ0g6=zfh>347>51zJ0g6=zfh>357>51zJ0g6=zfh>3m7>51zJ0g6=zfh>3n7>51zJ0g6=zfh>3o7>51zJ0g6=zfh>3h7>51zJ0g6=zfh>3i7>51zJ0g6=zfh>3j7>51zJ0g6=zfh>2<7>51zJ0g6=zfh>2=7>51zJ0g6=zfh>2>7>51zJ0g6=zfh>2?7>51zJ0g6=zfh>287>51zJ0g6=zfh>297>51zJ0g6=zfh>2:7>51zJ0g6=zfh>2;7>51zJ0g6=zfh>247>51zJ0g6=zfh>257>51zJ0g6=zfh>2m7>51zJ0g6=zfh>2n7>51zJ0g6=zfh>2o7>51zJ0g6=zfh>2h7>51zJ0g6=zfh>2i7>51zJ0g6=zfh>2j7>51zJ0g6=zfh>j<7>51zJ0g6=zfh>j=7>51zJ0g6=zfh>j>7>51zJ0g6=zfh>j?7>51zJ0g6=zfh>j87>51zJ0g6=zfh>j97>51zJ0g6=zfh>j:7>51zJ0g6=zfh>j;7>51zJ0g6=zfh>j47>51zJ0g6=zfh>j57>51zJ0g6=zfh>jm7>51zJ0g6=zfh>jn7>51zJ0g6=zfh>jo7>51zJ0g6=zfh>jh7>51zJ0g6=zfh>ji7>51zJ0g6=zfh>jj7>51zJ0g6=zfh>i<7>51zJ0g6=zfh>i=7>51zJ0g6=zfh>i>7>51zJ0g6=zfh>i?7>52zJ0g6=zfh>i87>52zJ0g6=zfh>i97>52zJ0g6=zfh>i:7>52zJ0g6=zfh>i;7>52zJ0g6=zfh>i47>52zJ0g6=zfh>i57>52zJ0g6=zfh>im7>52zJ0g6=zfh>in7>53zJ0g6=zfh>io7>53zJ0g6=zfh>ih7>53zJ0g6=zfh>ii7>53zJ0g6=zfh>ij7>53zJ0g6=zfh>h<7>53zJ0g6=zfh>h=7>53zJ0g6=zfh>h>7>53zJ0g6=zfh>h?7>51zJ0g6=zfh>h87>51zJ0g6=zfh>h97>51zJ0g6=zfh>h:7>51zJ0g6=zfh>h;7>51zJ0g6=zfh>h47>51zJ0g6=zfh>h57>51zJ0g6=zfh>hm7>51zJ0g6=zfh>hn7>51zJ0g6=zfh>ho7>51zJ0g6=zfh>hh7>51zJ0g6=zfh>hi7>51zJ0g6=zfh>hj7>51zJ0g6=zfh>o<7>51zJ0g6=zfh>o=7>51zJ0g6=zfh>o>7>51zJ0g6=zfh>o?7>51zJ0g6=zfh>o87>51zJ0g6=zfh>o97>51zJ0g6=zfh>o:7>51zJ0g6=zfh>o;7>51zJ0g6=zfh>o47>51zJ0g6=zfh>o57>51zJ0g6=zfh>om7>51zJ0g6=zfh>on7>51zJ0g6=zfh>oo7>51zJ0g6=zfh>oh7>51zJ0g6=zfh>oi7>51zJ0g6=zfh>oj7>51zJ0g6=zfh>n<7>51zJ0g6=zfh>n=7>51zJ0g6=zfh>n>7>51zJ0g6=zfh>n?7>51zJ0g6=zfh>n87>51zJ0g6=zfh>n97>51zJ0g6=zfh>n:7>51zJ0g6=zfh>n;7>51zJ0g6=zfh>n47>51zJ0g6=zfh>n57>51zJ0g6=zfh>nm7>51zJ0g6=zfh>nn7>51zJ0g6=zfh>no7>51zJ0g6=zfh>nh7>51zJ0g6=zfh>ni7>51zJ0g6=zfh>nj7>51zJ0g6=zfh>m<7>51zJ0g6=zfh>m=7>51zJ0g6=zfh>m>7>51zJ0g6=zfh>m?7>52zJ0g6=zfh>m87>52zJ0g6=zfh>m97>52zJ0g6=zfh>m:7>52zJ0g6=zfh>m;7>52zJ0g6=zfh>m47>52zJ0g6=zfh>m57>52zJ0g6=zfh>mm7>52zJ0g6=zfh>mn7>53zJ0g6=zfh>mo7>53zJ0g6=zfh>mh7>53zJ0g6=zfh>mi7>53zJ0g6=zfh>mj7>53zJ0g6=zfh?;<7>53zJ0g6=zfh?;=7>53zJ0g6=zfh?;>7>53zJ0g6=zfh?;?7>51zJ0g6=zfh?;87>51zJ0g6=zfh?;97>51zJ0g6=zfh?;:7>51zJ0g6=zfh?;;7>51zJ0g6=zfh?;47>51zJ0g6=zfh?;57>51zJ0g6=zfh?;m7>51zJ0g6=zfh?;n7>51zJ0g6=zfh?;o7>51zJ0g6=zfh?;h7>51zJ0g6=zfh?;i7>51zJ0g6=zfh?;j7>51zJ0g6=zfh?:<7>51zJ0g6=zfh?:=7>51zJ0g6=zfh?:>7>51zJ0g6=zfh?:?7>51zJ0g6=zfh?:87>51zJ0g6=zfh?:97>51zJ0g6=zfh?::7>51zJ0g6=zfh?:;7>51zJ0g6=zfh?:47>51zJ0g6=zfh?:57>51zJ0g6=zfh?:m7>51zJ0g6=zfh?:n7>51zJ0g6=zfh?:o7>51zJ0g6=zfh?:h7>51zJ0g6=zfh?:i7>51zJ0g6=zfh?:j7>51zJ0g6=zfh?9<7>51zJ0g6=zfh?9=7>51zJ0g6=zfh?9>7>51zJ0g6=zfh?9?7>51zJ0g6=zfh?987>51zJ0g6=zfh?997>51zJ0g6=zfh?9:7>51zJ0g6=zfh?9;7>51zJ0g6=zfh?947>51zJ0g6=zfh?957>51zJ0g6=zfh?9m7>51zJ0g6=zfh?9n7>51zJ0g6=zfh?9o7>51zJ0g6=zfh?9h7>51zJ0g6=zfh?9i7>51zJ0g6=zfh?9j7>51zJ0g6=zfh?8<7>51zJ0g6=zfh?8=7>51zJ0g6=zfh?8>7>51zJ0g6=zfh?8?7>52zJ0g6=zfh?887>52zJ0g6=zfh?897>52zJ0g6=zfh?8:7>52zJ0g6=zfh?8;7>52zJ0g6=zfh?847>52zJ0g6=zfh?857>52zJ0g6=zfh?8m7>52zJ0g6=zfh?8n7>53zJ0g6=zfh?8o7>53zJ0g6=zfh?8h7>53zJ0g6=zfh?8i7>53zJ0g6=zfh?8j7>53zJ0g6=zfh??<7>53zJ0g6=zfh??=7>53zJ0g6=zfh??>7>53zJ0g6=zfh???7>52zJ0g6=zfh??87>52zJ0g6=zfh??97>52zJ0g6=zfh??:7>52zJ0g6=zfh??;7>52zJ0g6=zfh??47>52zJ0g6=zfh??57>52zJ0g6=zfh??m7>52zJ0g6=zfh??n7>52zJ0g6=zfh??o7>52zJ0g6=zfh??h7>52zJ0g6=zfh??i7>52zJ0g6=zfh??j7>52zJ0g6=zfh?><7>52zJ0g6=zfh?>=7>52zJ0g6=zfh?>>7>52zJ0g6=zfh?>?7>51zJ0g6=zfh?>87>51zJ0g6=zfh?>97>51zJ0g6=zfh?>:7>51zJ0g6=zfh?>;7>51zJ0g6=zfh?>47>51zJ0g6=zfh?>57>51zJ0g6=zfh?>m7>51zJ0g6=zfh?>n7>51zJ0g6=zfh?>o7>51zJ0g6=zfh?>h7>51zJ0g6=zfh?>i7>51zJ0g6=zfh?>j7>51zJ0g6=zfh?=<7>51zJ0g6=zfh?==7>51zJ0g6=zfh?=>7>51zJ0g6=zfh?=?7>51zJ0g6=zfh?=87>51zJ0g6=zfh?=97>51zJ0g6=zfh?=:7>51zJ0g6=zfh?=;7>51zJ0g6=zfh?=47>51zJ0g6=zfh?=57>51zJ0g6=zfh?=m7>51zJ0g6=zfh?=n7>51zJ0g6=zfh?=o7>51zJ0g6=zfh?=h7>51zJ0g6=zfh?=i7>51zJ0g6=zfh?=j7>51zJ0g6=zfh?<<7>51zJ0g6=zfh?<=7>51zJ0g6=zfh?<>7>51zJ0g6=zfh?51zJ0g6=zfh?<87>51zJ0g6=zfh?<97>51zJ0g6=zfh?<:7>51zJ0g6=zfh?<;7>51zJ0g6=zfh?<47>51zJ0g6=zfh?<57>51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?51zJ0g6=zfh?3<7>51zJ0g6=zfh?3=7>51zJ0g6=zfh?3>7>51zJ0g6=zfh?3?7>52zJ0g6=zfh?387>52zJ0g6=zfh?397>52zJ0g6=zfh?3:7>52zJ0g6=zfh?3;7>52zJ0g6=zfh?347>52zJ0g6=zfh?357>52zJ0g6=zfh?3m7>52zJ0g6=zfh?3n7>52zJ0g6=zfh?3o7>52zJ0g6=zfh?3h7>52zJ0g6=zfh?3i7>52zJ0g6=zfh?3j7>52zJ0g6=zfh?2<7>52zJ0g6=zfh?2=7>52zJ0g6=zfh?2>7>52zJ0g6=zfh?2?7>52zJ0g6=zfh?287>52zJ0g6=zfh?297>52zJ0g6=zfh?2:7>52zJ0g6=zfh?2;7>52zJ0g6=zfh?247>52zJ0g6=zfh?257>52zJ0g6=zfh?2m7>52zJ0g6=zfh?2n7>52zJ0g6=zfh?2o7>52zJ0g6=zfh?2h7>52zJ0g6=zfh?2i7>52zJ0g6=zfh?2j7>52zJ0g6=zfh?j<7>52zJ0g6=zfh?j=7>52zJ0g6=zfh?j>7>52zJ0g6=zfh?j?7>51zJ0g6=zfh?j87>51zJ0g6=zfh?j97>51zJ0g6=zfh?j:7>51zJ0g6=zfh?j;7>51zJ0g6=zfh?j47>51zJ0g6=zfh?j57>51zJ0g6=zfh?jm7>51zJ0g6=zfh?jn7>51zJ0g6=zfh?jo7>51zJ0g6=zfh?jh7>51zJ0g6=zfh?ji7>51zJ0g6=zfh?jj7>51zJ0g6=zfh?i<7>51zJ0g6=zfh?i=7>51zJ0g6=zfh?i>7>51zJ0g6=zfh?i?7>51zJ0g6=zfh?i87>51zJ0g6=zfh?i97>51zJ0g6=zfh?i:7>51zJ0g6=zfh?i;7>51zJ0g6=zfh?i47>51zJ0g6=zfh?i57>51zJ0g6=zfh?im7>51zJ0g6=zfh?in7>51zJ0g6=zfh?io7>51zJ0g6=zfh?ih7>51zJ0g6=zfh?ii7>51zJ0g6=zfh?ij7>51zJ0g6=zfh?h<7>51zJ0g6=zfh?h=7>51zJ0g6=zfh?h>7>51zJ0g6=zfh?h?7>51zJ0g6=zfh?h87>51zJ0g6=zfh?h97>51zJ0g6=zfh?h:7>51zJ0g6=zfh?h;7>51zJ0g6=zfh?h47>51zJ0g6=zfh?h57>51zJ0g6=zfh?hm7>51zJ0g6=zfh?hn7>51zJ0g6=zfh?ho7>51zJ0g6=zfh?hh7>51zJ0g6=zfh?hi7>51zJ0g6=zfh?hj7>51zJ0g6=zfh?o<7>51zJ0g6=zfh?o=7>51zJ0g6=zfh?o>7>51zJ0g6=zfh?o?7>52zJ0g6=zfh?o87>52zJ0g6=zfh?o97>52zJ0g6=zfh?o:7>52zJ0g6=zfh?o;7>52zJ0g6=zfh?o47>52zJ0g6=zfh?o57>52zJ0g6=zfh?om7>52zJ0g6=zfh?on7>52zJ0g6=zfh?oo7>52zJ0g6=zfh?oh7>52zJ0g6=zfh?oi7>52zJ0g6=zfh?oj7>52zJ0g6=zfh?n<7>52zJ0g6=zfh?n=7>52zJ0g6=zfh?n>7>52zJ0g6=zfh?n?7>52zJ0g6=zfh?n87>52zJ0g6=zfh?n97>52zJ0g6=zfh?n:7>52zJ0g6=zfh?n;7>52zJ0g6=zfh?n47>52zJ0g6=zfh?n57>52zJ0g6=zfh?nm7>52zJ0g6=zfh?nn7>52zJ0g6=zfh?no7>52zJ0g6=zfh?nh7>52zJ0g6=zfh?ni7>52zJ0g6=zfh?nj7>52zJ0g6=zfh?m<7>52zJ0g6=zfh?m=7>52zJ0g6=zfh?m>7>52zJ0g6=zfh?m?7>53zJ0g6=zfh?m87>53zJ0g6=zfh?m97>53zJ0g6=zfh?m:7>53zJ0g6=zfh?m;7>53zJ0g6=zfh?m47>53zJ0g6=zfh?m57>53zJ0g6=zfh?mm7>53zJ0g6=zfh?mn7>53zJ0g6=zfh?mo7>53zJ0g6=zfh?mh7>53zJ0g6=zfh?mi7>53zJ0g6=zfh?mj7>53zJ0g6=zfh<;<7>53zJ0g6=zfh<;=7>53zJ0g6=zfh<;>7>53zJ0g6=zfh<;?7>51zJ0g6=zfh<;87>51zJ0g6=zfh<;97>51zJ0g6=zfh<;:7>51zJ0g6=zfh<;;7>51zJ0g6=zfh<;47>51zJ0g6=zfh<;57>51zJ0g6=zfh<;m7>51zJ0g6=zfh<;n7>51zJ0g6=zfh<;o7>51zJ0g6=zfh<;h7>51zJ0g6=zfh<;i7>51zJ0g6=zfh<;j7>51zJ0g6=zfh<:<7>51zJ0g6=zfh<:=7>51zJ0g6=zfh<:>7>51zJ0g6=zfh<:?7>51zJ0g6=zfh<:87>51zJ0g6=zfh<:97>51zJ0g6=zfh<::7>51zJ0g6=zfh<:;7>51zJ0g6=zfh<:47>51zJ0g6=zfh<:57>51zJ0g6=zfh<:m7>51zJ0g6=zfh<:n7>51zJ0g6=zfh<:o7>51zJ0g6=zfh<:h7>51zJ0g6=zfh<:i7>51zJ0g6=zfh<:j7>51zJ0g6=zfh<9<7>51zJ0g6=zfh<9=7>51zJ0g6=zfh<9>7>51zJ0g6=zfh<9?7>51zJ0g6=zfh<987>51zJ0g6=zfh<997>51zJ0g6=zfh<9:7>51zJ0g6=zfh<9;7>51zJ0g6=zfh<947>51zJ0g6=zfh<957>51zJ0g6=zfh<9m7>51zJ0g6=zfh<9n7>51zJ0g6=zfh<9o7>51zJ0g6=zfh<9h7>51zJ0g6=zfh<9i7>51zJ0g6=zfh<9j7>51zJ0g6=zfh<8<7>51zJ0g6=zfh<8=7>51zJ0g6=zfh<8>7>51zJ0g6=zfh<8?7>52zJ0g6=zfh<887>52zJ0g6=zfh<897>52zJ0g6=zfh<8:7>52zJ0g6=zfh<8;7>52zJ0g6=zfh<847>52zJ0g6=zfh<857>52zJ0g6=zfh<8m7>52zJ0g6=zfh<8n7>52zJ0g6=zfh<8o7>52zJ0g6=zfh<8h7>52zJ0g6=zfh<8i7>52zJ0g6=zfh<8j7>52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh7>52zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh<><7>53zJ0g6=zfh<>=7>53zJ0g6=zfh<>>7>53zJ0g6=zfh<>?7>51zJ0g6=zfh<>87>51zJ0g6=zfh<>97>51zJ0g6=zfh<>:7>51zJ0g6=zfh<>;7>51zJ0g6=zfh<>47>51zJ0g6=zfh<>57>51zJ0g6=zfh<>m7>51zJ0g6=zfh<>n7>51zJ0g6=zfh<>o7>51zJ0g6=zfh<>h7>51zJ0g6=zfh<>i7>51zJ0g6=zfh<>j7>51zJ0g6=zfh<=<7>51zJ0g6=zfh<==7>51zJ0g6=zfh<=>7>51zJ0g6=zfh<=?7>51zJ0g6=zfh<=87>51zJ0g6=zfh<=97>51zJ0g6=zfh<=:7>51zJ0g6=zfh<=;7>51zJ0g6=zfh<=47>51zJ0g6=zfh<=57>51zJ0g6=zfh<=m7>51zJ0g6=zfh<=n7>51zJ0g6=zfh<=o7>51zJ0g6=zfh<=h7>51zJ0g6=zfh<=i7>51zJ0g6=zfh<=j7>51zJ0g6=zfh<<<7>51zJ0g6=zfh<<=7>51zJ0g6=zfh<<>7>51zJ0g6=zfh<51zJ0g6=zfh<<87>51zJ0g6=zfh<<97>51zJ0g6=zfh<<:7>51zJ0g6=zfh<<;7>51zJ0g6=zfh<<47>51zJ0g6=zfh<<57>51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<51zJ0g6=zfh<3<7>51zJ0g6=zfh<3=7>51zJ0g6=zfh<3>7>51zJ0g6=zfh<3?7>52zJ0g6=zfh<387>52zJ0g6=zfh<397>52zJ0g6=zfh<3:7>52zJ0g6=zfh<3;7>52zJ0g6=zfh<347>52zJ0g6=zfh<357>52zJ0g6=zfh<3m7>52zJ0g6=zfh<3n7>52zJ0g6=zfh<3o7>52zJ0g6=zfh<3h7>52zJ0g6=zfh<3i7>52zJ0g6=zfh<3j7>52zJ0g6=zfh<2<7>52zJ0g6=zfh<2=7>52zJ0g6=zfh<2>7>52zJ0g6=zfh<2?7>53zJ0g6=zfh<287>53zJ0g6=zfh<297>53zJ0g6=zfh<2:7>53zJ0g6=zfh<2;7>53zJ0g6=zfh<247>53zJ0g6=zfh<257>53zJ0g6=zfh<2m7>53zJ0g6=zfh<2n7>53zJ0g6=zfh<2o7>53zJ0g6=zfh<2h7>53zJ0g6=zfh<2i7>53zJ0g6=zfh<2j7>53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh7>53zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh51zJ0g6=zfh7>51zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh7>52zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh53zJ0g6=zfh7>53zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh52zJ0g6=zfh=;<7>52zJ0g6=zfh=;=7>52zJ0g6=zfh=;>7>52zJ0g6=zfh=;?7>53zJ0g6=zfh=;87>53zJ0g6=zfh=;97>53zJ0g6=zfh=;:7>53zJ0g6=zfh=;;7>53zJ0g6=zfh=;47>53zJ0g6=zfh=;57>53zJ0g6=zfh=;m7>53zJ0g6=zfh=;n7>53zJ0g6=zfh=;o7>53zJ0g6=zfh=;h7>53zJ0g6=zfh=;i7>53zJ0g6=zfh=;j7>53zJ0g6=zfh=:<7>53zJ0g6=zfh=:=7>53zJ0g6=zfh=:>7>53zJ0g6=zfh=:?7>51zJ0g6=zfh=:87>51zJ0g6=zfh=:97>51zJ0g6=zfh=::7>51zJ0g6=zfh=:;7>51zJ0g6=zfh=:47>51zJ0g6=zfh=:57>51zJ0g6=zfh=:m7>51zJ0g6=zfh=:n7>51zJ0g6=zfh=:o7>51zJ0g6=zfh=:h7>51zJ0g6=zfh=:i7>51zJ0g6=zfh=:j7>51zJ0g6=zfh=9<7>51zJ0g6=zfh=9=7>51zJ0g6=zfh=9>7>51zJ0g6=zfh=9?7>51zJ0g6=zfh=987>51zJ0g6=zfh=997>51zJ0g6=zfh=9:7>51zJ0g6=zfh=9;7>51zJ0g6=zfh=947>51zJ0g6=zfh=957>51zJ0g6=zfh=9m7>51zJ0g6=zfh=9n7>51zJ0g6=zfh=9o7>51zJ0g6=zfh=9h7>51zJ0g6=zfh=9i7>51zJ0g6=zfh=9j7>51zJ0g6=zfh=8<7>51zJ0g6=zfh=8=7>51zJ0g6=zfh=8>7>51zJ0g6=zfh=8?7>51zJ0g6=zfh=887>51zJ0g6=zfh=897>51zJ0g6=zfh=8:7>51zJ0g6=zfh=8;7>51zJ0g6=zfh=847>51zJ0g6=zfh=857>51zJ0g6=zfh=8m7>51zJ0g6=zfh=8n7>51zJ0g6=zfh=8o7>51zJ0g6=zfh=8h7>51zJ0g6=zfh=8i7>51zJ0g6=zfh=8j7>51zJ0g6=zfh=?<7>51zJ0g6=zfh=?=7>51zJ0g6=zfh=?>7>51zJ0g6=zfh=??7>52zJ0g6=zfh=?87>52zJ0g6=zfh=?97>52zJ0g6=zfh=?:7>52zJ0g6=zfh=?;7>52zJ0g6=zfh=?47>52zJ0g6=zfh=?57>52zJ0g6=zfh=?m7>52zJ0g6=zfh=?n7>52zJ0g6=zfh=?o7>52zJ0g6=zfh=?h7>52zJ0g6=zfh=?i7>52zJ0g6=zfh=?j7>52zJ0g6=zfh=><7>52zJ0g6=zfh=>=7>52zJ0g6=zfh=>>7>52zJ0g6=zfh=>?7>53zJ0g6=zfh=>87>53zJ0g6=zfh=>97>53zJ0g6=zfh=>:7>53zJ0g6=zfh=>;7>53zJ0g6=zfh=>47>53zJ0g6=zfh=>57>53zJ0g6=zfh=>m7>53zJ0g6=zfh=>n7>53zJ0g6=zfh=>o7>53zJ0g6=zfh=>h7>53zJ0g6=zfh=>i7>53zJ0g6=zfh=>j7>53zJ0g6=zfh==<7>53zJ0g6=zfh===7>53zJ0g6=zfh==>7>53zJ0g6=zfh==?7>51zJ0g6=zfh==87>51zJ0g6=zfh==97>51zJ0g6=zfh==:7>51zJ0g6=zfh==;7>51zJ0g6=zfh==47>51zJ0g6=zfh==57>51zJ0g6=zfh==m7>51zJ0g6=zfh==n7>51zJ0g6=zfh==o7>51zJ0g6=zfh==h7>51zJ0g6=zfh==i7>51zJ0g6=zfh==j7>51zJ0g6=zfh=<<7>51zJ0g6=zfh=<=7>51zJ0g6=zfh=<>7>51zJ0g6=zfh=51zJ0g6=zfh=<87>51zJ0g6=zfh=<97>51zJ0g6=zfh=<:7>51zJ0g6=zfh=<;7>51zJ0g6=zfh=<47>51zJ0g6=zfh=<57>51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=51zJ0g6=zfh=3<7>51zJ0g6=zfh=3=7>51zJ0g6=zfh=3>7>51zJ0g6=zfh=3?7>51zJ0g6=zfh=387>51zJ0g6=zfh=397>51zJ0g6=zfh=3:7>51zJ0g6=zfh=3;7>51zJ0g6=zfh=347>51zJ0g6=zfh=357>51zJ0g6=zfh=3m7>51zJ0g6=zfh=3n7>51zJ0g6=zfh=3o7>51zJ0g6=zfh=3h7>51zJ0g6=zfh=3i7>51zJ0g6=zfh=3j7>51zJ0g6=zfh=2<7>51zJ0g6=zfh=2=7>51zJ0g6=zfh=2>7>51zJ0g6=zfh=2?7>52zJ0g6=zfh=287>52zJ0g6=zfh=297>52zJ0g6=zfh=2:7>52zJ0g6=zfh=2;7>52zJ0g6=zfh=247>52zJ0g6=zfh=257>52zJ0g6=zfh=2m7>52zJ0g6=zfh=2n7>52zJ0g6=zfh=2o7>52zJ0g6=zfh=2h7>52zJ0g6=zfh=2i7>52zJ0g6=zfh=2j7>52zJ0g6=zfh=j<7>52zJ0g6=zfh=j=7>52zJ0g6=zfh=j>7>52zJ0g6=zfh=j?7>53zJ0g6=zfh=j87>53zJ0g6=zfh=j97>53zJ0g6=zfh=j:7>53zJ0g6=zfh=j;7>53zJ0g6=zfh=j47>53zJ0g6=zfh=j57>53zJ0g6=zfh=jm7>53zJ0g6=zfh=jn7>53zJ0g6=zfh=jo7>53zJ0g6=zfh=jh7>53zJ0g6=zfh=ji7>53zJ0g6=zfh=jj7>53zJ0g6=zfh=i<7>53zJ0g6=zfh=i=7>53zJ0g6=zfh=i>7>53zJ0g6=zfh=i?7>51zJ0g6=zfh=i87>51zJ0g6=zfh=i97>51zJ0g6=zfh=i:7>51zJ0g6=zfh=i;7>51zJ0g6=zfh=i47>51zJ0g6=zfh=i57>51zJ0g6=zfh=im7>51zJ0g6=zfh=in7>51zJ0g6=zfh=io7>51zJ0g6=zfh=ih7>51zJ0g6=zfh=ii7>51zJ0g6=zfh=ij7>51zJ0g6=zfh=h<7>51zJ0g6=zfh=h=7>51zJ0g6=zfh=h>7>51zJ0g6=zfh=h?7>51zJ0g6=zfh=h87>51zJ0g6=zfh=h97>51zJ0g6=zfh=h:7>51zJ0g6=zfh=h;7>51zJ0g6=zfh=h47>51zJ0g6=zfh=h57>51zJ0g6=zfh=hm7>51zJ0g6=zfh=hn7>51zJ0g6=zfh=ho7>51zJ0g6=zfh=hh7>51zJ0g6=zfh=hi7>51zJ0g6=zfh=hj7>51zJ0g6=zfh=o<7>51zJ0g6=zfh=o=7>51zJ0g6=zfh=o>7>51zJ0g6=zfh=o?7>51zJ0g6=zfh=o87>51zJ0g6=zfh=o97>51zJ0g6=zfh=o:7>51zJ0g6=zfh=o;7>51zJ0g6=zfh=o47>51zJ0g6=zfh=o57>51zJ0g6=zfh=om7>51zJ0g6=zfh=on7>51zJ0g6=zfh=oo7>51zJ0g6=zfh=oh7>51zJ0g6=zfh=oi7>51zJ0g6=zfh=oj7>51zJ0g6=zfh=n<7>51zJ0g6=zfh=n=7>51zJ0g6=zfh=n>7>51zJ0g6=zfh=n?7>52zJ0g6=zfh=n87>52zJ0g6=zfh=n97>52zJ0g6=zfh=n:7>52zJ0g6=zfh=n;7>52zJ0g6=zfh=n47>52zJ0g6=zfh=n57>52zJ0g6=zfh=nm7>52zJ0g6=zfh=nn7>52zJ0g6=zfh=no7>52zJ0g6=zfh=nh7>52zJ0g6=zfh=ni7>52zJ0g6=zfh=nj7>52zJ0g6=zfh=m<7>52zJ0g6=zfh=m=7>52zJ0g6=zfh=m>7>52zJ0g6=zfh=m?7>53zJ0g6=zfh=m87>53zJ0g6=zfh=m97>53zJ0g6=zfh=m:7>53zJ0g6=zfh=m;7>53zJ0g6=zfh=m47>53zJ0g6=zfh=m57>53zJ0g6=zfh=mm7>53zJ0g6=zfh=mn7>53zJ0g6=zfh=mo7>53zJ0g6=zfh=mh7>53zJ0g6=zfh=mi7>53zJ0g6=zfh=mj7>53zJ0g6=zfh2;<7>53zJ0g6=zfh2;=7>53zJ0g6=zfh2;>7>53zJ0g6=zfh2;?7>52zJ0g6=zfh2;87>52zJ0g6=zfh2;97>52zJ0g6=zfh2;:7>52zJ0g6=zfh2;;7>52zJ0g6=zfh2;47>52zJ0g6=zfh2;57>52zJ0g6=zfh2;m7>52zJ0g6=zfh2;n7>52zJ0g6=zfh2;o7>52zJ0g6=zfh2;h7>52zJ0g6=zfh2;i7>52zJ0g6=zfh2;j7>52zJ0g6=zfh2:<7>52zJ0g6=zfh2:=7>52zJ0g6=zfh2:>7>55zJ0g6=zfh2:?7>55zJ0g6=zfh2:87>55zJ0g6=zfh2:97>55zJ0g6=zfh2::7>52zJ0g6=zfh2:;7>52zJ0g6=zfh2:47>52zJ0g6=zfh2:57>52zJ0g6=zfh2:m7>55zJ0g6=zfh2:n7>55zJ0g6=zfh2:o7>55zJ0g6=zfh2:h7>55zJ0g6=zfh2:i7>55zJ0g6=zfh2:j7>52zJ0g6=zfh29<7>52zJ0g6=zfh29=7>55zJ0g6=zfh29>7>55zJ0g6=zfh29?7>55zJ0g6=zfh2987>55zJ0g6=zfh2997>55zJ0g6=zfh29:7>52zJ0g6=zfh29;7>52zJ0g6=zfh2947>55zJ0g6=zfh2957>55zJ0g6=zfh29m7>55zJ0g6=zfh29n7>55zJ0g6=zfh29o7>52zJ0g6=zfh29h7>52zJ0g6=zfh29i7>55zJ0g6=zfh29j7>55zJ0g6=zfh28<7>55zJ0g6=zfh28=7>55zJ0g6=zfh28>7>52zJ0g6=zfh28?7>52zJ0g6=zfh2887>52zJ0g6=zfh2897>52zJ0g6=zfh28:7>52zJ0g6=zfh28;7>52zJ0g6=zfh2847>52zJ0g6=zfh2857>52zJ0g6=zfh28m7>52zJ0g6=zfh28n7>52zJ0g6=zfh28o7>52zJ0g6=zfh28h7>52zJ0g6=zfh28i7>52zJ0g6=zfh28j7>52zJ0g6=zfh2?<7>52zJ0g6=zfh2?=7>52zJ0g6=zfh2?>7>52zJ0g6=zfh2??7>52zJ0g6=zfh2?87>52zJ0g6=zfh2?97>52zJ0g6=zfh2?:7>52zJ0g6=zfh2?;7>52zJ0g6=zfh2?47>52zJ0g6=zfh2?57>52zJ0g6=zfh2?m7>52zJ0g6=zfh2?n7>52zJ0g6=zfh2?o7>52zJ0g6=zfh2?h7>52zJ0g6=zfh2?i7>52zJ0g6=zfh2?j7>52zJ0g6=zfh2><7>52zJ0g6=zfh2>=7>52zJ0g6=zfh2>>7>52zJ0g6=zfh2>?7>52zJ0g6=zfh2>87>52zJ0g6=zfh2>97>52zJ0g6=zfh2>:7>52zJ0g6=zfh2>;7>52zJ0g6=zfh2>47>52zJ0g6=zfh2>57>52zJ0g6=zfh2>m7>52zJ0g6=zfh2>n7>52zJ0g6=zfh2>o7>52zJ0g6=zfh2>h7>52zJ0g6=zfh2>i7>52zJ0g6=zfh2>j7>52zJ0g6=zfh2=<7>52zJ0g6=zfh2==7>52zJ0g6=zfh2=>7>52zJ0g6=zfh2=?7>52zJ0g6=zfh2=87>52zJ0g6=zfh2=97>52zJ0g6=zfh2=:7>52zJ0g6=zfh2=;7>52zJ0g6=zfh2=47>52zJ0g6=zfh2=57>52zJ0g6=zfh2=m7>52zJ0g6=zfh2=n7>52zJ0g6=zfh2=o7>52zJ0g6=zfh2=h7>52zJ0g6=zfh2=i7>52zJ0g6=zfh2=j7>52zJ0g6=zfh2<<7>52zJ0g6=zfh2<=7>52zJ0g6=zfh2<>7>52zJ0g6=zfh252zJ0g6=zfh2<87>52zJ0g6=zfh2<97>52zJ0g6=zfh2<:7>52zJ0g6=zfh2<;7>52zJ0g6=zfh2<47>52zJ0g6=zfh2<57>52zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh252zJ0g6=zfh23<7>52zJ0g6=zfh23=7>52zJ0g6=zfh23>7>52zJ0g6=zfh23?7>52zJ0g6=zfh2387>52zJ0g6=zfh2397>52zJ0g6=zfh23:7>52zJ0g6=zfh23;7>52zJ0g6=zfh2347>52zJ0g6=zfh2357>52zJ0g6=zfh23m7>52zJ0g6=zfh23n7>52zJ0g6=zfh23o7>52zJ0g6=zfh23h7>52zJ0g6=zfh23i7>52zJ0g6=zfh23j7>52zJ0g6=zfh22<7>52zJ0g6=zfh22=7>52zJ0g6=zfh22>7>52zJ0g6=zfh22?7>52zJ0g6=zfh2287>52zJ0g6=zfh2297>52zJ0g6=zfh22:7>52zJ0g6=zfh22;7>52zJ0g6=zfh2247>52zJ0g6=zfh2257>52zJ0g6=zfh22m7>52zJ0g6=zfh22n7>52zJ0g6=zfh22o7>52zJ0g6=zfh22h7>52zJ0g6=zfh22i7>52zJ0g6=zfh22j7>52zJ0g6=zfh2j<7>52zJ0g6=zfh2j=7>52zJ0g6=zfh2j>7>52zJ0g6=zfh2j?7>52zJ0g6=zfh2j87>52zJ0g6=zfh2j97>52zJ0g6=zfh2j:7>52zJ0g6=zfh2j;7>52zJ0g6=zfh2j47>52zJ0g6=zfh2j57>52zJ0g6=zfh2jm7>52zJ0g6=zfh2jn7>52zJ0g6=zfh2jo7>52zJ0g6=zfh2jh7>52zJ0g6=zfh2ji7>52zJ0g6=zfh2jj7>52zJ0g6=zfh2i<7>52zJ0g6=zfh2i=7>52zJ0g6=zfh2i>7>52zJ0g6=zfh2i?7>52zJ0g6=zfh2i87>52zJ0g6=zfh2i97>52zJ0g6=zfh2i:7>52zJ0g6=zfh2i;7>52zJ0g6=zfh2i47>52zJ0g6=zfh2i57>52zJ0g6=zfh2im7>52zJ0g6=zfh2in7>52zJ0g6=zfh2io7>52zJ0g6=zfh2ih7>52zJ0g6=zfh2ii7>52zJ0g6=zfh2ij7>52zJ0g6=zfh2h<7>52zJ0g6=zfh2h=7>52zJ0g6=zfh2h>7>52zJ0g6=zfh2h?7>52zJ0g6=zfh2h87>52zJ0g6=zfh2h97>52zJ0g6=zfh2h:7>52zJ0g6=zfh2h;7>52zJ0g6=zfh2h47>52zJ0g6=zfh2h57>52zJ0g6=zfh2hm7>52zJ0g6=zfh2hn7>52zJ0g6=zfh2ho7>52zJ0g6=zfh2hh7>52zJ0g6=zfh2hi7>52zJ0g6=zfh2hj7>52zJ0g6=zfh2o<7>52zJ0g6=zfh2o=7>52zJ0g6=zfh2o>7>52zJ0g6=zfh2o?7>52zJ0g6=zfh2o87>52zJ0g6=zfh2o97>52zJ0g6=zfh2o:7>52zJ0g6=zfh2o;7>52zJ0g6=zfh2o47>52zJ0g6=zfh2o57>52zJ0g6=zfh2om7>52zJ0g6=zfh2on7>52zJ0g6=zfh2oo7>52zJ0g6=zfh2oh7>52zJ0g6=zfh2oi7>52zJ0g6=zfh2oj7>52zJ0g6=zfh2n<7>52zJ0g6=zfh2n=7>52zJ0g6=zfh2n>7>52zJ0g6=zfh2n?7>52zJ0g6=zfh2n87>52zJ0g6=zfh2n97>52zJ0g6=zfh2n:7>52zJ0g6=zfh2n;7>52zJ0g6=zfh2n47>52zJ0g6=zfh2n57>52zJ0g6=zfh2nm7>52zJ0g6=zfh2nn7>52zJ0g6=zfh2no7>52zJ0g6=zfh2nh7>52zJ0g6=zfh2ni7>52zJ0g6=zfh2nj7>52zJ0g6=zfh2m<7>52zJ0g6=zfh2m=7>52zJ0g6=zfh2m>7>52zJ0g6=zfh2m?7>52zJ0g6=zfh2m87>52zJ0g6=zfh2m97>52zJ0g6=zfh2m:7>52zJ0g6=zfh2m;7>52zJ0g6=zfh2m47>52zJ0g6=zfh2m57>52zJ0g6=zfh2mm7>52zJ0g6=zfh2mn7>52zJ0g6=zfh2mo7>52zJ0g6=zfh2mh7>52zJ0g6=zfh2mi7>52zJ0g6=zfh2mj7>52zJ0g6=zfh3;<7>52zJ0g6=zfh3;=7>52zJ0g6=zfh3;>7>52zJ0g6=zfh3;?7>52zJ0g6=zfh3;87>52zJ0g6=zfh3;97>52zJ0g6=zfh3;:7>52zJ0g6=zfh3;;7>52zJ0g6=zfh3;47>52zJ0g6=zfh3;57>52zJ0g6=zfh3;m7>52zJ0g6=zfh3;n7>52zJ0g6=zfh3;o7>52zJ0g6=zfh3;h7>52zJ0g6=zfh3;i7>52zJ0g6=zfh3;j7>52zJ0g6=zfh3:<7>52zJ0g6=zfh3:=7>52zJ0g6=zfh3:>7>53zJ0g6=zfh3:?7>53zJ0g6=zfh3:87>53zJ0g6=zfh3:97>53zJ0g6=zfh3::7>53zJ0g6=zfh3:;7>53zJ0g6=zfh3:47>53zJ0g6=zfh3:57>53zJ0g6=zfh3:m7>53zJ0g6=zfh3:n7>53zJ0g6=zfh3:o7>53zJ0g6=zfh3:h7>53zJ0g6=zfh3:i7>53zJ0g6=zfh3:j7>53zJ0g6=zfh39<7>53zJ0g6=zfh39=7>53zJ0g6=zfh39>7>53zJ0g6=zfh39?7>53zJ0g6=zfh3987>53zJ0g6=zfh3997>53zJ0g6=zfh39:7>53zJ0g6=zfh39;7>53zJ0g6=zfh3947>53zJ0g6=zfh3957>53zJ0g6=zfh39m7>53zJ0g6=zfh39n7>53zJ0g6=zfh39o7>53zJ0g6=zfh39h7>53zJ0g6=zfh39i7>53zJ0g6=zfh39j7>53zJ0g6=zfh38<7>53zJ0g6=zfh38=7>53zJ0g6=zfh38>7>53zJ0g6=zfh38?7>53zJ0g6=zfh3887>53zJ0g6=zfh3897>53zJ0g6=zfh38:7>53zJ0g6=zfh38;7>53zJ0g6=zfh3847>53zJ0g6=zfh3857>53zJ0g6=zfh38m7>53zJ0g6=zfh38n7>53zJ0g6=zfh38o7>53zJ0g6=zfh38h7>53zJ0g6=zfh38i7>53zJ0g6=zfh38j7>53zJ0g6=zfh3?<7>53zJ0g6=zfh3?=7>53zJ0g6=zfh3?>7>53zJ0g6=zfh3??7>53zJ0g6=zfh3?87>53zJ0g6=zfh3?97>53zJ0g6=zfh3?:7>53zJ0g6=zfh3?;7>53zJ0g6=zfh3?47>53zJ0g6=zfh3?57>53zJ0g6=zfh3?m7>53zJ0g6=zfh3?n7>53zJ0g6=zfh3?o7>53zJ0g6=zfh3?h7>53zJ0g6=zfh3?i7>53zJ0g6=zfh3?j7>53zJ0g6=zfh3><7>53zJ0g6=zfh3>=7>53zJ0g6=zfh3>>7>53zJ0g6=zfh3>?7>53zJ0g6=zfh3>87>53zJ0g6=zfh3>97>53zJ0g6=zfh3>:7>53zJ0g6=zfh3>;7>53zJ0g6=zfh3>47>53zJ0g6=zfh3>57>53zJ0g6=zfh3>m7>53zJ0g6=zfh3>n7>53zJ0g6=zfh3>o7>53zJ0g6=zfh3>h7>53zJ0g6=zfh3>i7>53zJ0g6=zfh3>j7>53zJ0g6=zfh3=<7>53zJ0g6=zfh3==7>53zJ0g6=zfh3=>7>53zJ0g6=zfh3=?7>53zJ0g6=zfh3=87>53zJ0g6=zfh3=97>53zJ0g6=zfh3=:7>53zJ0g6=zfh3=;7>53zJ0g6=zfh3=47>53zJ0g6=zfh3=57>53zJ0g6=zfh3=m7>53zJ0g6=zfh3=n7>53zJ0g6=zfh3=o7>53zJ0g6=zfh3=h7>53zJ0g6=zfh3=i7>53zJ0g6=zfh3=j7>53zJ0g6=zfh3<<7>53zJ0g6=zfh3<=7>53zJ0g6=zfh3<>7>53zJ0g6=zfh353zJ0g6=zfh3<87>53zJ0g6=zfh3<97>53zJ0g6=zfh3<:7>53zJ0g6=zfh3<;7>53zJ0g6=zfh3<47>53zJ0g6=zfh3<57>53zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh353zJ0g6=zfh352zJ0g6=zfh352zJ0g6=zfh33<7>52zJ0g6=zfh33=7>52zJ0g6=zfh33>7>52zJ0g6=zfh33?7>52zJ0g6=zfh3387>52zJ0g6=zfh3397>52zJ0g6=zfh33:7>52zJ0g6=zfh33;7>52zJ0g6=zfh3347>52zJ0g6=zfh3357>52zJ0g6=zfh33m7>52zJ0g6=zfh33n7>52zJ0g6=zfh33o7>52zJ0g6=zfh33h7>52zJ0g6=zfh33i7>52zJ0g6=zfh33j7>52zJ0g6=zfh32<7>52zJ0g6=zfh32=7>52zJ0g6=zfh32>7>52zJ0g6=zfh32?7>52zJ0g6=zfh3287>52zJ0g6=zfh3297>52zJ0g6=zfh32:7>52zJ0g6=zfh32;7>52zJ0g6=zfh3247>52zJ0g6=zfh3257>52zJ0g6=zfh32m7>52zJ0g6=zfh32n7>52zJ0g6=zfh32o7>52zJ0g6=zfh32h7>52zJ0g6=zfh32i7>52zJ0g6=zfh32j7>52zJ0g6=zfh3j<7>52zJ0g6=zfh3j=7>52zJ0g6=zfh3j>7>52zJ0g6=zfh3j?7>52zJ0g6=zfh3j87>52zJ0g6=zfh3j97>52zJ0g6=zfh3j:7>52zJ0g6=zfh3j;7>52zJ0g6=zfh3j47>52zJ0g6=zfh3j57>52zJ0g6=zfh3jm7>52zJ0g6=zfh3jn7>52zJ0g6=zfh3jo7>52zJ0g6=zfh3jh7>52zJ0g6=zfh3ji7>52zJ0g6=zfh3jj7>52zJ0g6=zfh3i<7>52zJ0g6=zfh3i=7>52zJ0g6=zfh3i>7>52zJ0g6=zfh3i?7>52zJ0g6=zfh3i87>52zJ0g6=zfh3i97>52zJ0g6=zfh3i:7>52zJ0g6=zfh3i;7>52zJ0g6=zfh3i47>52zJ0g6=zfh3i57>52zJ0g6=zfh3im7>52zJ0g6=zfh3in7>52zJ0g6=zfh3io7>52zJ0g6=zfh3ih7>52zJ0g6=zfh3ii7>52zJ0g6=zfh3ij7>52zJ0g6=zfh3h<7>52zJ0g6=zfh3h=7>52zJ0g6=zfh3h>7>52zJ0g6=zfh3h?7>52zJ0g6=zfh3h87>52zJ0g6=zfh3h97>52zJ0g6=zfh3h:7>52zJ0g6=zfh3h;7>52zJ0g6=zfh3h47>52zJ0g6=zfh3h57>52zJ0g6=zfh3hm7>52zJ0g6=zfh3hn7>52zJ0g6=zfh3ho7>52zJ0g6=zfh3hh7>52zJ0g6=zfh3hi7>52zJ0g6=zfh3hj7>52zJ0g6=zfh3o<7>52zJ0g6=zfh3o=7>52zJ0g6=zfh3o>7>52zJ0g6=zfh3o?7>52zJ0g6=zfh3o87>52zJ0g6=zfh3o97>52zJ0g6=zfh3o:7>52zJ0g6=zfh3o;7>52zJ0g6=zfh3o47>52zJ0g6=zfh3o57>52zJ0g6=zfh3om7>52zJ0g6=zfh3on7>52zJ0g6=zfh3oo7>52zJ0g6=zfh3oh7>52zJ0g6=zfh3oi7>52zJ0g6=zfh3oj7>52zJ0g6=zfh3n<7>52zJ0g6=zfh3n=7>52zJ0g6=zfh3n>7>52zJ0g6=zfh3n?7>52zJ0g6=zfh3n87>52zJ0g6=zfh3n97>52zJ0g6=zfh3n:7>52zJ0g6=zfh3n;7>52zJ0g6=zfh3n47>52zJ0g6=zfh3n57>52zJ0g6=zfh3nm7>52zJ0g6=zfh3nn7>52zJ0g6=zfh3no7>52zJ0g6=zfh3nh7>52zJ0g6=zfh3ni7>51zJ0g6=zfh3nj7>51zJ0g6=zfh3m<7>52zJ0g6=zfh3m=7>51zJ0g6=zfh3m>7>51zJ0g6=zfh3m?7>51zJ0g6=zfh3m87>51zJ0g6=zfh3m97>51zJ0g6=zfh3m:7>51zJ0g6=zfh3m;7>51zJ0g6=zfh3m47>51zJ0g6=zfh3m57>51zJ0g6=zfh3mm7>51zJ0g6=zfh3mn7>51zJ0g6=zfh3mo7>51zJ0g6=zfh3mh7>51zJ0g6=zfh3mi7>51zJ0g6=zfh3mj7>51zJ0g6=zfhk;<7>51zJ0g6=zfhk;=7>51zJ0g6=zfhk;>7>51zJ0g6=zfhk;?7>51zJ0g6=zfhk;87>51zJ0g6=zfhk;97>51zJ0g6=zfhk;:7>51zJ0g6=zfhk;;7>51zJ0g6=zfhk;47>51zJ0g6=zfhk;57>51zJ0g6=zfhk;m7>51zJ0g6=zfhk;n7>51zJ0g6=zfhk;o7>51zJ0g6=zfhk;h7>51zJ0g6=zfhk;i7>51zJ0g6=zfhk;j7>51zJ0g6=zfhk:<7>51zJ0g6=zfhk:=7>51zJ0g6=zfhk:>7>51zJ0g6=zfhk:?7>51zJ0g6=zfhk:87>51zJ0g6=zfhk:97>51zJ0g6=zfhk::7>51zJ0g6=zfhk:;7>51zJ0g6=zfhk:47>51zJ0g6=zfhk:57>51zJ0g6=zfhk:m7>51zJ0g6=zfhk:n7>51zJ0g6=zfhk:o7>51zJ0g6=zfhk:h7>51zJ0g6=zfhk:i7>51zJ0g6=zfhk:j7>51zJ0g6=zfhk9<7>51zJ0g6=zfhk9=7>51zJ0g6=zfhk9>7>51zJ0g6=zfhk9?7>51zJ0g6=zfhk987>51zJ0g6=zfhk997>51zJ0g6=zfhk9:7>51zJ0g6=zfhk9;7>51zJ0g6=zfhk947>51zJ0g6=zfhk957>51zJ0g6=zfhk9m7>51zJ0g6=zfhk9n7>51zJ0g6=zfhk9o7>51zJ0g6=zfhk9h7>51zJ0g6=zfhk9i7>51zJ0g6=zfhk9j7>51zJ0g6=zfhk8<7>51zJ0g6=zfhk8=7>51zJ0g6=zfhk8>7>51zJ0g6=zfhk8?7>51zJ0g6=zfhk887>51zJ0g6=zfhk897>51zJ0g6=zfhk8:7>51zJ0g6=zfhk8;7>51zJ0g6=zfhk847>51zJ0g6=zfhk857>51zJ0g6=zfhk8m7>51zJ0g6=zfhk8n7>51zJ0g6=zfhk8o7>51zJ0g6=zfhk8h7>51zJ0g6=zfhk8i7>51zJ0g6=zfhk8j7>51zJ0g6=zfhk?<7>51zJ0g6=zfhk?=7>51zJ0g6=zfhk?>7>51zJ0g6=zfhk??7>51zJ0g6=zfhk?87>51zJ0g6=zfhk?97>51zJ0g6=zfhk?:7>51zJ0g6=zfhk?;7>51zJ0g6=zfhk?47>51zJ0g6=zfhk?57>51zJ0g6=zfhk?m7>51zJ0g6=zfhk?n7>51zJ0g6=zfhk?o7>51zJ0g6=zfhk?h7>51zJ0g6=zfhk?i7>51zJ0g6=zfhk?j7>51zJ0g6=zfhk><7>51zJ0g6=zfhk>=7>51zJ0g6=zfhk>>7>51zJ0g6=zfhk>?7>51zJ0g6=zfhk>87>51zJ0g6=zfhk>97>51zJ0g6=zfhk>:7>51zJ0g6=zfhk>;7>51zJ0g6=zfhk>47>51zJ0g6=zfhk>57>51zJ0g6=zfhk>m7>51zJ0g6=zfhk>n7>51zJ0g6=zfhk>o7>51zJ0g6=zfhk>h7>51zJ0g6=zfhk>i7>51zJ0g6=zfhk>j7>51zJ0g6=zfhk=<7>51zJ0g6=zfhk==7>51zJ0g6=zfhk=>7>51zJ0g6=zfhk=?7>51zJ0g6=zfhk=87>51zJ0g6=zfhk=97>51zJ0g6=zfhk=:7>51zJ0g6=zfhk=;7>51zJ0g6=zfhk=47>51zJ0g6=zfhk=57>51zJ0g6=zfhk=m7>51zJ0g6=zfhk=n7>51zJ0g6=zfhk=o7>51zJ0g6=zfhk=h7>51zJ0g6=zfhk=i7>51zJ0g6=zfhk=j7>51zJ0g6=zfhk<<7>51zJ0g6=zfhk<=7>51zJ0g6=zfhk<>7>51zJ0g6=zfhk51zJ0g6=zfhk<87>51zJ0g6=zfhk<97>51zJ0g6=zfhk<:7>51zJ0g6=zfhk<;7>51zJ0g6=zfhk<47>51zJ0g6=zfhk<57>51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk51zJ0g6=zfhk3<7>51zJ0g6=zfhk3=7>51zJ0g6=zfhk3>7>51zJ0g6=zfhk3?7>51zJ0g6=zfhk387>51zJ0g6=zfhk397>51zJ0g6=zfhk3:7>51zJ0g6=zfhk3;7>51zJ0g6=zfhk347>51zJ0g6=zfhk357>51zJ0g6=zfhk3m7>51zJ0g6=zfhk3n7>51zJ0g6=zfhk3o7>51zJ0g6=zfhk3h7>51zJ0g6=zfhk3i7>51zJ0g6=zfhk3j7>51zJ0g6=zfhk2<7>51zJ0g6=zfhk2=7>51zJ0g6=zfhk2>7>51zJ0g6=zfhk2?7>51zJ0g6=zfhk287>51zJ0g6=zfhk297>51zJ0g6=zfhk2:7>51zJ0g6=zfhk2;7>51zJ0g6=zfhk247>51zJ0g6=zfhk257>51zJ0g6=zfhk2m7>51zJ0g6=zfhk2n7>51zJ0g6=zfhk2o7>51zJ0g6=zfhk2h7>51zJ0g6=zfhk2i7>51zJ0g6=zfhk2j7>51zJ0g6=zfhkj<7>51zJ0g6=zfhkj=7>51zJ0g6=zfhkj>7>51zJ0g6=zfhkj?7>51zJ0g6=zfhkj87>51zJ0g6=zfhkj97>51zJ0g6=zfhkj:7>51zJ0g6=zfhkj;7>51zJ0g6=zfhkj47>51zJ0g6=zfhkj57>51zJ0g6=zfhkjm7>51zJ0g6=zfhkjn7>51zJ0g6=zfhkjo7>51zJ0g6=zfhkjh7>51zJ0g6=zfhkji7>51zJ0g6=zfhkjj7>51zJ0g6=zfhki<7>51zJ0g6=zfhki=7>51zJ0g6=zfhki>7>51zJ0g6=zfhki?7>51zJ0g6=zfhki87>51zJ0g6=zfhki97>51zJ0g6=zfhki:7>51zJ0g6=zfhki;7>51zJ0g6=zfhki47>51zJ0g6=zfhki57>51zJ0g6=zfhkim7>51zJ0g6=zfhkin7>51zJ0g6=zfhkio7>51zJ0g6=zfhkih7>51zJ0g6=zfhkii7>51zJ0g6=zfhkij7>51zJ0g6=zfhkh<7>51zJ0g6=zfhkh=7>51zJ0g6=zfhkh>7>51zJ0g6=zfhkh?7>51zJ0g6=zfhkh87>51zJ0g6=zfhkh97>51zJ0g6=zfhkh:7>51zJ0g6=zfhkh;7>51zJ0g6=zfhkh47>51zJ0g6=zfhkh57>51zJ0g6=zfhkhm7>51zJ0g6=zfhkhn7>51zJ0g6=zfhkho7>51zJ0g6=zfhkhh7>51zJ0g6=zfhkhi7>51zJ0g6=zfhkhj7>51zJ0g6=zfhko<7>51zJ0g6=zfhko=7>51zJ0g6=zfhko>7>51zJ0g6=zfhko?7>51zJ0g6=zfhko87>51zJ0g6=zfhko97>51zJ0g6=zfhko:7>51zJ0g6=zfhko;7>51zJ0g6=zfhko47>51zJ0g6=zfhko57>51zJ0g6=zfhkom7>51zJ0g6=zfhkon7>51zJ0g6=zfhkoo7>51zJ0g6=zfhkoh7>51zJ0g6=zfhkoi7>51zJ0g6=zfhkoj7>51zJ0g6=zfhkn<7>51zJ0g6=zfhkn=7>51zJ0g6=zfhkn>7>51zJ0g6=zfhkn?7>51zJ0g6=zfhkn87>51zJ0g6=zfhkn97>51zJ0g6=zfhkn:7>51zJ0g6=zfhkn;7>51zJ0g6=zfhkn47>51zJ0g6=zfhkn57>51zJ0g6=zfhknm7>51zJ0g6=zfhknn7>51zJ0g6=zfhkno7>51zJ0g6=zfhknh7>51zJ0g6=zfhkni7>51zJ0g6=zfhknj7>51zJ0g6=zfhkm<7>51zJ0g6=zfhkm=7>51zJ0g6=zfhkm>7>51zJ0g6=zfhkm?7>51zJ0g6=zfhkm87>51zJ0g6=zfhkm97>51zJ0g6=zfhkm:7>51zJ0g6=zfhkm;7>51zJ0g6=zfhkm47>51zJ0g6=zfhkm57>51zJ0g6=zfhkmm7>51zJ0g6=zfhkmn7>51zJ0g6=zfhkmo7>51zJ0g6=zfhkmh7>51zJ0g6=zfhkmi7>51zJ0g6=zfhkmj7>51zJ0g6=zfhh;<7>51zJ0g6=zfhh;=7>51zJ0g6=zfhh;>7>51zJ0g6=zfhh;?7>51zJ0g6=zfhh;87>51zJ0g6=zfhh;97>51zJ0g6=zfhh;:7>51zJ0g6=zfhh;;7>51zJ0g6=zfhh;47>51zJ0g6=zfhh;57>51zJ0g6=zfhh;m7>51zJ0g6=zfhh;n7>51zJ0g6=zfhh;o7>51zJ0g6=zfhh;h7>51zJ0g6=zfhh;i7>51zJ0g6=zfhh;j7>51zJ0g6=zfhh:<7>51zJ0g6=zfhh:=7>51zJ0g6=zfhh:>7>51zJ0g6=zfhh:?7>51zJ0g6=zfhh:87>51zJ0g6=zfhh:97>51zJ0g6=zfhh::7>51zJ0g6=zfhh:;7>51zJ0g6=zfhh:47>51zJ0g6=zfhh:57>51zJ0g6=zfhh:m7>51zJ0g6=zfhh:n7>51zJ0g6=zfhh:o7>51zJ0g6=zfhh:h7>51zJ0g6=zfhh:i7>51zJ0g6=zfhh:j7>51zJ0g6=zfhh9<7>51zJ0g6=zfhh9=7>51zJ0g6=zfhh9>7>51zJ0g6=zfhh9?7>51zJ0g6=zfhh987>51zJ0g6=zfhh997>51zJ0g6=zfhh9:7>51zJ0g6=zfhh9;7>51zJ0g6=zfhh947>51zJ0g6=zfhh957>51zJ0g6=zfhh9m7>51zJ0g6=zfhh9n7>51zJ0g6=zfhh9o7>51zJ0g6=zfhh9h7>51zJ0g6=zfhh9i7>51zJ0g6=zfhh9j7>51zJ0g6=zfhh8<7>51zJ0g6=zfhh8=7>51zJ0g6=zfhh8>7>51zJ0g6=zfhh8?7>51zJ0g6=zfhh887>51zJ0g6=zfhh897>51zJ0g6=zfhh8:7>51zJ0g6=zfhh8;7>51zJ0g6=zfhh847>51zJ0g6=zfhh857>51zJ0g6=zfhh8m7>51zJ0g6=zfhh8n7>51zJ0g6=zfhh8o7>51zJ0g6=zfhh8h7>51zJ0g6=zfhh8i7>51zJ0g6=zfhh8j7>51zJ0g6=zfhh?<7>51zJ0g6=zfhh?=7>51zJ0g6=zfhh?>7>51zJ0g6=zfhh??7>51zJ0g6=zfhh?87>51zJ0g6=zfhh?97>51zJ0g6=zfhh?:7>51zJ0g6=zfhh?;7>51zJ0g6=zfhh?47>51zJ0g6=zfhh?57>51zJ0g6=zfhh?m7>51zJ0g6=zfhh?n7>51zJ0g6=zfhh?o7>51zJ0g6=zfhh?h7>51zJ0g6=zfhh?i7>51zJ0g6=zfhh?j7>51zJ0g6=zfhh><7>51zJ0g6=zfhh>=7>51zJ0g6=zfhh>>7>51zJ0g6=zfhh>?7>51zJ0g6=zfhh>87>51zJ0g6=zfhh>97>51zJ0g6=zfhh>:7>51zJ0g6=zfhh>;7>51zJ0g6=zfhh>47>51zJ0g6=zfhh>57>51zJ0g6=zfhh>m7>51zJ0g6=zfhh>n7>51zJ0g6=zfhh>o7>51zJ0g6=zfhh>h7>51zJ0g6=zfhh>i7>51zJ0g6=zfhh>j7>51zJ0g6=zfhh=<7>51zJ0g6=zfhh==7>51zJ0g6=zfhh=>7>51zJ0g6=zfhh=?7>51zJ0g6=zfhh=87>51zJ0g6=zfhh=97>51zJ0g6=zfhh=:7>51zJ0g6=zfhh=;7>51zJ0g6=zfhh=47>51zJ0g6=zfhh=57>51zJ0g6=zfhh=m7>51zJ0g6=zfhh=n7>51zJ0g6=zfhh=o7>51zJ0g6=zfhh=h7>51zJ0g6=zfhh=i7>51zJ0g6=zfhh=j7>51zJ0g6=zfhh<<7>51zJ0g6=zfhh<=7>51zJ0g6=zfhh<>7>51zJ0g6=zfhh51zJ0g6=zfhh<87>51zJ0g6=zfhh<97>51zJ0g6=zfhh<:7>51zJ0g6=zfhh<;7>51zJ0g6=zfhh<47>51zJ0g6=zfhh<57>51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh51zJ0g6=zfhh3<7>51zJ0g6=zfhh3=7>51zJ0g6=zfhh3>7>51zJ0g6=zfhh3?7>51zJ0g6=zfhh387>51zJ0g6=zfhh397>51zJ0g6=zfhh3:7>51zJ0g6=zfhh3;7>51zJ0g6=zfhh347>51zJ0g6=zfhh357>51zJ0g6=zfhh3m7>51zJ0g6=zfhh3n7>51zJ0g6=zfhh3o7>51zJ0g6=zfhh3h7>51zJ0g6=zfhh3i7>51zJ0g6=zfhh3j7>51zJ0g6=zfhh2<7>51zJ0g6=zfhh2=7>51zJ0g6=zfhh2>7>51zJ0g6=zfhh2?7>51zJ0g6=zfhh287>51zJ0g6=zfhh297>51zJ0g6=zfhh2:7>51zJ0g6=zfhh2;7>51zJ0g6=zfhh247>51zJ0g6=zfhh257>51zJ0g6=zfhh2m7>51zJ0g6=zfhh2n7>51zJ0g6=zfhh2o7>51zJ0g6=zfhh2h7>51zJ0g6=zfhh2i7>51zJ0g6=zfhh2j7>51zJ0g6=zfhhj<7>51zJ0g6=zfhhj=7>51zJ0g6=zfhhj>7>51zJ0g6=zfhhj?7>51zJ0g6=zfhhj87>51zJ0g6=zfhhj97>51zJ0g6=zfhhj:7>51zJ0g6=zfhhj;7>51zJ0g6=zfhhj47>51zJ0g6=zfhhj57>51zJ0g6=zfhhjm7>51zJ0g6=zfhhjn7>51zJ0g6=zfhhjo7>51zJ0g6=zfhhjh7>51zJ0g6=zfhhji7>51zJ0g6=zfhhjj7>51zJ0g6=zfhhi<7>51zJ0g6=zfhhi=7>51zJ0g6=zfhhi>7>51zJ0g6=zfhhi?7>51zJ0g6=zfhhi87>51zJ0g6=zfhhi97>51zJ0g6=zfhhi:7>51zJ0g6=zfhhi;7>51zJ0g6=zfhhi47>51zJ0g6=zfhhi57>51zJ0g6=zfhhim7>51zJ0g6=zfhhin7>51zJ0g6=zfhhio7>51zJ0g6=zfhhih7>51zJ0g6=zfhhii7>51zJ0g6=zfhhij7>51zJ0g6=zfhhh<7>51zJ0g6=zfhhh=7>51zJ0g6=zfhhh>7>51zJ0g6=zfhhh?7>51zJ0g6=zfhhh87>51zJ0g6=zfhhh97>51zJ0g6=zfhhh:7>51zJ0g6=zfhhh;7>51zJ0g6=zfhhh47>51zJ0g6=zfhhh57>51zJ0g6=zfhhhm7>51zJ0g6=zfhhhn7>51zJ0g6=zfhhho7>51zJ0g6=zfhhhh7>51zJ0g6=zfhhhi7>51zJ0g6=zfhhhj7>51zJ0g6=zfhho<7>51zJ0g6=zfhho=7>51zJ0g6=zfhho>7>51zJ0g6=zfhho?7>51zJ0g6=zfhho87>51zJ0g6=zfhho97>51zJ0g6=zfhho:7>51zJ0g6=zfhho;7>51zJ0g6=zfhho47>51zJ0g6=zfhho57>51zJ0g6=zfhhom7>51zJ0g6=zfhhon7>51zJ0g6=zfhhoo7>51zJ0g6=zfhhoh7>51zJ0g6=zfhhoi7>51zJ0g6=zfhhoj7>51zJ0g6=zfhhn<7>51zJ0g6=zfhhn=7>51zJ0g6=zfhhn>7>51zJ0g6=zfhhn?7>51zJ0g6=zfhhn87>51zJ0g6=zfhhn97>51zJ0g6=zfhhn:7>51zJ0g6=zfhhn;7>51zJ0g6=zfhhn47>51zJ0g6=zfhhn57>51zJ0g6=zfhhnm7>51zJ0g6=zfhhnn7>51zJ0g6=zfhhno7>51zJ0g6=zfhhnh7>51zJ0g6=zfhhni7>51zJ0g6=zfhhnj7>51zJ0g6=zfhhm<7>51zJ0g6=zfhhm=7>51zJ0g6=zfhhm>7>51zJ0g6=zfhhm?7>51zJ0g6=zfhhm87>51zJ0g6=zfhhm97>51zJ0g6=zfhhm:7>51zJ0g6=zfhhm;7>51zJ0g6=zfhhm47>51zJ0g6=zfhhm57>51zJ0g6=zfhhmm7>51zJ0g6=zfhhmn7>51zJ0g6=zfhhmo7>51zJ0g6=zfhhmh7>51zJ0g6=zfhhmi7>51zJ0g6=zfhhmj7>51zJ0g6=zfhi;<7>51zJ0g6=zfhi;=7>51zJ0g6=zfhi;>7>51zJ0g6=zfhi;?7>51zJ0g6=zfhi;87>51zJ0g6=zfhi;97>51zJ0g6=zfhi;:7>51zJ0g6=zfhi;;7>51zJ0g6=zfhi;47>51zJ0g6=zfhi;57>51zJ0g6=zfhi;m7>51zJ0g6=zfhi;n7>51zJ0g6=zfhi;o7>51zJ0g6=zfhi;h7>51zJ0g6=zfhi;i7>51zJ0g6=zfhi;j7>51zJ0g6=zfhi:<7>51zJ0g6=zfhi:=7>51zJ0g6=zfhi:>7>51zJ0g6=zfhi:?7>51zJ0g6=zfhi:87>51zJ0g6=zfhi:97>51zJ0g6=zfhi::7>51zJ0g6=zfhi:;7>51zJ0g6=zfhi:47>51zJ0g6=zfhi:57>51zJ0g6=zfhi:m7>51zJ0g6=zfhi:n7>51zJ0g6=zfhi:o7>51zJ0g6=zfhi:h7>51zJ0g6=zfhi:i7>51zJ0g6=zfhi:j7>51zJ0g6=zfhi9<7>51zJ0g6=zfhi9=7>51zJ0g6=zfhi9>7>51zJ0g6=zfhi9?7>51zJ0g6=zfhi987>51zJ0g6=zfhi997>51zJ0g6=zfhi9:7>51zJ0g6=zfhi9;7>51zJ0g6=zfhi947>51zJ0g6=zfhi957>51zJ0g6=zfhi9m7>51zJ0g6=zfhi9n7>51zJ0g6=zfhi9o7>51zJ0g6=zfhi9h7>51zJ0g6=zfhi9i7>51zJ0g6=zfhi9j7>51zJ0g6=zfhi8<7>51zJ0g6=zfhi8=7>51zJ0g6=zfhi8>7>51zJ0g6=zfhi8?7>51zJ0g6=zfhi887>51zJ0g6=zfhi897>51zJ0g6=zfhi8:7>51zJ0g6=zfhi8;7>51zJ0g6=zfhi847>51zJ0g6=zfhi857>51zJ0g6=zfhi8m7>51zJ0g6=zfhi8n7>51zJ0g6=zfhi8o7>51zJ0g6=zfhi8h7>51zJ0g6=zfhi8i7>51zJ0g6=zfhi8j7>51zJ0g6=zfhi?<7>51zJ0g6=zfhi?=7>51zJ0g6=zfhi?>7>51zJ0g6=zfhi??7>51zJ0g6=zfhi?87>51zJ0g6=zfhi?97>51zJ0g6=zfhi?:7>51zJ0g6=zfhi?;7>51zJ0g6=zfhi?47>51zJ0g6=zfhi?57>51zJ0g6=zfhi?m7>51zJ0g6=zfhi?n7>51zJ0g6=zfhi?o7>51zJ0g6=zfhi?h7>51zJ0g6=zfhi?i7>51zJ0g6=zfhi?j7>51zJ0g6=zfhi><7>51zJ0g6=zfhi>=7>51zJ0g6=zfhi>>7>51zJ0g6=zfhi>?7>51zJ0g6=zfhi>87>51zJ0g6=zfhi>97>51zJ0g6=zfhi>:7>51zJ0g6=zfhi>;7>51zJ0g6=zfhi>47>51zJ0g6=zfhi>57>51zJ0g6=zfhi>m7>51zJ0g6=zfhi>n7>51zJ0g6=zfhi>o7>51zJ0g6=zfhi>h7>51zJ0g6=zfhi>i7>51zJ0g6=zfhi>j7>51zJ0g6=zfhi=<7>51zJ0g6=zfhi==7>51zJ0g6=zfhi=>7>51zJ0g6=zfhi=?7>51zJ0g6=zfhi=87>51zJ0g6=zfhi=97>51zJ0g6=zfhi=:7>51zJ0g6=zfhi=;7>51zJ0g6=zfhi=47>51zJ0g6=zfhi=57>51zJ0g6=zfhi=m7>51zJ0g6=zfhi=n7>51zJ0g6=zfhi=o7>51zJ0g6=zfhi=h7>51zJ0g6=zfhi=i7>51zJ0g6=zfhi=j7>51zJ0g6=zfhi<<7>51zJ0g6=zfhi<=7>51zJ0g6=zfhi<>7>51zJ0g6=zfhi51zJ0g6=zfhi<87>51zJ0g6=zfhi<97>51zJ0g6=zfhi<:7>51zJ0g6=zfhi<;7>51zJ0g6=zfhi<47>51zJ0g6=zfhi<57>51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi51zJ0g6=zfhi3<7>51zJ0g6=zfhi3=7>51zJ0g6=zfhi3>7>51zJ0g6=zfhi3?7>51zJ0g6=zfhi387>51zJ0g6=zfhi397>51zJ0g6=zfhi3:7>51zJ0g6=zfhi3;7>51zJ0g6=zfhi347>51zJ0g6=zfhi357>51zJ0g6=zfhi3m7>51zJ0g6=zfhi3n7>51zJ0g6=zfhi3o7>51zJ0g6=zfhi3h7>51zJ0g6=zfhi3i7>51zJ0g6=zfhi3j7>51zJ0g6=zfhi2<7>51zJ0g6=zfhi2=7>51zJ0g6=zfhi2>7>51zJ0g6=zfhi2?7>51zJ0g6=zfhi287>51zJ0g6=zfhi297>51zJ0g6=zfhi2:7>51zJ0g6=zfhi2;7>51zJ0g6=zfhi247>51zJ0g6=zfhi257>51zJ0g6=zfhi2m7>51zJ0g6=zfhi2n7>51zJ0g6=zfhi2o7>51zJ0g6=zfhi2h7>51zJ0g6=zfhi2i7>51zJ0g6=zfhi2j7>51zJ0g6=zfhij<7>51zJ0g6=zfhij=7>51zJ0g6=zfhij>7>51zJ0g6=zfhij?7>51zJ0g6=zfhij87>51zJ0g6=zfhij97>51zJ0g6=zfhij:7>51zJ0g6=zfhij;7>51zJ0g6=zfhij47>51zJ0g6=zfhij57>51zJ0g6=zf8=nm7>51zJ0g6=zf8=nn7>51zJ0g6=zf8=no7>51zJ0g6=zf8=nh7>51zJ0g6=zf8=ni7>51zJ0g6=zf8=nj7>51zJ0g6=zf8=m<7>51zJ0g6=zf8=m=7>51zJ0g6=zf8=m>7>51zJ0g6=zf8=m?7>51zJ0g6=zf8=m87>51zJ0g6=zf8=m97>51zJ0g6=zf8=m:7>51zJ0g6=zf8=m;7>51zJ0g6=zf8=m47>51zJ0g6=zf8=m57>51zJ0g6=zf8=mm7>51zJ0g6=zf8=mn7>51zJ0g6=zf8=mo7>51zJ0g6=zf8=mh7>51zJ0g6=zf8=mi7>51zJ0g6=zf8=mj7>51zJ0g6=zf82;<7>51zJ0g6=zf82;=7>51zJ0g6=zf82;>7>51zJ0g6=zf82;?7>51zJ0g6=zf82;87>51zJ0g6=zf82;97>51zJ0g6=zf82;:7>51zJ0g6=zf82;;7>51zJ0g6=zf82;47>51zJ0g6=zf82;57>51zJ0g6=zf82;m7>51zJ0g6=zf82;n7>51zJ0g6=zf82;o7>51zJ0g6=zf82;h7>51zJ0g6=zf82;i7>51zJ0g6=zf82;j7>51zJ0g6=zf82:<7>51zJ0g6=zf82:=7>51zJ0g6=zf82:>7>51zJ0g6=zf82:?7>51zJ0g6=zf82:87>51zJ0g6=zf82:97>51zJ0g6=zf82::7>51zJ0g6=zf82:;7>51zJ0g6=zf82:47>51zJ0g6=zf82:57>51zJ0g6=zf82:m7>51zJ0g6=zf82:n7>51zJ0g6=zf:ini7>51zJ0g6=zf:inj7>51zJ0g6=zf:im<7>51zJ0g6=zf:im=7>51zJ0g6=zf:im>7>51zJ0g6=zf:im?7>51zJ0g6=zf:im87>51zJ0g6=zf:im97>51zJ0g6=zf:im:7>51zJ0g6=zf:im;7>51zJ0g6=zf:im47>51zJ0g6=zf:im57>51zJ0g6=zf:imm7>51zJ0g6=zf:imn7>51zJ0g6=zf:imo7>51zJ0g6=zf:imh7>51zJ0g6=zf:imi7>51zJ0g6=zf:imj7>51zJ0g6=zf:o?<7>51zJ0g6=zf:o?=7>51zJ0g6=zf:o?>7>51zJ0g6=zf:o??7>51zJ0g6=zf:o?87>51zJ0g6=zf:o?97>51zJ0g6=zf:o?:7>51zJ0g6=zf:o?;7>51zJ0g6=zf:o?47>51zJ0g6=zf:o?57>51zJ0g6=zf:o?m7>51zJ0g6=zf:o?n7>51zJ0g6=zf:o?o7>51zJ0g6=zf:o?h7>51zJ0g6=zf:o?i7>51zJ0g6=zf:o?j7>51zJ0g6=zf:o><7>51zJ0g6=zf:o>=7>51zJ0g6=zf:l2>7>51zJ0g6=zf:l2?7>51zJ0g6=zf:l287>51zJ0g6=zf:l297>51zJ0g6=zf:l2:7>51zJ0g6=zf:l2;7>51zJ0g6=zf:l247>51zJ0g6=zf:l257>51zJ0g6=zf:l2m7>51zJ0g6=zf:l2n7>51zJ0g6=zf:l2o7>51zJ0g6=zf:l2h7>51zJ0g6=zf:l2i7>51zJ0g6=zf:l2j7>51zJ0g6=zf:lj<7>51zJ0g6=zf:lj=7>51zJ0g6=zf:lj>7>51zJ0g6=zf:lj?7>51zJ0g6=zf=:n87>51zJ0g6=zf=:n97>51zJ0g6=zf=:n:7>51zJ0g6=zf=:n;7>51zJ0g6=zf=:n47>51zJ0g6=zf=:n57>51zJ0g6=zf=:nm7>51zJ0g6=zf=:nn7>51zJ0g6=zf=:no7>51zJ0g6=zf=:nh7>51zJ0g6=zf=:ni7>51zJ0g6=zf=:nj7>51zJ0g6=zf=:m<7>51zJ0g6=zf=:m=7>51zJ0g6=zf=:m>7>51zJ0g6=zf=:m?7>51zJ0g6=zf=:m87>51zJ0g6=zf=:m97>51zJ0g6=zf=88:7>51zJ0g6=zf=88;7>51zJ0g6=zf=8847>51zJ0g6=zf=8857>51zJ0g6=zf=88m7>51zJ0g6=zf=88n7>51zJ0g6=zf=88o7>51zJ0g6=zf=88h7>51zJ0g6=zf=88i7>51zJ0g6=zf=88j7>51zJ0g6=zf=8?<7>51zJ0g6=zf=8?=7>51zJ0g6=zf=8?>7>51zJ0g6=zf=8??7>51zJ0g6=zf=8?87>51zJ0g6=zf=8?97>51zJ0g6=zf=8?:7>51zJ0g6=zf=8?;7>51zJ0g6=zf=9347>51zJ0g6=zf=9357>51zJ0g6=zf=93m7>51zJ0g6=zf=93n7>51zJ0g6=zf=93o7>51zJ0g6=zf=93h7>51zJ0g6=zf=93i7>51zJ0g6=zf=93j7>51zJ0g6=zf=92<7>51zJ0g6=zf=92=7>51zJ0g6=zf=92>7>51zJ0g6=zf=92?7>51zJ0g6=zf=9287>51zJ0g6=zf=9297>51zJ0g6=zf=92:7>51zJ0g6=zf=92;7>51zJ0g6=zf=9247>51zJ0g6=zf=9257>51zJ0g6=zf=>om7>51zJ0g6=zf=>on7>51zJ0g6=zf=>oo7>51zJ0g6=zf=>oh7>51zJ0g6=zf=>oi7>51zJ0g6=zf=>oj7>51zJ0g6=zf=>n<7>51zJ0g6=zf=>n=7>51zJ0g6=zf=>n>7>51zJ0g6=zf=>n?7>51zJ0g6=zf=>n87>51zJ0g6=zf=>n97>51zJ0g6=zf=>n:7>51zJ0g6=zf=>n;7>51zJ0g6=zf=>n47>51zJ0g6=zf=>n57>51zJ0g6=zf=>nm7>51zJ0g6=zf=>nn7>51zJ0g6=zf=<9o7>51zJ0g6=zf=<9h7>51zJ0g6=zf=<9i7>51zJ0g6=zf=<9j7>51zJ0g6=zf=<8<7>51zJ0g6=zf=<8=7>51zJ0g6=zf=<8>7>51zJ0g6=zf=<8?7>51zJ0g6=zf=<887>51zJ0g6=zf=<897>51zJ0g6=zf=<8:7>51zJ0g6=zf=<8;7>51zJ0g6=zf=<847>51zJ0g6=zf=<857>51zJ0g6=zf=<8m7>51zJ0g6=zf=<8n7>51zJ0g6=zf=<8o7>51zJ0g6=zf=<8h7>51zJ0g6=zf==51zJ0g6=zf==51zJ0g6=zf==3<7>51zJ0g6=zf==3=7>51zJ0g6=zf==3>7>51zJ0g6=zf==3?7>51zJ0g6=zf==387>51zJ0g6=zf==397>51zJ0g6=zf==3:7>51zJ0g6=zf==3;7>51zJ0g6=zf==347>51zJ0g6=zf==357>51zJ0g6=zf==3m7>51zJ0g6=zf==3n7>51zJ0g6=zf==3o7>51zJ0g6=zf==3h7>51zJ0g6=zf==3i7>51zJ0g6=zf==3j7>51zJ0g6=zf=2o<7>51zJ0g6=zf=2o=7>51zJ0g6=zf=2o>7>51zJ0g6=zf=2o?7>51zJ0g6=zf=2o87>51zJ0g6=zf=2o97>51zJ0g6=zf=2o:7>51zJ0g6=zf=2o;7>51zJ0g6=zf=2o47>51zJ0g6=zf=2o57>51zJ0g6=zf=2om7>51zJ0g6=zf=2on7>51zJ0g6=zf=2oo7>51zJ0g6=zf=2oh7>51zJ0g6=zf=2oi7>51zJ0g6=zf=2oj7>51zJ0g6=zf=2n<7>51zJ0g6=zf=2n=7>51zJ0g6=zf=k9>7>51zJ0g6=zf=k9?7>51zJ0g6=zf=k987>51zJ0g6=zf=k997>51zJ0g6=zf=k9:7>51zJ0g6=zf=k9;7>51zJ0g6=zf=k947>51zJ0g6=zf=k957>51zJ0g6=zf=k9m7>51zJ0g6=zf=k9n7>51zJ0g6=zf=k9o7>51zJ0g6=zf=k9h7>51zJ0g6=zf=k9i7>51zJ0g6=zf=k9j7>51zJ0g6=zf=k8<7>51zJ0g6=zf=k8=7>51zJ0g6=zf=k8>7>51zJ0g6=zf=k8?7>51zJ0g6=zf=h<87>51zJ0g6=zf=h<97>51zJ0g6=zf=h<:7>51zJ0g6=zf=h<;7>51zJ0g6=zf=h<47>51zJ0g6=zf=h<57>51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h51zJ0g6=zf=h3<7>51zJ0g6=zf=h3=7>51zJ0g6=zf=h3>7>51zJ0g6=zf=h3?7>51zJ0g6=zf=h387>51zJ0g6=zf=h397>51zJ0g6=zf=ih:7>51zJ0g6=zf=ih;7>51zJ0g6=zf=ih47>51zJ0g6=zf=ih57>51zJ0g6=zf=ihm7>51zJ0g6=zf=ihn7>51zJ0g6=zf=iho7>51zJ0g6=zf=ihh7>51zJ0g6=zf=ihi7>51zJ0g6=zf=ihj7>51zJ0g6=zf=io<7>51zJ0g6=zf=io=7>51zJ0g6=zf=io>7>51zJ0g6=zf=io?7>51zJ0g6=zf=io87>51zJ0g6=zf=io97>51zJ0g6=zf=io:7>51zJ0g6=zf=io;7>51zJ0g6=zf=o:47>51zJ0g6=zf=o:57>51zJ0g6=zf=o:m7>51zJ0g6=zf=o:n7>51zJ0g6=zf=o:o7>51zJ0g6=zf=o:h7>51zJ0g6=zf=o:i7>51zJ0g6=zf=o:j7>51zJ0g6=zf=o9<7>51zJ0g6=zf=o9=7>51zJ0g6=zf=o9>7>51zJ0g6=zf=o9?7>51zJ0g6=zf=o987>51zJ0g6=zf=o997>51zJ0g6=zf=o9:7>51zJ0g6=zf=o9;7>51zJ0g6=zf=o947>51zJ0g6=zf=o957>51zJ0g6=zf=l=m7>51zJ0g6=zf=l=n7>51zJ0g6=zf=l=o7>51zJ0g6=zf=l=h7>51zJ0g6=zf=l=i7>51zJ0g6=zf=l=j7>51zJ0g6=zf=l<<7>51zJ0g6=zf=l<=7>51zJ0g6=zf=l<>7>51zJ0g6=zf=l51zJ0g6=zf=l<87>51zJ0g6=zf=l<97>51zJ0g6=zf=l<:7>51zJ0g6=zf=l<;7>51zJ0g6=zf=l<47>51zJ0g6=zf=l<57>51zJ0g6=zf=l51zJ0g6=zf=l51zJ0g6=zf<:io7>51zJ0g6=zf<:ih7>51zJ0g6=zf<:ii7>51zJ0g6=zf<:ij7>51zJ0g6=zf<:h<7>51zJ0g6=zf<:h=7>51zJ0g6=zf<:h>7>51zJ0g6=zf<:h?7>51zJ0g6=zf<:h87>51zJ0g6=zf<:h97>51zJ0g6=zf<:h:7>51zJ0g6=zf<:h;7>51zJ0g6=zf<:h47>51zJ0g6=zf<:h57>51zJ0g6=zf<:hm7>51zJ0g6=zf<:hn7>51zJ0g6=zf<:ho7>51zJ0g6=zf<:hh7>51zJ0g6=zf<8;i7>51zJ0g6=zf<8;j7>51zJ0g6=zf<8:<7>51zJ0g6=zf<8:=7>51zJ0g6=zf<8:>7>51zJ0g6=zf<8:?7>51zJ0g6=zf<8:87>51zJ0g6=zf<8:97>51zJ0g6=zf<8::7>51zJ0g6=zf<8:;7>51zJ0g6=zf<8:47>51zJ0g6=zf<8:57>51zJ0g6=zf<8:m7>51zJ0g6=zf<8:n7>51zJ0g6=zf<8:o7>51zJ0g6=zf<8:h7>51zJ0g6=zf<8:i7>51zJ0g6=zf<8:j7>51zJ0g6=zf<9=<7>51zJ0g6=zf<9==7>51zJ0g6=zf<9=>7>51zJ0g6=zf<9=?7>51zJ0g6=zf<9=87>51zJ0g6=zf<9=97>51zJ0g6=zf<9=:7>51zJ0g6=zf<9=;7>51zJ0g6=zf<9=47>51zJ0g6=zf<9=57>51zJ0g6=zf<9=m7>51zJ0g6=zf<9=n7>51zJ0g6=zf<9=o7>51zJ0g6=zf<9=h7>51zJ0g6=zf<9=i7>51zJ0g6=zf<9=j7>51zJ0g6=zf<9<<7>51zJ0g6=zf<9<=7>51zJ0g6=zf<>i>7>51zJ0g6=zf<>i?7>51zJ0g6=zf<>i87>51zJ0g6=zf<>i97>51zJ0g6=zf<>i:7>51zJ0g6=zf<>i;7>51zJ0g6=zf<>i47>51zJ0g6=zf<>i57>51zJ0g6=zf<>im7>51zJ0g6=zf<>in7>51zJ0g6=zf<>io7>51zJ0g6=zf<>ih7>51zJ0g6=zf<>ii7>51zJ0g6=zf<>ij7>51zJ0g6=zf<>h<7>51zJ0g6=zf<>h=7>51zJ0g6=zf<>h>7>51zJ0g6=zf<>h?7>51zJ0g6=zf<<;87>51zJ0g6=zf<<;97>51zJ0g6=zf<<;:7>51zJ0g6=zf<<;;7>51zJ0g6=zf<<;47>51zJ0g6=zf<<;57>51zJ0g6=zf<<;m7>51zJ0g6=zf<<;n7>51zJ0g6=zf<<;o7>51zJ0g6=zf<<;h7>51zJ0g6=zf<<;i7>51zJ0g6=zf<<;j7>51zJ0g6=zf<<:<7>51zJ0g6=zf<<:=7>51zJ0g6=zf<<:>7>51zJ0g6=zf<<:?7>51zJ0g6=zf<<:87>51zJ0g6=zf<<:97>51zJ0g6=zf<=>:7>51zJ0g6=zf<=>;7>51zJ0g6=zf<=>47>51zJ0g6=zf<=>57>51zJ0g6=zf<=>m7>51zJ0g6=zf<=>n7>51zJ0g6=zf<=>o7>51zJ0g6=zf<=>h7>51zJ0g6=zf<=>i7>51zJ0g6=zf<=>j7>51zJ0g6=zf<==<7>51zJ0g6=zf<===7>51zJ0g6=zf<==>7>51zJ0g6=zf<==?7>51zJ0g6=zf<==87>51zJ0g6=zf<==97>51zJ0g6=zf<==:7>51zJ0g6=zf<==;7>51zJ0g6=zf<2j47>51zJ0g6=zf<2j57>51zJ0g6=zf<2jm7>51zJ0g6=zf<2jn7>51zJ0g6=zf<2jo7>51zJ0g6=zf<2jh7>51zJ0g6=zf<2ji7>51zJ0g6=zf<2jj7>51zJ0g6=zf<2i<7>51zJ0g6=zf<2i=7>51zJ0g6=zf<2i>7>51zJ0g6=zf<2i?7>51zJ0g6=zf<2i87>51zJ0g6=zf<2i97>51zJ0g6=zf<2i:7>51zJ0g6=zf<2i;7>51zJ0g6=zf<2i47>51zJ0g6=zf<2i57>51zJ0g6=zf<3mm7>51zJ0g6=zf<3mn7>51zJ0g6=zf<3mo7>51zJ0g6=zf<3mh7>51zJ0g6=zf<3mi7>51zJ0g6=zf<3mj7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf<7>51zJ0g6=zf=7>51zJ0g6=zf>7>51zJ0g6=zf?7>51zJ0g6=zf87>51zJ0g6=zf97>51zJ0g6=zf:7>51zJ0g6=zf;7>51zJ0g6=zf47>51zJ0g6=zf57>51zJ0g6=zfm7>51zJ0g6=zfn7>51zJ0g6=zfo7>51zJ0g6=zfh7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf7>51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf51zJ0g6=zf?:;<7>51zJ0g6=zf?:;=7>51zJ0g6=zf?:;>7>51zJ0g6=zf?:;?7>51zJ0g6=zf?:;87>51zJ0g6=zf?:;97>51zJ0g6=zf?:;:7>51zJ0g6=zf?:;;7>51zJ0g6=zf?:;47>51zJ0g6=zf?:;57>51zJ0g6=zf?:;m7>51zJ0g6=zf?:;n7>51zJ0g6=zf?:;o7>51zJ0g6=zf?:;h7>51zJ0g6=zf?8?<7>51zJ0g6=zf?8?=7>51zJ0g6=zf?8?>7>51zJ0g6=zf?8??7>51zJ0g6=zf?8?87>51zJ0g6=zf?8?97>51zJ0g6=zf?8?:7>51zJ0g6=zf?8?;7>51zJ0g6=zf?8?47>51zJ0g6=zf?8?57>51zJ0g6=zf?8?m7>51zJ0g6=zf?8?n7>51zJ0g6=zf?8?o7>51zJ0g6=zf?8?h7>51zJ0g6=zf?8?i7>51zJ0g6=zf?8?j7>51zJ0g6=zf?8><7>51zJ0g6=zf?8>=7>51zJ0g6=zf?8>>7>51zJ0g6=zf?8>?7>51zJ0g6=zf?8>87>51zJ0g6=zf?8>97>51zJ0g6=zf?8>:7>51zJ0g6=zf?8>;7>51zJ0g6=zf?8>47>51zJ0g6=zf?8>57>51zJ0g6=zf?8>m7>51zJ0g6=zf?8>n7>51zJ0g6=zf?8>o7>51zJ0g6=zf?8>h7>51zJ0g6=zf?8>i7>51zJ0g6=zf?8>j7>51zJ0g6=zf?8=<7>51zJ0g6=zf?8==7>51zJ0g6=zf?8=>7>51zJ0g6=zf?8=?7>51zJ0g6=zf?8=87>51zJ0g6=zf?8=97>51zJ0g6=zf?>247>51zJ0g6=zf?>257>51zJ0g6=zf?>2m7>51zJ0g6=zf?>2n7>51zJ0g6=zf?>2o7>51zJ0g6=zf?>2h7>51zJ0g6=zf?>2i7>51zJ0g6=zf?>2j7>51zJ0g6=zf?>j<7>51zJ0g6=zf?>j=7>51zJ0g6=zf?>j>7>51zJ0g6=zf?>j?7>51zJ0g6=zf?>j87>51zJ0g6=zf?>j97>51zJ0g6=zf?>j:7>51zJ0g6=zf?>j;7>51zJ0g6=zf?>j47>51zJ0g6=zf?>j57>51zJ0g6=zf?>jm7>51zJ0g6=zf?>jn7>51zJ0g6=zf?>jo7>51zJ0g6=zf?>jh7>51zJ0g6=zf?>ji7>51zJ0g6=zf?>jj7>51zJ0g6=zf?>i<7>51zJ0g6=zf?>i=7>51zJ0g6=zf?>i>7>51zJ0g6=zf?>i?7>51zJ0g6=zf?>i87>51zJ0g6=zf?>i97>51zJ0g6=zf?>i:7>51zJ0g6=zf?>i;7>51zJ0g6=zf?>i47>51zJ0g6=zf?>i57>51zJ0g6=zf?>im7>51zJ0g6=zf?>in7>51zJ0g6=zf?>io7>51zJ0g6=zf?>ih7>51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?7>51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?51zJ0g6=zf?=;<7>51zJ0g6=zf?=;=7>51zJ0g6=zf?=;>7>51zJ0g6=zf?=;?7>51zJ0g6=zf?=;87>51zJ0g6=zf?=;97>51zJ0g6=zf?=;:7>51zJ0g6=zf?=;;7>51zJ0g6=zf?=;47>51zJ0g6=zf?=;57>51zJ0g6=zf?=;m7>51zJ0g6=zf?=;n7>51zJ0g6=zf?=;o7>51zJ0g6=zf?=;h7>51zJ0g6=zf?=;i7>51zJ0g6=zf?=;j7>51zJ0g6=zf?=:<7>51zJ0g6=zf?=:=7>51zJ0g6=zf?=:>7>51zJ0g6=zf?=:?7>51zJ0g6=zf?=:87>51zJ0g6=zf?=:97>51zJ0g6=zf?2oo7>51zJ0g6=zf?2oh7>51zJ0g6=zf?2oi7>51zJ0g6=zf?2oj7>51zJ0g6=zf?2n<7>51zJ0g6=zf?2n=7>51zJ0g6=zf?2n>7>51zJ0g6=zf?2n?7>51zJ0g6=zf?2n87>51zJ0g6=zf?2n97>51zJ0g6=zf?2n:7>51zJ0g6=zf?2n;7>51zJ0g6=zf?2n47>51zJ0g6=zf?2n57>51zJ0g6=zf?2nm7>51zJ0g6=zf?2nn7>51zJ0g6=zf?2no7>51zJ0g6=zf?2nh7>51zJ0g6=zf?2ni7>51zJ0g6=zf?2nj7>51zJ0g6=zf?3247>51zJ0g6=zf?3257>51zJ0g6=zf?32m7>51zJ0g6=zf?32n7>51zJ0g6=zf?32o7>51zJ0g6=zf?32h7>51zJ0g6=zf?32i7>51zJ0g6=zf?32j7>51zJ0g6=zf?3j<7>51zJ0g6=zf?3j=7>51zJ0g6=zf?k?m7>51zJ0g6=zf?k?n7>51zJ0g6=zf?k?o7>51zJ0g6=zf?k?h7>51zJ0g6=zf?k?i7>51zJ0g6=zf?k?j7>51zJ0g6=zf?k><7>51zJ0g6=zf?k>=7>51zJ0g6=zf?k>>7>51zJ0g6=zf?k>?7>51zJ0g6=zf?kmo7>51zJ0g6=zf?kmh7>51zJ0g6=zf?kmi7>51zJ0g6=zf?kmj7>51zJ0g6=zf?h;<7>51zJ0g6=zf?h;=7>51zJ0g6=zf?h;>7>51zJ0g6=zf?h;?7>51zJ0g6=zf?h;87>51zJ0g6=zf?h;97>51zJ0g6=zf?hji7>51zJ0g6=zf?hjj7>51zJ0g6=zf?hi<7>51zJ0g6=zf?hi=7>51zJ0g6=zf?hi>7>51zJ0g6=zf?hi?7>51zJ0g6=zf?hi87>51zJ0g6=zf?hi97>51zJ0g6=zf?hi:7>51zJ0g6=zf?hi;7>51zJ0g6=zf?i=<7>51zJ0g6=zf?i==7>51zJ0g6=zf?i=>7>51zJ0g6=zf?i=?7>51zJ0g6=zf?i=87>51zJ0g6=zf?i=97>51zJ0g6=zf?i=:7>51zJ0g6=zf?i=;7>51zJ0g6=zf?i=47>51zJ0g6=zf?i=57>51zJ0g6=zf?n:>7>51zJ0g6=zf?n:?7>51zJ0g6=zf?n:87>51zJ0g6=zf?n:97>51zJ0g6=zf?n::7>51zJ0g6=zf?n:;7>51zJ0g6=zf?n:47>51zJ0g6=zf?n:57>51zJ0g6=zf?n:m7>51zJ0g6=zf?n:n7>51zJ0g6=zf?nh87>51zJ0g6=zf?nh97>51zJ0g6=zf?nh:7>51zJ0g6=zf?nh;7>51zJ0g6=zf?nh47>51zJ0g6=zf?nh57>51zJ0g6=zf?nhm7>51zJ0g6=zf?nhn7>51zJ0g6=zf?nho7>51zJ0g6=zf?nhh7>51zJ0g6=zf?o<:7>51zJ0g6=zf?o<;7>51zJ0g6=zf?o<47>51zJ0g6=zf?o<57>51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?o51zJ0g6=zf?l947>51zJ0g6=zf?l957>51zJ0g6=zf?l9m7>51zJ0g6=zf?l9n7>51zJ0g6=zf?l9o7>51zJ0g6=zf?l9h7>51zJ0g6=zf?l9i7>51zJ0g6=zf?l9j7>51zJ0g6=zf?l8<7>51zJ0g6=zf?l8=7>51zJ0g6=zf?lom7>51zJ0g6=zf?lon7>51zJ0g6=zf?loo7>51zJ0g6=zf?loh7>51zJ0g6=zf?loi7>51zJ0g6=zf?loj7>51zJ0g6=zf?ln<7>51zJ0g6=zf?ln=7>51zJ0g6=zf?ln>7>51zJ0g6=zf?ln?7>51zJ0g6=zf>:3o7>51zJ0g6=zf>:3h7>51zJ0g6=zf>:3i7>51zJ0g6=zf>:3j7>51zJ0g6=zf>:2<7>51zJ0g6=zf>:2=7>51zJ0g6=zf>:2>7>51zJ0g6=zf>:2?7>51zJ0g6=zf>:287>51zJ0g6=zf>:297>51zJ0g6=zf>;8i7>51zJ0g6=zf>;8j7>51zJ0g6=zf>;?<7>51zJ0g6=zf>;?=7>51zJ0g6=zf>;?>7>51zJ0g6=zf>;??7>51zJ0g6=zf>;?87>51zJ0g6=zf>;?97>51zJ0g6=zf>;?:7>51zJ0g6=zf>;?;7>51zJ0g6=zf>;m<7>51zJ0g6=zf>;m=7>51zJ0g6=zf>;m>7>51zJ0g6=zf>;m?7>51zJ0g6=zf>;m87>51zJ0g6=zf>;m97>51zJ0g6=zf>;m:7>51zJ0g6=zf>;m;7>51zJ0g6=zf>;m47>51zJ0g6=zf>;m57>51zJ0g6=zf>8j>7>51zJ0g6=zf>8j?7>51zJ0g6=zf>8j87>51zJ0g6=zf>8j97>51zJ0g6=zf>8j:7>51zJ0g6=zf>8j;7>51zJ0g6=zf>8j47>51zJ0g6=zf>8j57>51zJ0g6=zf>8jm7>51zJ0g6=zf>8jn7>51zJ0g6=zf>9>87>51zJ0g6=zf>9>97>51zJ0g6=zf>9>:7>51zJ0g6=zf>9>;7>51zJ0g6=zf>9>47>51zJ0g6=zf>9>57>51zJ0g6=zf>9>m7>51zJ0g6=zf>9>n7>51zJ0g6=zf>9>o7>51zJ0g6=zf>9>h7>51zJ0g6=zf>>;:7>51zJ0g6=zf>>;;7>51zJ0g6=zf>>;47>51zJ0g6=zf>>;57>51zJ0g6=zf>>;m7>51zJ0g6=zf>>;n7>51zJ0g6=zf>>;o7>51zJ0g6=zf>>;h7>51zJ0g6=zf>>;i7>51zJ0g6=zf>>;j7>51zJ0g6=zf>>i47>51zJ0g6=zf>>i57>51zJ0g6=zf>>im7>51zJ0g6=zf>>in7>51zJ0g6=zf>>io7>51zJ0g6=zf>>ih7>51zJ0g6=zf>>ii7>51zJ0g6=zf>>ij7>51zJ0g6=zf>>h<7>51zJ0g6=zf>>h=7>51zJ0g6=zf>?=m7>51zJ0g6=zf>?=n7>51zJ0g6=zf>?=o7>51zJ0g6=zf>?=h7>51zJ0g6=zf>?=i7>51zJ0g6=zf>?=j7>51zJ0g6=zf>?<<7>51zJ0g6=zf>?<=7>51zJ0g6=zf>?<>7>51zJ0g6=zf>?51zJ0g6=zf><:o7>51zJ0g6=zf><:h7>51zJ0g6=zf><:i7>51zJ0g6=zf><:j7>51zJ0g6=zf><9<7>51zJ0g6=zf><9=7>51zJ0g6=zf><9>7>51zJ0g6=zf><9?7>51zJ0g6=zf><987>51zJ0g6=zf><997>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>7>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>51zJ0g6=zf>=3<7>51zJ0g6=zf>=3=7>51zJ0g6=zf>=3>7>51zJ0g6=zf>=3?7>51zJ0g6=zf>=387>51zJ0g6=zf>=397>51zJ0g6=zf>=3:7>51zJ0g6=zf>=3;7>51zJ0g6=zf>=347>51zJ0g6=zf>=357>51zJ0g6=zf>28>7>51zJ0g6=zf>28?7>51zJ0g6=zf>2887>51zJ0g6=zf>2897>51zJ0g6=zf>28:7>51zJ0g6=zf>28;7>51zJ0g6=zf>2847>51zJ0g6=zf>2857>51zJ0g6=zf>28m7>51zJ0g6=zf>28n7>51zJ0g6=zf>2n87>51zJ0g6=zf>2n97>51zJ0g6=zf>2n:7>51zJ0g6=zf>2n;7>51zJ0g6=zf>2n47>51zJ0g6=zf>2n57>51zJ0g6=zf>2nm7>51zJ0g6=zf>2nn7>51zJ0g6=zf>2no7>51zJ0g6=zf>2nh7>51zJ0g6=zf>32:7>51zJ0g6=zf>32;7>51zJ0g6=zf>3247>51zJ0g6=zf>3257>51zJ0g6=zf>32m7>51zJ0g6=zf>32n7>51zJ0g6=zf>32o7>51zJ0g6=zf>32h7>51zJ0g6=zf>32i7>51zJ0g6=zf>32j7>51zJ0g6=zf>i3>7>51zJ0g6=zf>i3?7>51zJ0g6=zf>i387>51zJ0g6=zf>i397>51zJ0g6=zf>i3:7>51zJ0g6=zf>i3;7>51zJ0g6=zf>i347>51zJ0g6=zf>i357>51zJ0g6=zf>i3m7>51zJ0g6=zf>i3n7>51zJ0g6=zf>i3o7>51zJ0g6=zf>i3h7>51zJ0g6=zf>i3i7>51zJ0g6=zf>i3j7>51zJ0g6=zf>i2<7>51zJ0g6=zf>i2=7>51zJ0g6=zf>i2>7>51zJ0g6=zf>i2?7>51zJ0g6=zf>i287>51zJ0g6=zf>i297>51zJ0g6=zutwKLNumf8;9b5c?ihhjqMNM{1CDU}zHI \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v new file mode 100644 index 000000000..67742af64 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.v @@ -0,0 +1,45924 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.20131013 +// \ \ Application: netgen +// / / Filename: hbdec1.v +// /___/ /\ Timestamp: Tue Jun 9 16:48:04 2015 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec1.ngc ./tmp/_cg/hbdec1.v +// Device : 6slx75fgg484-3 +// Input file : ./tmp/_cg/hbdec1.ngc +// Output file : ./tmp/_cg/hbdec1.v +// # of Modules : 1 +// Design Name : hbdec1 +// Xilinx : /opt/Xilinx/14.7/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec1 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig00000e8e ; + wire \blk00000003/sig00000e8d ; + wire \blk00000003/sig00000e8c ; + wire \blk00000003/sig00000e8b ; + wire \blk00000003/sig00000e8a ; + wire \blk00000003/sig00000e89 ; + wire \blk00000003/sig00000e88 ; + wire \blk00000003/sig00000e87 ; + wire \blk00000003/sig00000e86 ; + wire \blk00000003/sig00000e85 ; + wire \blk00000003/sig00000e84 ; + wire \blk00000003/sig00000e83 ; + wire \blk00000003/sig00000e82 ; + wire \blk00000003/sig00000e81 ; + wire \blk00000003/sig00000e80 ; + wire \blk00000003/sig00000e7f ; + wire \blk00000003/sig00000e7e ; + wire \blk00000003/sig00000e7d ; + wire \blk00000003/sig00000e7c ; + wire \blk00000003/sig00000e7b ; + wire \blk00000003/sig00000e7a ; + wire \blk00000003/sig00000e79 ; + wire \blk00000003/sig00000e78 ; + wire \blk00000003/sig00000e77 ; + wire \blk00000003/sig00000e76 ; + wire \blk00000003/sig00000e75 ; + wire \blk00000003/sig00000e74 ; + wire \blk00000003/sig00000e73 ; + wire \blk00000003/sig00000e72 ; + wire \blk00000003/sig00000e71 ; + wire \blk00000003/sig00000e70 ; + wire \blk00000003/sig00000e6f ; + wire \blk00000003/sig00000e6e ; + wire \blk00000003/sig00000e6d ; + wire \blk00000003/sig00000e6c ; + wire \blk00000003/sig00000e6b ; + wire \blk00000003/sig00000e6a ; + wire \blk00000003/sig00000e69 ; + wire \blk00000003/sig00000e68 ; + wire \blk00000003/sig00000e67 ; + wire \blk00000003/sig00000e66 ; + wire \blk00000003/sig00000e65 ; + wire \blk00000003/sig00000e64 ; + wire \blk00000003/sig00000e63 ; + wire \blk00000003/sig00000e62 ; + wire \blk00000003/sig00000e61 ; + wire \blk00000003/sig00000e60 ; + wire \blk00000003/sig00000e5f ; + wire \blk00000003/sig00000e5e ; + wire \blk00000003/sig00000e5d ; + wire \blk00000003/sig00000e5c ; + wire \blk00000003/sig00000e5b ; + wire \blk00000003/sig00000e5a ; + wire \blk00000003/sig00000e59 ; + wire \blk00000003/sig00000e58 ; + wire \blk00000003/sig00000e57 ; + wire \blk00000003/sig00000e56 ; + wire \blk00000003/sig00000e55 ; + wire \blk00000003/sig00000e54 ; + wire \blk00000003/sig00000e53 ; + wire \blk00000003/sig00000e52 ; + wire \blk00000003/sig00000e51 ; + wire \blk00000003/sig00000e50 ; + wire \blk00000003/sig00000e4f ; + wire \blk00000003/sig00000e4e ; + wire \blk00000003/sig00000e4d ; + wire \blk00000003/sig00000e4c ; + wire \blk00000003/sig00000e4b ; + wire \blk00000003/sig00000e4a ; + wire \blk00000003/sig00000e49 ; + wire \blk00000003/sig00000e48 ; + wire \blk00000003/sig00000e47 ; + wire \blk00000003/sig00000e46 ; + wire \blk00000003/sig00000e45 ; + wire \blk00000003/sig00000e44 ; + wire \blk00000003/sig00000e43 ; + wire \blk00000003/sig00000e42 ; + wire \blk00000003/sig00000e41 ; + wire \blk00000003/sig00000e40 ; + wire \blk00000003/sig00000e3f ; + wire \blk00000003/sig00000e3e ; + wire \blk00000003/sig00000e3d ; + wire \blk00000003/sig00000e3c ; + wire \blk00000003/sig00000e3b ; + wire \blk00000003/sig00000e3a ; + wire \blk00000003/sig00000e39 ; + wire \blk00000003/sig00000e38 ; + wire \blk00000003/sig00000e37 ; + wire \blk00000003/sig00000e36 ; + wire \blk00000003/sig00000e35 ; + wire \blk00000003/sig00000e34 ; + wire \blk00000003/sig00000e33 ; + wire \blk00000003/sig00000e32 ; + wire \blk00000003/sig00000e31 ; + wire \blk00000003/sig00000e30 ; + wire \blk00000003/sig00000e2f ; + wire \blk00000003/sig00000e2e ; + wire \blk00000003/sig00000e2d ; + wire \blk00000003/sig00000e2c ; + wire \blk00000003/sig00000e2b ; + wire \blk00000003/sig00000e2a ; + wire \blk00000003/sig00000e29 ; + wire \blk00000003/sig00000e28 ; + wire \blk00000003/sig00000e27 ; + wire \blk00000003/sig00000e26 ; + wire \blk00000003/sig00000e25 ; + wire \blk00000003/sig00000e24 ; + wire \blk00000003/sig00000e23 ; + wire \blk00000003/sig00000e22 ; + wire \blk00000003/sig00000e21 ; + wire \blk00000003/sig00000e20 ; + wire \blk00000003/sig00000e1f ; + wire \blk00000003/sig00000e1e ; + wire \blk00000003/sig00000e1d ; + wire \blk00000003/sig00000e1c ; + wire \blk00000003/sig00000e1b ; + wire \blk00000003/sig00000e1a ; + wire \blk00000003/sig00000e19 ; + wire \blk00000003/sig00000e18 ; + wire \blk00000003/sig00000e17 ; + wire \blk00000003/sig00000e16 ; + wire \blk00000003/sig00000e15 ; + wire \blk00000003/sig00000e14 ; + wire \blk00000003/sig00000e13 ; + wire \blk00000003/sig00000e12 ; + wire \blk00000003/sig00000e11 ; + wire \blk00000003/sig00000e10 ; + wire \blk00000003/sig00000e0f ; + wire \blk00000003/sig00000e0e ; + wire \blk00000003/sig00000e0d ; + wire \blk00000003/sig00000e0c ; + wire \blk00000003/sig00000e0b ; + wire \blk00000003/sig00000e0a ; + wire \blk00000003/sig00000e09 ; + wire \blk00000003/sig00000e08 ; + wire \blk00000003/sig00000e07 ; + wire \blk00000003/sig00000e06 ; + wire \blk00000003/sig00000e05 ; + wire \blk00000003/sig00000e04 ; + wire \blk00000003/sig00000e03 ; + wire \blk00000003/sig00000e02 ; + wire \blk00000003/sig00000e01 ; + wire \blk00000003/sig00000e00 ; + wire \blk00000003/sig00000dff ; + wire \blk00000003/sig00000dfe ; + wire \blk00000003/sig00000dfd ; + wire \blk00000003/sig00000dfc ; + wire \blk00000003/sig00000dfb ; + wire \blk00000003/sig00000dfa ; + wire \blk00000003/sig00000df9 ; + wire \blk00000003/sig00000df8 ; + wire \blk00000003/sig00000df7 ; + wire \blk00000003/sig00000df6 ; + wire \blk00000003/sig00000df5 ; + wire \blk00000003/sig00000df4 ; + wire \blk00000003/sig00000df3 ; + wire \blk00000003/sig00000df2 ; + wire \blk00000003/sig00000df1 ; + wire \blk00000003/sig00000df0 ; + wire \blk00000003/sig00000def ; + wire \blk00000003/sig00000dee ; + wire \blk00000003/sig00000ded ; + wire \blk00000003/sig00000dec ; + wire \blk00000003/sig00000deb ; + wire \blk00000003/sig00000dea ; + wire \blk00000003/sig00000de9 ; + wire \blk00000003/sig00000de8 ; + wire \blk00000003/sig00000de7 ; + wire \blk00000003/sig00000de6 ; + wire \blk00000003/sig00000de5 ; + wire \blk00000003/sig00000de4 ; + wire \blk00000003/sig00000de3 ; + wire \blk00000003/sig00000de2 ; + wire \blk00000003/sig00000de1 ; + wire \blk00000003/sig00000de0 ; + wire \blk00000003/sig00000ddf ; + wire \blk00000003/sig00000dde ; + wire \blk00000003/sig00000ddd ; + wire \blk00000003/sig00000ddc ; + wire \blk00000003/sig00000ddb ; + wire \blk00000003/sig00000dda ; + wire \blk00000003/sig00000dd9 ; + wire \blk00000003/sig00000dd8 ; + wire \blk00000003/sig00000dd7 ; + wire \blk00000003/sig00000dd6 ; + wire \blk00000003/sig00000dd5 ; + wire \blk00000003/sig00000dd4 ; + wire \blk00000003/sig00000dd3 ; + wire \blk00000003/sig00000dd2 ; + wire \blk00000003/sig00000dd1 ; + wire \blk00000003/sig00000dd0 ; + wire \blk00000003/sig00000dcf ; + wire \blk00000003/sig00000dce ; + wire \blk00000003/sig00000dcd ; + wire \blk00000003/sig00000dcc ; + wire \blk00000003/sig00000dcb ; + wire \blk00000003/sig00000dca ; + wire \blk00000003/sig00000dc9 ; + wire \blk00000003/sig00000dc8 ; + wire \blk00000003/sig00000dc7 ; + wire \blk00000003/sig00000dc6 ; + wire \blk00000003/sig00000dc5 ; + wire \blk00000003/sig00000dc4 ; + wire \blk00000003/sig00000dc3 ; + wire \blk00000003/sig00000dc2 ; + wire \blk00000003/sig00000dc1 ; + wire \blk00000003/sig00000dc0 ; + wire \blk00000003/sig00000dbf ; + wire \blk00000003/sig00000dbe ; + wire \blk00000003/sig00000dbd ; + wire \blk00000003/sig00000dbc ; + wire \blk00000003/sig00000dbb ; + wire \blk00000003/sig00000dba ; + wire \blk00000003/sig00000db9 ; + wire \blk00000003/sig00000db8 ; + wire \blk00000003/sig00000db7 ; + wire \blk00000003/sig00000db6 ; + wire \blk00000003/sig00000db5 ; + wire \blk00000003/sig00000db4 ; + wire \blk00000003/sig00000db3 ; + wire \blk00000003/sig00000db2 ; + wire \blk00000003/sig00000db1 ; + wire \blk00000003/sig00000db0 ; + wire \blk00000003/sig00000daf ; + wire \blk00000003/sig00000dae ; + wire \blk00000003/sig00000dad ; + wire \blk00000003/sig00000dac ; + wire \blk00000003/sig00000dab ; + wire \blk00000003/sig00000daa ; + wire \blk00000003/sig00000da9 ; + wire \blk00000003/sig00000da8 ; + wire \blk00000003/sig00000da7 ; + wire \blk00000003/sig00000da6 ; + wire \blk00000003/sig00000da5 ; + wire \blk00000003/sig00000da4 ; + wire \blk00000003/sig00000da3 ; + wire \blk00000003/sig00000da2 ; + wire \blk00000003/sig00000da1 ; + wire \blk00000003/sig00000da0 ; + wire \blk00000003/sig00000d9f ; + wire \blk00000003/sig00000d9e ; + wire \blk00000003/sig00000d9d ; + wire \blk00000003/sig00000d9c ; + wire \blk00000003/sig00000d9b ; + wire \blk00000003/sig00000d9a ; + wire \blk00000003/sig00000d99 ; + wire \blk00000003/sig00000d98 ; + wire \blk00000003/sig00000d97 ; + wire \blk00000003/sig00000d96 ; + wire \blk00000003/sig00000d95 ; + wire \blk00000003/sig00000d94 ; + wire \blk00000003/sig00000d93 ; + wire \blk00000003/sig00000d92 ; + wire \blk00000003/sig00000d91 ; + wire \blk00000003/sig00000d90 ; + wire \blk00000003/sig00000d8f ; + wire \blk00000003/sig00000d8e ; + wire \blk00000003/sig00000d8d ; + wire \blk00000003/sig00000d8c ; + wire \blk00000003/sig00000d8b ; + wire \blk00000003/sig00000d8a ; + wire \blk00000003/sig00000d89 ; + wire \blk00000003/sig00000d88 ; + wire \blk00000003/sig00000d87 ; + wire \blk00000003/sig00000d86 ; + wire \blk00000003/sig00000d85 ; + wire \blk00000003/sig00000d84 ; + wire \blk00000003/sig00000d83 ; + wire \blk00000003/sig00000d82 ; + wire \blk00000003/sig00000d81 ; + wire \blk00000003/sig00000d80 ; + wire \blk00000003/sig00000d7f ; + wire \blk00000003/sig00000d7e ; + wire \blk00000003/sig00000d7d ; + wire \blk00000003/sig00000d7c ; + wire \blk00000003/sig00000d7b ; + wire \blk00000003/sig00000d7a ; + wire \blk00000003/sig00000d79 ; + wire \blk00000003/sig00000d78 ; + wire \blk00000003/sig00000d77 ; + wire \blk00000003/sig00000d76 ; + wire \blk00000003/sig00000d75 ; + wire \blk00000003/sig00000d74 ; + wire \blk00000003/sig00000d73 ; + wire \blk00000003/sig00000d72 ; + wire \blk00000003/sig00000d71 ; + wire \blk00000003/sig00000d70 ; + wire \blk00000003/sig00000d6f ; + wire \blk00000003/sig00000d6e ; + wire \blk00000003/sig00000d6d ; + wire \blk00000003/sig00000d6c ; + wire \blk00000003/sig00000d6b ; + wire \blk00000003/sig00000d6a ; + wire \blk00000003/sig00000d69 ; + wire \blk00000003/sig00000d68 ; + wire \blk00000003/sig00000d67 ; + wire \blk00000003/sig00000d66 ; + wire \blk00000003/sig00000d65 ; + wire \blk00000003/sig00000d64 ; + wire \blk00000003/sig00000d63 ; + wire \blk00000003/sig00000d62 ; + wire \blk00000003/sig00000d61 ; + wire \blk00000003/sig00000d60 ; + wire \blk00000003/sig00000d5f ; + wire \blk00000003/sig00000d5e ; + wire \blk00000003/sig00000d5d ; + wire \blk00000003/sig00000d5c ; + wire \blk00000003/sig00000d5b ; + wire \blk00000003/sig00000d5a ; + wire \blk00000003/sig00000d59 ; + wire \blk00000003/sig00000d58 ; + wire \blk00000003/sig00000d57 ; + wire \blk00000003/sig00000d56 ; + wire \blk00000003/sig00000d55 ; + wire \blk00000003/sig00000d54 ; + wire \blk00000003/sig00000d53 ; + wire \blk00000003/sig00000d52 ; + wire \blk00000003/sig00000d51 ; + wire \blk00000003/sig00000d50 ; + wire \blk00000003/sig00000d4f ; + wire \blk00000003/sig00000d4e ; + wire \blk00000003/sig00000d4d ; + wire \blk00000003/sig00000d4c ; + wire \blk00000003/sig00000d4b ; + wire \blk00000003/sig00000d4a ; + wire \blk00000003/sig00000d49 ; + wire \blk00000003/sig00000d48 ; + wire \blk00000003/sig00000d47 ; + wire \blk00000003/sig00000d46 ; + wire \blk00000003/sig00000d45 ; + wire \blk00000003/sig00000d44 ; + wire \blk00000003/sig00000d43 ; + wire \blk00000003/sig00000d42 ; + wire \blk00000003/sig00000d41 ; + wire \blk00000003/sig00000d40 ; + wire \blk00000003/sig00000d3f ; + wire \blk00000003/sig00000d3e ; + wire \blk00000003/sig00000d3d ; + wire \blk00000003/sig00000d3c ; + wire \blk00000003/sig00000d3b ; + wire \blk00000003/sig00000d3a ; + wire \blk00000003/sig00000d39 ; + wire \blk00000003/sig00000d38 ; + wire \blk00000003/sig00000d37 ; + wire \blk00000003/sig00000d36 ; + wire \blk00000003/sig00000d35 ; + wire \blk00000003/sig00000d34 ; + wire \blk00000003/sig00000d33 ; + wire \blk00000003/sig00000d32 ; + wire \blk00000003/sig00000d31 ; + wire \blk00000003/sig00000d30 ; + wire \blk00000003/sig00000d2f ; + wire \blk00000003/sig00000d2e ; + wire \blk00000003/sig00000d2d ; + wire \blk00000003/sig00000d2c ; + wire \blk00000003/sig00000d2b ; + wire \blk00000003/sig00000d2a ; + wire \blk00000003/sig00000d29 ; + wire \blk00000003/sig00000d28 ; + wire \blk00000003/sig00000d27 ; + wire \blk00000003/sig00000d26 ; + wire \blk00000003/sig00000d25 ; + wire \blk00000003/sig00000d24 ; + wire \blk00000003/sig00000d23 ; + wire \blk00000003/sig00000d22 ; + wire \blk00000003/sig00000d21 ; + wire \blk00000003/sig00000d20 ; + wire \blk00000003/sig00000d1f ; + wire \blk00000003/sig00000d1e ; + wire \blk00000003/sig00000d1d ; + wire \blk00000003/sig00000d1c ; + wire \blk00000003/sig00000d1b ; + wire \blk00000003/sig00000d1a ; + wire \blk00000003/sig00000d19 ; + wire \blk00000003/sig00000d18 ; + wire \blk00000003/sig00000d17 ; + wire \blk00000003/sig00000d16 ; + wire \blk00000003/sig00000d15 ; + wire \blk00000003/sig00000d14 ; + wire \blk00000003/sig00000d13 ; + wire \blk00000003/sig00000d12 ; + wire \blk00000003/sig00000d11 ; + wire \blk00000003/sig00000d10 ; + wire \blk00000003/sig00000d0f ; + wire \blk00000003/sig00000d0e ; + wire \blk00000003/sig00000d0d ; + wire \blk00000003/sig00000d0c ; + wire \blk00000003/sig00000d0b ; + wire \blk00000003/sig00000d0a ; + wire \blk00000003/sig00000d09 ; + wire \blk00000003/sig00000d08 ; + wire \blk00000003/sig00000d07 ; + wire \blk00000003/sig00000d06 ; + wire \blk00000003/sig00000d05 ; + wire \blk00000003/sig00000d04 ; + wire \blk00000003/sig00000d03 ; + wire \blk00000003/sig00000d02 ; + wire \blk00000003/sig00000d01 ; + wire \blk00000003/sig00000d00 ; + wire \blk00000003/sig00000cff ; + wire \blk00000003/sig00000cfe ; + wire \blk00000003/sig00000cfd ; + wire \blk00000003/sig00000cfc ; + wire \blk00000003/sig00000cfb ; + wire \blk00000003/sig00000cfa ; + wire \blk00000003/sig00000cf9 ; + wire \blk00000003/sig00000cf8 ; + wire \blk00000003/sig00000cf7 ; + wire \blk00000003/sig00000cf6 ; + wire \blk00000003/sig00000cf5 ; + wire \blk00000003/sig00000cf4 ; + wire \blk00000003/sig00000cf3 ; + wire \blk00000003/sig00000cf2 ; + wire \blk00000003/sig00000cf1 ; + wire \blk00000003/sig00000cf0 ; + wire \blk00000003/sig00000cef ; + wire \blk00000003/sig00000cee ; + wire \blk00000003/sig00000ced ; + wire \blk00000003/sig00000cec ; + wire \blk00000003/sig00000ceb ; + wire \blk00000003/sig00000cea ; + wire \blk00000003/sig00000ce9 ; + wire \blk00000003/sig00000ce8 ; + wire \blk00000003/sig00000ce7 ; + wire \blk00000003/sig00000ce6 ; + wire \blk00000003/sig00000ce5 ; + wire \blk00000003/sig00000ce4 ; + wire \blk00000003/sig00000ce3 ; + wire \blk00000003/sig00000ce2 ; + wire \blk00000003/sig00000ce1 ; + wire \blk00000003/sig00000ce0 ; + wire \blk00000003/sig00000cdf ; + wire \blk00000003/sig00000cde ; + wire \blk00000003/sig00000cdd ; + wire \blk00000003/sig00000cdc ; + wire \blk00000003/sig00000cdb ; + wire \blk00000003/sig00000cda ; + wire \blk00000003/sig00000cd9 ; + wire \blk00000003/sig00000cd8 ; + wire \blk00000003/sig00000cd7 ; + wire \blk00000003/sig00000cd6 ; + wire \blk00000003/sig00000cd5 ; + wire \blk00000003/sig00000cd4 ; + wire \blk00000003/sig00000cd3 ; + wire \blk00000003/sig00000cd2 ; + wire \blk00000003/sig00000cd1 ; + wire \blk00000003/sig00000cd0 ; + wire \blk00000003/sig00000ccf ; + wire \blk00000003/sig00000cce ; + wire \blk00000003/sig00000ccd ; + wire \blk00000003/sig00000ccc ; + wire \blk00000003/sig00000ccb ; + wire \blk00000003/sig00000cca ; + wire \blk00000003/sig00000cc9 ; + wire \blk00000003/sig00000cc8 ; + wire \blk00000003/sig00000cc7 ; + wire \blk00000003/sig00000cc6 ; + wire \blk00000003/sig00000cc5 ; + wire \blk00000003/sig00000cc4 ; + wire \blk00000003/sig00000cc3 ; + wire \blk00000003/sig00000cc2 ; + wire \blk00000003/sig00000cc1 ; + wire \blk00000003/sig00000cc0 ; + wire \blk00000003/sig00000cbf ; + wire \blk00000003/sig00000cbe ; + wire \blk00000003/sig00000cbd ; + wire \blk00000003/sig00000cbc ; + wire \blk00000003/sig00000cbb ; + wire \blk00000003/sig00000cba ; + wire \blk00000003/sig00000cb9 ; + wire \blk00000003/sig00000cb8 ; + wire \blk00000003/sig00000cb7 ; + wire \blk00000003/sig00000cb6 ; + wire \blk00000003/sig00000cb5 ; + wire \blk00000003/sig00000cb4 ; + wire \blk00000003/sig00000cb3 ; + wire \blk00000003/sig00000cb2 ; + wire \blk00000003/sig00000cb1 ; + wire \blk00000003/sig00000cb0 ; + wire \blk00000003/sig00000caf ; + wire \blk00000003/sig00000cae ; + wire \blk00000003/sig00000cad ; + wire \blk00000003/sig00000cac ; + wire \blk00000003/sig00000cab ; + wire \blk00000003/sig00000caa ; + wire \blk00000003/sig00000ca9 ; + wire \blk00000003/sig00000ca8 ; + wire \blk00000003/sig00000ca7 ; + wire \blk00000003/sig00000ca6 ; + wire \blk00000003/sig00000ca5 ; + wire \blk00000003/sig00000ca4 ; + wire \blk00000003/sig00000ca3 ; + wire \blk00000003/sig00000ca2 ; + wire \blk00000003/sig00000ca1 ; + wire \blk00000003/sig00000ca0 ; + wire \blk00000003/sig00000c9f ; + wire \blk00000003/sig00000c9e ; + wire \blk00000003/sig00000c9d ; + wire \blk00000003/sig00000c9c ; + wire \blk00000003/sig00000c9b ; + wire \blk00000003/sig00000c9a ; + wire \blk00000003/sig00000c99 ; + wire \blk00000003/sig00000c98 ; + wire \blk00000003/sig00000c97 ; + wire \blk00000003/sig00000c96 ; + wire \blk00000003/sig00000c95 ; + wire \blk00000003/sig00000c94 ; + wire \blk00000003/sig00000c93 ; + wire \blk00000003/sig00000c92 ; + wire \blk00000003/sig00000c91 ; + wire \blk00000003/sig00000c90 ; + wire \blk00000003/sig00000c8f ; + wire \blk00000003/sig00000c8e ; + wire \blk00000003/sig00000c8d ; + wire \blk00000003/sig00000c8c ; + wire \blk00000003/sig00000c8b ; + wire \blk00000003/sig00000c8a ; + wire \blk00000003/sig00000c89 ; + wire \blk00000003/sig00000c88 ; + wire \blk00000003/sig00000c87 ; + wire \blk00000003/sig00000c86 ; + wire \blk00000003/sig00000c85 ; + wire \blk00000003/sig00000c84 ; + wire \blk00000003/sig00000c83 ; + wire \blk00000003/sig00000c82 ; + wire \blk00000003/sig00000c81 ; + wire \blk00000003/sig00000c80 ; + wire \blk00000003/sig00000c7f ; + wire \blk00000003/sig00000c7e ; + wire \blk00000003/sig00000c7d ; + wire \blk00000003/sig00000c7c ; + wire \blk00000003/sig00000c7b ; + wire \blk00000003/sig00000c7a ; + wire \blk00000003/sig00000c79 ; + wire \blk00000003/sig00000c78 ; + wire \blk00000003/sig00000c77 ; + wire \blk00000003/sig00000c76 ; + wire \blk00000003/sig00000c75 ; + wire \blk00000003/sig00000c74 ; + wire \blk00000003/sig00000c73 ; + wire \blk00000003/sig00000c72 ; + wire \blk00000003/sig00000c71 ; + wire \blk00000003/sig00000c70 ; + wire \blk00000003/sig00000c6f ; + wire \blk00000003/sig00000c6e ; + wire \blk00000003/sig00000c6d ; + wire \blk00000003/sig00000c6c ; + wire \blk00000003/sig00000c6b ; + wire \blk00000003/sig00000c6a ; + wire \blk00000003/sig00000c69 ; + wire \blk00000003/sig00000c68 ; + wire \blk00000003/sig00000c67 ; + wire \blk00000003/sig00000c66 ; + wire \blk00000003/sig00000c65 ; + wire \blk00000003/sig00000c64 ; + wire \blk00000003/sig00000c63 ; + wire \blk00000003/sig00000c62 ; + wire \blk00000003/sig00000c61 ; + wire \blk00000003/sig00000c60 ; + wire \blk00000003/sig00000c5f ; + wire \blk00000003/sig00000c5e ; + wire \blk00000003/sig00000c5d ; + wire \blk00000003/sig00000c5c ; + wire \blk00000003/sig00000c5b ; + wire \blk00000003/sig00000c5a ; + wire \blk00000003/sig00000c59 ; + wire \blk00000003/sig00000c58 ; + wire \blk00000003/sig00000c57 ; + wire \blk00000003/sig00000c56 ; + wire \blk00000003/sig00000c55 ; + wire \blk00000003/sig00000c54 ; + wire \blk00000003/sig00000c53 ; + wire \blk00000003/sig00000c52 ; + wire \blk00000003/sig00000c51 ; + wire \blk00000003/sig00000c50 ; + wire \blk00000003/sig00000c4f ; + wire \blk00000003/sig00000c4e ; + wire \blk00000003/sig00000c4d ; + wire \blk00000003/sig00000c4c ; + wire \blk00000003/sig00000c4b ; + wire \blk00000003/sig00000c4a ; + wire \blk00000003/sig00000c49 ; + wire \blk00000003/sig00000c48 ; + wire \blk00000003/sig00000c47 ; + wire \blk00000003/sig00000c46 ; + wire \blk00000003/sig00000c45 ; + wire \blk00000003/sig00000c44 ; + wire \blk00000003/sig00000c43 ; + wire \blk00000003/sig00000c42 ; + wire \blk00000003/sig00000c41 ; + wire \blk00000003/sig00000c40 ; + wire \blk00000003/sig00000c3f ; + wire \blk00000003/sig00000c3e ; + wire \blk00000003/sig00000c3d ; + wire \blk00000003/sig00000c3c ; + wire \blk00000003/sig00000c3b ; + wire \blk00000003/sig00000c3a ; + wire \blk00000003/sig00000c39 ; + wire \blk00000003/sig00000c38 ; + wire \blk00000003/sig00000c37 ; + wire \blk00000003/sig00000c36 ; + wire \blk00000003/sig00000c35 ; + wire \blk00000003/sig00000c34 ; + wire \blk00000003/sig00000c33 ; + wire \blk00000003/sig00000c32 ; + wire \blk00000003/sig00000c31 ; + wire \blk00000003/sig00000c30 ; + wire \blk00000003/sig00000c2f ; + wire \blk00000003/sig00000c2e ; + wire \blk00000003/sig00000c2d ; + wire \blk00000003/sig00000c2c ; + wire \blk00000003/sig00000c2b ; + wire \blk00000003/sig00000c2a ; + wire \blk00000003/sig00000c29 ; + wire \blk00000003/sig00000c28 ; + wire \blk00000003/sig00000c27 ; + wire \blk00000003/sig00000c26 ; + wire \blk00000003/sig00000c25 ; + wire \blk00000003/sig00000c24 ; + wire \blk00000003/sig00000c23 ; + wire \blk00000003/sig00000c22 ; + wire \blk00000003/sig00000c21 ; + wire \blk00000003/sig00000c20 ; + wire \blk00000003/sig00000c1f ; + wire \blk00000003/sig00000c1e ; + wire \blk00000003/sig00000c1d ; + wire \blk00000003/sig00000c1c ; + wire \blk00000003/sig00000c1b ; + wire \blk00000003/sig00000c1a ; + wire \blk00000003/sig00000c19 ; + wire \blk00000003/sig00000c18 ; + wire \blk00000003/sig00000c17 ; + wire \blk00000003/sig00000c16 ; + wire \blk00000003/sig00000c15 ; + wire \blk00000003/sig00000c14 ; + wire \blk00000003/sig00000c13 ; + wire \blk00000003/sig00000c12 ; + wire \blk00000003/sig00000c11 ; + wire \blk00000003/sig00000c10 ; + wire \blk00000003/sig00000c0f ; + wire \blk00000003/sig00000c0e ; + wire \blk00000003/sig00000c0d ; + wire \blk00000003/sig00000c0c ; + wire \blk00000003/sig00000c0b ; + wire \blk00000003/sig00000c0a ; + wire \blk00000003/sig00000c09 ; + wire \blk00000003/sig00000c08 ; + wire \blk00000003/sig00000c07 ; + wire \blk00000003/sig00000c06 ; + wire \blk00000003/sig00000c05 ; + wire \blk00000003/sig00000c04 ; + wire \blk00000003/sig00000c03 ; + wire \blk00000003/sig00000c02 ; + wire \blk00000003/sig00000c01 ; + wire \blk00000003/sig00000c00 ; + wire \blk00000003/sig00000bff ; + wire \blk00000003/sig00000bfe ; + wire \blk00000003/sig00000bfd ; + wire \blk00000003/sig00000bfc ; + wire \blk00000003/sig00000bfb ; + wire \blk00000003/sig00000bfa ; + wire \blk00000003/sig00000bf9 ; + wire \blk00000003/sig00000bf8 ; + wire \blk00000003/sig00000bf7 ; + wire \blk00000003/sig00000bf6 ; + wire \blk00000003/sig00000bf5 ; + wire \blk00000003/sig00000bf4 ; + wire \blk00000003/sig00000bf3 ; + wire \blk00000003/sig00000bf2 ; + wire \blk00000003/sig00000bf1 ; + wire \blk00000003/sig00000bf0 ; + wire \blk00000003/sig00000bef ; + wire \blk00000003/sig00000bee ; + wire \blk00000003/sig00000bed ; + wire \blk00000003/sig00000bec ; + wire \blk00000003/sig00000beb ; + wire \blk00000003/sig00000bea ; + wire \blk00000003/sig00000be9 ; + wire \blk00000003/sig00000be8 ; + wire \blk00000003/sig00000be7 ; + wire \blk00000003/sig00000be6 ; + wire \blk00000003/sig00000be5 ; + wire \blk00000003/sig00000be4 ; + wire \blk00000003/sig00000be3 ; + wire \blk00000003/sig00000be2 ; + wire \blk00000003/sig00000be1 ; + wire \blk00000003/sig00000be0 ; + wire \blk00000003/sig00000bdf ; + wire \blk00000003/sig00000bde ; + wire \blk00000003/sig00000bdd ; + wire \blk00000003/sig00000bdc ; + wire \blk00000003/sig00000bdb ; + wire \blk00000003/sig00000bda ; + wire \blk00000003/sig00000bd9 ; + wire \blk00000003/sig00000bd8 ; + wire \blk00000003/sig00000bd7 ; + wire \blk00000003/sig00000bd6 ; + wire \blk00000003/sig00000bd5 ; + wire \blk00000003/sig00000bd4 ; + wire \blk00000003/sig00000bd3 ; + wire \blk00000003/sig00000bd2 ; + wire \blk00000003/sig00000bd1 ; + wire \blk00000003/sig00000bd0 ; + wire \blk00000003/sig00000bcf ; + wire \blk00000003/sig00000bce ; + wire \blk00000003/sig00000bcd ; + wire \blk00000003/sig00000bcc ; + wire \blk00000003/sig00000bcb ; + wire \blk00000003/sig00000bca ; + wire \blk00000003/sig00000bc9 ; + wire \blk00000003/sig00000bc8 ; + wire \blk00000003/sig00000bc7 ; + wire \blk00000003/sig00000bc6 ; + wire \blk00000003/sig00000bc5 ; + wire \blk00000003/sig00000bc4 ; + wire \blk00000003/sig00000bc3 ; + wire \blk00000003/sig00000bc2 ; + wire \blk00000003/sig00000bc1 ; + wire \blk00000003/sig00000bc0 ; + wire \blk00000003/sig00000bbf ; + wire \blk00000003/sig00000bbe ; + wire \blk00000003/sig00000bbd ; + wire \blk00000003/sig00000bbc ; + wire \blk00000003/sig00000bbb ; + wire \blk00000003/sig00000bba ; + wire \blk00000003/sig00000bb9 ; + wire \blk00000003/sig00000bb8 ; + wire \blk00000003/sig00000bb7 ; + wire \blk00000003/sig00000bb6 ; + wire \blk00000003/sig00000bb5 ; + wire \blk00000003/sig00000bb4 ; + wire \blk00000003/sig00000bb3 ; + wire \blk00000003/sig00000bb2 ; + wire \blk00000003/sig00000bb1 ; + wire \blk00000003/sig00000bb0 ; + wire \blk00000003/sig00000baf ; + wire \blk00000003/sig00000bae ; + wire \blk00000003/sig00000bad ; + wire \blk00000003/sig00000bac ; + wire \blk00000003/sig00000bab ; + wire \blk00000003/sig00000baa ; + wire \blk00000003/sig00000ba9 ; + wire \blk00000003/sig00000ba8 ; + wire \blk00000003/sig00000ba7 ; + wire \blk00000003/sig00000ba6 ; + wire \blk00000003/sig00000ba5 ; + wire \blk00000003/sig00000ba4 ; + wire \blk00000003/sig00000ba3 ; + wire \blk00000003/sig00000ba2 ; + wire \blk00000003/sig00000ba1 ; + wire \blk00000003/sig00000ba0 ; + wire \blk00000003/sig00000b9f ; + wire \blk00000003/sig00000b9e ; + wire \blk00000003/sig00000b9d ; + wire \blk00000003/sig00000b9c ; + wire \blk00000003/sig00000b9b ; + wire \blk00000003/sig00000b9a ; + wire \blk00000003/sig00000b99 ; + wire \blk00000003/sig00000b98 ; + wire \blk00000003/sig00000b97 ; + wire \blk00000003/sig00000b96 ; + wire \blk00000003/sig00000b95 ; + wire \blk00000003/sig00000b94 ; + wire \blk00000003/sig00000b93 ; + wire \blk00000003/sig00000b92 ; + wire \blk00000003/sig00000b91 ; + wire \blk00000003/sig00000b90 ; + wire \blk00000003/sig00000b8f ; + wire \blk00000003/sig00000b8e ; + wire \blk00000003/sig00000b8d ; + wire \blk00000003/sig00000b8c ; + wire \blk00000003/sig00000b8b ; + wire \blk00000003/sig00000b8a ; + wire \blk00000003/sig00000b89 ; + wire \blk00000003/sig00000b88 ; + wire \blk00000003/sig00000b87 ; + wire \blk00000003/sig00000b86 ; + wire \blk00000003/sig00000b85 ; + wire \blk00000003/sig00000b84 ; + wire \blk00000003/sig00000b83 ; + wire \blk00000003/sig00000b82 ; + wire \blk00000003/sig00000b81 ; + wire \blk00000003/sig00000b80 ; + wire \blk00000003/sig00000b7f ; + wire \blk00000003/sig00000b7e ; + wire \blk00000003/sig00000b7d ; + wire \blk00000003/sig00000b7c ; + wire \blk00000003/sig00000b7b ; + wire \blk00000003/sig00000b7a ; + wire \blk00000003/sig00000b79 ; + wire \blk00000003/sig00000b78 ; + wire \blk00000003/sig00000b77 ; + wire \blk00000003/sig00000b76 ; + wire \blk00000003/sig00000b75 ; + wire \blk00000003/sig00000b74 ; + wire \blk00000003/sig00000b73 ; + wire \blk00000003/sig00000b72 ; + wire \blk00000003/sig00000b71 ; + wire \blk00000003/sig00000b70 ; + wire \blk00000003/sig00000b6f ; + wire \blk00000003/sig00000b6e ; + wire \blk00000003/sig00000b6d ; + wire \blk00000003/sig00000b6c ; + wire \blk00000003/sig00000b6b ; + wire \blk00000003/sig00000b6a ; + wire \blk00000003/sig00000b69 ; + wire \blk00000003/sig00000b68 ; + wire \blk00000003/sig00000b67 ; + wire \blk00000003/sig00000b66 ; + wire \blk00000003/sig00000b65 ; + wire \blk00000003/sig00000b64 ; + wire \blk00000003/sig00000b63 ; + wire \blk00000003/sig00000b62 ; + wire \blk00000003/sig00000b61 ; + wire \blk00000003/sig00000b60 ; + wire \blk00000003/sig00000b5f ; + wire \blk00000003/sig00000b5e ; + wire \blk00000003/sig00000b5d ; + wire \blk00000003/sig00000b5c ; + wire \blk00000003/sig00000b5b ; + wire \blk00000003/sig00000b5a ; + wire \blk00000003/sig00000b59 ; + wire \blk00000003/sig00000b58 ; + wire \blk00000003/sig00000b57 ; + wire \blk00000003/sig00000b56 ; + wire \blk00000003/sig00000b55 ; + wire \blk00000003/sig00000b54 ; + wire \blk00000003/sig00000b53 ; + wire \blk00000003/sig00000b52 ; + wire \blk00000003/sig00000b51 ; + wire \blk00000003/sig00000b50 ; + wire \blk00000003/sig00000b4f ; + wire \blk00000003/sig00000b4e ; + wire \blk00000003/sig00000b4d ; + wire \blk00000003/sig00000b4c ; + wire \blk00000003/sig00000b4b ; + wire \blk00000003/sig00000b4a ; + wire \blk00000003/sig00000b49 ; + wire \blk00000003/sig00000b48 ; + wire \blk00000003/sig00000b47 ; + wire \blk00000003/sig00000b46 ; + wire \blk00000003/sig00000b45 ; + wire \blk00000003/sig00000b44 ; + wire \blk00000003/sig00000b43 ; + wire \blk00000003/sig00000b42 ; + wire \blk00000003/sig00000b41 ; + wire \blk00000003/sig00000b40 ; + wire \blk00000003/sig00000b3f ; + wire \blk00000003/sig00000b3e ; + wire \blk00000003/sig00000b3d ; + wire \blk00000003/sig00000b3c ; + wire \blk00000003/sig00000b3b ; + wire \blk00000003/sig00000b3a ; + wire \blk00000003/sig00000b39 ; + wire \blk00000003/sig00000b38 ; + wire \blk00000003/sig00000b37 ; + wire \blk00000003/sig00000b36 ; + wire \blk00000003/sig00000b35 ; + wire \blk00000003/sig00000b34 ; + wire \blk00000003/sig00000b33 ; + wire \blk00000003/sig00000b32 ; + wire \blk00000003/sig00000b31 ; + wire \blk00000003/sig00000b30 ; + wire \blk00000003/sig00000b2f ; + wire \blk00000003/sig00000b2e ; + wire \blk00000003/sig00000b2d ; + wire \blk00000003/sig00000b2c ; + wire \blk00000003/sig00000b2b ; + wire \blk00000003/sig00000b2a ; + wire \blk00000003/sig00000b29 ; + wire \blk00000003/sig00000b28 ; + wire \blk00000003/sig00000b27 ; + wire \blk00000003/sig00000b26 ; + wire \blk00000003/sig00000b25 ; + wire \blk00000003/sig00000b24 ; + wire \blk00000003/sig00000b23 ; + wire \blk00000003/sig00000b22 ; + wire \blk00000003/sig00000b21 ; + wire \blk00000003/sig00000b20 ; + wire \blk00000003/sig00000b1f ; + wire \blk00000003/sig00000b1e ; + wire \blk00000003/sig00000b1d ; + wire \blk00000003/sig00000b1c ; + wire \blk00000003/sig00000b1b ; + wire \blk00000003/sig00000b1a ; + wire \blk00000003/sig00000b19 ; + wire \blk00000003/sig00000b18 ; + wire \blk00000003/sig00000b17 ; + wire \blk00000003/sig00000b16 ; + wire \blk00000003/sig00000b15 ; + wire \blk00000003/sig00000b14 ; + wire \blk00000003/sig00000b13 ; + wire \blk00000003/sig00000b12 ; + wire \blk00000003/sig00000b11 ; + wire \blk00000003/sig00000b10 ; + wire \blk00000003/sig00000b0f ; + wire \blk00000003/sig00000b0e ; + wire \blk00000003/sig00000b0d ; + wire \blk00000003/sig00000b0c ; + wire \blk00000003/sig00000b0b ; + wire \blk00000003/sig00000b0a ; + wire \blk00000003/sig00000b09 ; + wire \blk00000003/sig00000b08 ; + wire \blk00000003/sig00000b07 ; + wire \blk00000003/sig00000b06 ; + wire \blk00000003/sig00000b05 ; + wire \blk00000003/sig00000b04 ; + wire \blk00000003/sig00000b03 ; + wire \blk00000003/sig00000b02 ; + wire \blk00000003/sig00000b01 ; + wire \blk00000003/sig00000b00 ; + wire \blk00000003/sig00000aff ; + wire \blk00000003/sig00000afe ; + wire \blk00000003/sig00000afd ; + wire \blk00000003/sig00000afc ; + wire \blk00000003/sig00000afb ; + wire \blk00000003/sig00000afa ; + wire \blk00000003/sig00000af9 ; + wire \blk00000003/sig00000af8 ; + wire \blk00000003/sig00000af7 ; + wire \blk00000003/sig00000af6 ; + wire \blk00000003/sig00000af5 ; + wire \blk00000003/sig00000af4 ; + wire \blk00000003/sig00000af3 ; + wire \blk00000003/sig00000af2 ; + wire \blk00000003/sig00000af1 ; + wire \blk00000003/sig00000af0 ; + wire \blk00000003/sig00000aef ; + wire \blk00000003/sig00000aee ; + wire \blk00000003/sig00000aed ; + wire \blk00000003/sig00000aec ; + wire \blk00000003/sig00000aeb ; + wire \blk00000003/sig00000aea ; + wire \blk00000003/sig00000ae9 ; + wire \blk00000003/sig00000ae8 ; + wire \blk00000003/sig00000ae7 ; + wire \blk00000003/sig00000ae6 ; + wire \blk00000003/sig00000ae5 ; + wire \blk00000003/sig00000ae4 ; + wire \blk00000003/sig00000ae3 ; + wire \blk00000003/sig00000ae2 ; + wire \blk00000003/sig00000ae1 ; + wire \blk00000003/sig00000ae0 ; + wire \blk00000003/sig00000adf ; + wire \blk00000003/sig00000ade ; + wire \blk00000003/sig00000add ; + wire \blk00000003/sig00000adc ; + wire \blk00000003/sig00000adb ; + wire \blk00000003/sig00000ada ; + wire \blk00000003/sig00000ad9 ; + wire \blk00000003/sig00000ad8 ; + wire \blk00000003/sig00000ad7 ; + wire \blk00000003/sig00000ad6 ; + wire \blk00000003/sig00000ad5 ; + wire \blk00000003/sig00000ad4 ; + wire \blk00000003/sig00000ad3 ; + wire \blk00000003/sig00000ad2 ; + wire \blk00000003/sig00000ad1 ; + wire \blk00000003/sig00000ad0 ; + wire \blk00000003/sig00000acf ; + wire \blk00000003/sig00000ace ; + wire \blk00000003/sig00000acd ; + wire \blk00000003/sig00000acc ; + wire \blk00000003/sig00000acb ; + wire \blk00000003/sig00000aca ; + wire \blk00000003/sig00000ac9 ; + wire \blk00000003/sig00000ac8 ; + wire \blk00000003/sig00000ac7 ; + wire \blk00000003/sig00000ac6 ; + wire \blk00000003/sig00000ac5 ; + wire \blk00000003/sig00000ac4 ; + wire \blk00000003/sig00000ac3 ; + wire \blk00000003/sig00000ac2 ; + wire \blk00000003/sig00000ac1 ; + wire \blk00000003/sig00000ac0 ; + wire \blk00000003/sig00000abf ; + wire \blk00000003/sig00000abe ; + wire \blk00000003/sig00000abd ; + wire \blk00000003/sig00000abc ; + wire \blk00000003/sig00000abb ; + wire \blk00000003/sig00000aba ; + wire \blk00000003/sig00000ab9 ; + wire \blk00000003/sig00000ab8 ; + wire \blk00000003/sig00000ab7 ; + wire \blk00000003/sig00000ab6 ; + wire \blk00000003/sig00000ab5 ; + wire \blk00000003/sig00000ab4 ; + wire \blk00000003/sig00000ab3 ; + wire \blk00000003/sig00000ab2 ; + wire \blk00000003/sig00000ab1 ; + wire \blk00000003/sig00000ab0 ; + wire \blk00000003/sig00000aaf ; + wire \blk00000003/sig00000aae ; + wire \blk00000003/sig00000aad ; + wire \blk00000003/sig00000aac ; + wire \blk00000003/sig00000aab ; + wire \blk00000003/sig00000aaa ; + wire \blk00000003/sig00000aa9 ; + wire \blk00000003/sig00000aa8 ; + wire \blk00000003/sig00000aa7 ; + wire \blk00000003/sig00000aa6 ; + wire \blk00000003/sig00000aa5 ; + wire \blk00000003/sig00000aa4 ; + wire \blk00000003/sig00000aa3 ; + wire \blk00000003/sig00000aa2 ; + wire \blk00000003/sig00000aa1 ; + wire \blk00000003/sig00000aa0 ; + wire \blk00000003/sig00000a9f ; + wire \blk00000003/sig00000a9e ; + wire \blk00000003/sig00000a9d ; + wire \blk00000003/sig00000a9c ; + wire \blk00000003/sig00000a9b ; + wire \blk00000003/sig00000a9a ; + wire \blk00000003/sig00000a99 ; + wire \blk00000003/sig00000a98 ; + wire \blk00000003/sig00000a97 ; + wire \blk00000003/sig00000a96 ; + wire \blk00000003/sig00000a95 ; + wire \blk00000003/sig00000a94 ; + wire \blk00000003/sig00000a93 ; + wire \blk00000003/sig00000a92 ; + wire \blk00000003/sig00000a91 ; + wire \blk00000003/sig00000a90 ; + wire \blk00000003/sig00000a8f ; + wire \blk00000003/sig00000a8e ; + wire \blk00000003/sig00000a8d ; + wire \blk00000003/sig00000a8c ; + wire \blk00000003/sig00000a8b ; + wire \blk00000003/sig00000a8a ; + wire \blk00000003/sig00000a89 ; + wire \blk00000003/sig00000a88 ; + wire \blk00000003/sig00000a87 ; + wire \blk00000003/sig00000a86 ; + wire \blk00000003/sig00000a85 ; + wire \blk00000003/sig00000a84 ; + wire \blk00000003/sig00000a83 ; + wire \blk00000003/sig00000a82 ; + wire \blk00000003/sig00000a81 ; + wire \blk00000003/sig00000a80 ; + wire \blk00000003/sig00000a7f ; + wire \blk00000003/sig00000a7e ; + wire \blk00000003/sig00000a7d ; + wire \blk00000003/sig00000a7c ; + wire \blk00000003/sig00000a7b ; + wire \blk00000003/sig00000a7a ; + wire \blk00000003/sig00000a79 ; + wire \blk00000003/sig00000a78 ; + wire \blk00000003/sig00000a77 ; + wire \blk00000003/sig00000a76 ; + wire \blk00000003/sig00000a75 ; + wire \blk00000003/sig00000a74 ; + wire \blk00000003/sig00000a73 ; + wire \blk00000003/sig00000a72 ; + wire \blk00000003/sig00000a71 ; + wire \blk00000003/sig00000a70 ; + wire \blk00000003/sig00000a6f ; + wire \blk00000003/sig00000a6e ; + wire \blk00000003/sig00000a6d ; + wire \blk00000003/sig00000a6c ; + wire \blk00000003/sig00000a6b ; + wire \blk00000003/sig00000a6a ; + wire \blk00000003/sig00000a69 ; + wire \blk00000003/sig00000a68 ; + wire \blk00000003/sig00000a67 ; + wire \blk00000003/sig00000a66 ; + wire \blk00000003/sig00000a65 ; + wire \blk00000003/sig00000a64 ; + wire \blk00000003/sig00000a63 ; + wire \blk00000003/sig00000a62 ; + wire \blk00000003/sig00000a61 ; + wire \blk00000003/sig00000a60 ; + wire \blk00000003/sig00000a5f ; + wire \blk00000003/sig00000a5e ; + wire \blk00000003/sig00000a5d ; + wire \blk00000003/sig00000a5c ; + wire \blk00000003/sig00000a5b ; + wire \blk00000003/sig00000a5a ; + wire \blk00000003/sig00000a59 ; + wire \blk00000003/sig00000a58 ; + wire \blk00000003/sig00000a57 ; + wire \blk00000003/sig00000a56 ; + wire \blk00000003/sig00000a55 ; + wire \blk00000003/sig00000a54 ; + wire \blk00000003/sig00000a53 ; + wire \blk00000003/sig00000a52 ; + wire \blk00000003/sig00000a51 ; + wire \blk00000003/sig00000a50 ; + wire \blk00000003/sig00000a4f ; + wire \blk00000003/sig00000a4e ; + wire \blk00000003/sig00000a4d ; + wire \blk00000003/sig00000a4c ; + wire \blk00000003/sig00000a4b ; + wire \blk00000003/sig00000a4a ; + wire \blk00000003/sig00000a49 ; + wire \blk00000003/sig00000a48 ; + wire \blk00000003/sig00000a47 ; + wire \blk00000003/sig00000a46 ; + wire \blk00000003/sig00000a45 ; + wire \blk00000003/sig00000a44 ; + wire \blk00000003/sig00000a43 ; + wire \blk00000003/sig00000a42 ; + wire \blk00000003/sig00000a41 ; + wire \blk00000003/sig00000a40 ; + wire \blk00000003/sig00000a3f ; + wire \blk00000003/sig00000a3e ; + wire \blk00000003/sig00000a3d ; + wire \blk00000003/sig00000a3c ; + wire \blk00000003/sig00000a3b ; + wire \blk00000003/sig00000a3a ; + wire \blk00000003/sig00000a39 ; + wire \blk00000003/sig00000a38 ; + wire \blk00000003/sig00000a37 ; + wire \blk00000003/sig00000a36 ; + wire \blk00000003/sig00000a35 ; + wire \blk00000003/sig00000a34 ; + wire \blk00000003/sig00000a33 ; + wire \blk00000003/sig00000a32 ; + wire \blk00000003/sig00000a31 ; + wire \blk00000003/sig00000a30 ; + wire \blk00000003/sig00000a2f ; + wire \blk00000003/sig00000a2e ; + wire \blk00000003/sig00000a2d ; + wire \blk00000003/sig00000a2c ; + wire \blk00000003/sig00000a2b ; + wire \blk00000003/sig00000a2a ; + wire \blk00000003/sig00000a29 ; + wire \blk00000003/sig00000a28 ; + wire \blk00000003/sig00000a27 ; + wire \blk00000003/sig00000a26 ; + wire \blk00000003/sig00000a25 ; + wire \blk00000003/sig00000a24 ; + wire \blk00000003/sig00000a23 ; + wire \blk00000003/sig00000a22 ; + wire \blk00000003/sig00000a21 ; + wire \blk00000003/sig00000a20 ; + wire \blk00000003/sig00000a1f ; + wire \blk00000003/sig00000a1e ; + wire \blk00000003/sig00000a1d ; + wire \blk00000003/sig00000a1c ; + wire \blk00000003/sig00000a1b ; + wire \blk00000003/sig00000a1a ; + wire \blk00000003/sig00000a19 ; + wire \blk00000003/sig00000a18 ; + wire \blk00000003/sig00000a17 ; + wire \blk00000003/sig00000a16 ; + wire \blk00000003/sig00000a15 ; + wire \blk00000003/sig00000a14 ; + wire \blk00000003/sig00000a13 ; + wire \blk00000003/sig00000a12 ; + wire \blk00000003/sig00000a11 ; + wire \blk00000003/sig00000a10 ; + wire \blk00000003/sig00000a0f ; + wire \blk00000003/sig00000a0e ; + wire \blk00000003/sig00000a0d ; + wire \blk00000003/sig00000a0c ; + wire \blk00000003/sig00000a0b ; + wire \blk00000003/sig00000a0a ; + wire \blk00000003/sig00000a09 ; + wire \blk00000003/sig00000a08 ; + wire \blk00000003/sig00000a07 ; + wire \blk00000003/sig00000a06 ; + wire \blk00000003/sig00000a05 ; + wire \blk00000003/sig00000a04 ; + wire \blk00000003/sig00000a03 ; + wire \blk00000003/sig00000a02 ; + wire \blk00000003/sig00000a01 ; + wire \blk00000003/sig00000a00 ; + wire \blk00000003/sig000009ff ; + wire \blk00000003/sig000009fe ; + wire \blk00000003/sig000009fd ; + wire \blk00000003/sig000009fc ; + wire \blk00000003/sig000009fb ; + wire \blk00000003/sig000009fa ; + wire \blk00000003/sig000009f9 ; + wire \blk00000003/sig000009f8 ; + wire \blk00000003/sig000009f7 ; + wire \blk00000003/sig000009f6 ; + wire \blk00000003/sig000009f5 ; + wire \blk00000003/sig000009f4 ; + wire \blk00000003/sig000009f3 ; + wire \blk00000003/sig000009f2 ; + wire \blk00000003/sig000009f1 ; + wire \blk00000003/sig000009f0 ; + wire \blk00000003/sig000009ef ; + wire \blk00000003/sig000009ee ; + wire \blk00000003/sig000009ed ; + wire \blk00000003/sig000009ec ; + wire \blk00000003/sig000009eb ; + wire \blk00000003/sig000009ea ; + wire \blk00000003/sig000009e9 ; + wire \blk00000003/sig000009e8 ; + wire \blk00000003/sig000009e7 ; + wire \blk00000003/sig000009e6 ; + wire \blk00000003/sig000009e5 ; + wire \blk00000003/sig000009e4 ; + wire \blk00000003/sig000009e3 ; + wire \blk00000003/sig000009e2 ; + wire \blk00000003/sig000009e1 ; + wire \blk00000003/sig000009e0 ; + wire \blk00000003/sig000009df ; + wire \blk00000003/sig000009de ; + wire \blk00000003/sig000009dd ; + wire \blk00000003/sig000009dc ; + wire \blk00000003/sig000009db ; + wire \blk00000003/sig000009da ; + wire \blk00000003/sig000009d9 ; + wire \blk00000003/sig000009d8 ; + wire \blk00000003/sig000009d7 ; + wire \blk00000003/sig000009d6 ; + wire \blk00000003/sig000009d5 ; + wire \blk00000003/sig000009d4 ; + wire \blk00000003/sig000009d3 ; + wire \blk00000003/sig000009d2 ; + wire \blk00000003/sig000009d1 ; + wire \blk00000003/sig000009d0 ; + wire \blk00000003/sig000009cf ; + wire \blk00000003/sig000009ce ; + wire \blk00000003/sig000009cd ; + wire \blk00000003/sig000009cc ; + wire \blk00000003/sig000009cb ; + wire \blk00000003/sig000009ca ; + wire \blk00000003/sig000009c9 ; + wire \blk00000003/sig000009c8 ; + wire \blk00000003/sig000009c7 ; + wire \blk00000003/sig000009c6 ; + wire \blk00000003/sig000009c5 ; + wire \blk00000003/sig000009c4 ; + wire \blk00000003/sig000009c3 ; + wire \blk00000003/sig000009c2 ; + wire \blk00000003/sig000009c1 ; + wire \blk00000003/sig000009c0 ; + wire \blk00000003/sig000009bf ; + wire \blk00000003/sig000009be ; + wire \blk00000003/sig000009bd ; + wire \blk00000003/sig000009bc ; + wire \blk00000003/sig000009bb ; + wire \blk00000003/sig000009ba ; + wire \blk00000003/sig000009b9 ; + wire \blk00000003/sig000009b8 ; + wire \blk00000003/sig000009b7 ; + wire \blk00000003/sig000009b6 ; + wire \blk00000003/sig000009b5 ; + wire \blk00000003/sig000009b4 ; + wire \blk00000003/sig000009b3 ; + wire \blk00000003/sig000009b2 ; + wire \blk00000003/sig000009b1 ; + wire \blk00000003/sig000009b0 ; + wire \blk00000003/sig000009af ; + wire \blk00000003/sig000009ae ; + wire \blk00000003/sig000009ad ; + wire \blk00000003/sig000009ac ; + wire \blk00000003/sig000009ab ; + wire \blk00000003/sig000009aa ; + wire \blk00000003/sig000009a9 ; + wire \blk00000003/sig000009a8 ; + wire \blk00000003/sig000009a7 ; + wire \blk00000003/sig000009a6 ; + wire \blk00000003/sig000009a5 ; + wire \blk00000003/sig000009a4 ; + wire \blk00000003/sig000009a3 ; + wire \blk00000003/sig000009a2 ; + wire \blk00000003/sig000009a1 ; + wire \blk00000003/sig000009a0 ; + wire \blk00000003/sig0000099f ; + wire \blk00000003/sig0000099e ; + wire \blk00000003/sig0000099d ; + wire \blk00000003/sig0000099c ; + wire \blk00000003/sig0000099b ; + wire \blk00000003/sig0000099a ; + wire \blk00000003/sig00000999 ; + wire \blk00000003/sig00000998 ; + wire \blk00000003/sig00000997 ; + wire \blk00000003/sig00000996 ; + wire \blk00000003/sig00000995 ; + wire \blk00000003/sig00000994 ; + wire \blk00000003/sig00000993 ; + wire \blk00000003/sig00000992 ; + wire \blk00000003/sig00000991 ; + wire \blk00000003/sig00000990 ; + wire \blk00000003/sig0000098f ; + wire \blk00000003/sig0000098e ; + wire \blk00000003/sig0000098d ; + wire \blk00000003/sig0000098c ; + wire \blk00000003/sig0000098b ; + wire \blk00000003/sig0000098a ; + wire \blk00000003/sig00000989 ; + wire \blk00000003/sig00000988 ; + wire \blk00000003/sig00000987 ; + wire \blk00000003/sig00000986 ; + wire \blk00000003/sig00000985 ; + wire \blk00000003/sig00000984 ; + wire \blk00000003/sig00000983 ; + wire \blk00000003/sig00000982 ; + wire \blk00000003/sig00000981 ; + wire \blk00000003/sig00000980 ; + wire \blk00000003/sig0000097f ; + wire \blk00000003/sig0000097e ; + wire \blk00000003/sig0000097d ; + wire \blk00000003/sig0000097c ; + wire \blk00000003/sig0000097b ; + wire \blk00000003/sig0000097a ; + wire \blk00000003/sig00000979 ; + wire \blk00000003/sig00000978 ; + wire \blk00000003/sig00000977 ; + wire \blk00000003/sig00000976 ; + wire \blk00000003/sig00000975 ; + wire \blk00000003/sig00000974 ; + wire \blk00000003/sig00000973 ; + wire \blk00000003/sig00000972 ; + wire \blk00000003/sig00000971 ; + wire \blk00000003/sig00000970 ; + wire \blk00000003/sig0000096f ; + wire \blk00000003/sig0000096e ; + wire \blk00000003/sig0000096d ; + wire \blk00000003/sig0000096c ; + wire \blk00000003/sig0000096b ; + wire \blk00000003/sig0000096a ; + wire \blk00000003/sig00000969 ; + wire \blk00000003/sig00000968 ; + wire \blk00000003/sig00000967 ; + wire \blk00000003/sig00000966 ; + wire \blk00000003/sig00000965 ; + wire \blk00000003/sig00000964 ; + wire \blk00000003/sig00000963 ; + wire \blk00000003/sig00000962 ; + wire \blk00000003/sig00000961 ; + wire \blk00000003/sig00000960 ; + wire \blk00000003/sig0000095f ; + wire \blk00000003/sig0000095e ; + wire \blk00000003/sig0000095d ; + wire \blk00000003/sig0000095c ; + wire \blk00000003/sig0000095b ; + wire \blk00000003/sig0000095a ; + wire \blk00000003/sig00000959 ; + wire \blk00000003/sig00000958 ; + wire \blk00000003/sig00000957 ; + wire \blk00000003/sig00000956 ; + wire \blk00000003/sig00000955 ; + wire \blk00000003/sig00000954 ; + wire \blk00000003/sig00000953 ; + wire \blk00000003/sig00000952 ; + wire \blk00000003/sig00000951 ; + wire \blk00000003/sig00000950 ; + wire \blk00000003/sig0000094f ; + wire \blk00000003/sig0000094e ; + wire \blk00000003/sig0000094d ; + wire \blk00000003/sig0000094c ; + wire \blk00000003/sig0000094b ; + wire \blk00000003/sig0000094a ; + wire \blk00000003/sig00000949 ; + wire \blk00000003/sig00000948 ; + wire \blk00000003/sig00000947 ; + wire \blk00000003/sig00000946 ; + wire \blk00000003/sig00000945 ; + wire \blk00000003/sig00000944 ; + wire \blk00000003/sig00000943 ; + wire \blk00000003/sig00000942 ; + wire \blk00000003/sig00000941 ; + wire \blk00000003/sig00000940 ; + wire \blk00000003/sig0000093f ; + wire \blk00000003/sig0000093e ; + wire \blk00000003/sig0000093d ; + wire \blk00000003/sig0000093c ; + wire \blk00000003/sig0000093b ; + wire \blk00000003/sig0000093a ; + wire \blk00000003/sig00000939 ; + wire \blk00000003/sig00000938 ; + wire \blk00000003/sig00000937 ; + wire \blk00000003/sig00000936 ; + wire \blk00000003/sig00000935 ; + wire \blk00000003/sig00000934 ; + wire \blk00000003/sig00000933 ; + wire \blk00000003/sig00000932 ; + wire \blk00000003/sig00000931 ; + wire \blk00000003/sig00000930 ; + wire \blk00000003/sig0000092f ; + wire \blk00000003/sig0000092e ; + wire \blk00000003/sig0000092d ; + wire \blk00000003/sig0000092c ; + wire \blk00000003/sig0000092b ; + wire \blk00000003/sig0000092a ; + wire \blk00000003/sig00000929 ; + wire \blk00000003/sig00000928 ; + wire \blk00000003/sig00000927 ; + wire \blk00000003/sig00000926 ; + wire \blk00000003/sig00000925 ; + wire \blk00000003/sig00000924 ; + wire \blk00000003/sig00000923 ; + wire \blk00000003/sig00000922 ; + wire \blk00000003/sig00000921 ; + wire \blk00000003/sig00000920 ; + wire \blk00000003/sig0000091f ; + wire \blk00000003/sig0000091e ; + wire \blk00000003/sig0000091d ; + wire \blk00000003/sig0000091c ; + wire \blk00000003/sig0000091b ; + wire \blk00000003/sig0000091a ; + wire \blk00000003/sig00000919 ; + wire \blk00000003/sig00000918 ; + wire \blk00000003/sig00000917 ; + wire \blk00000003/sig00000916 ; + wire \blk00000003/sig00000915 ; + wire \blk00000003/sig00000914 ; + wire \blk00000003/sig00000913 ; + wire \blk00000003/sig00000912 ; + wire \blk00000003/sig00000911 ; + wire \blk00000003/sig00000910 ; + wire \blk00000003/sig0000090f ; + wire \blk00000003/sig0000090e ; + wire \blk00000003/sig0000090d ; + wire \blk00000003/sig0000090c ; + wire \blk00000003/sig0000090b ; + wire \blk00000003/sig0000090a ; + wire \blk00000003/sig00000909 ; + wire \blk00000003/sig00000908 ; + wire \blk00000003/sig00000907 ; + wire \blk00000003/sig00000906 ; + wire \blk00000003/sig00000905 ; + wire \blk00000003/sig00000904 ; + wire \blk00000003/sig00000903 ; + wire \blk00000003/sig00000902 ; + wire \blk00000003/sig00000901 ; + wire \blk00000003/sig00000900 ; + wire \blk00000003/sig000008ff ; + wire \blk00000003/sig000008fe ; + wire \blk00000003/sig000008fd ; + wire \blk00000003/sig000008fc ; + wire \blk00000003/sig000008fb ; + wire \blk00000003/sig000008fa ; + wire \blk00000003/sig000008f9 ; + wire \blk00000003/sig000008f8 ; + wire \blk00000003/sig000008f7 ; + wire \blk00000003/sig000008f6 ; + wire \blk00000003/sig000008f5 ; + wire \blk00000003/sig000008f4 ; + wire \blk00000003/sig000008f3 ; + wire \blk00000003/sig000008f2 ; + wire \blk00000003/sig000008f1 ; + wire \blk00000003/sig000008f0 ; + wire \blk00000003/sig000008ef ; + wire \blk00000003/sig000008ee ; + wire \blk00000003/sig000008ed ; + wire \blk00000003/sig000008ec ; + wire \blk00000003/sig000008eb ; + wire \blk00000003/sig000008ea ; + wire \blk00000003/sig000008e9 ; + wire \blk00000003/sig000008e8 ; + wire \blk00000003/sig000008e7 ; + wire \blk00000003/sig000008e6 ; + wire \blk00000003/sig000008e5 ; + wire \blk00000003/sig000008e4 ; + wire \blk00000003/sig000008e3 ; + wire \blk00000003/sig000008e2 ; + wire \blk00000003/sig000008e1 ; + wire \blk00000003/sig000008e0 ; + wire \blk00000003/sig000008df ; + wire \blk00000003/sig000008de ; + wire \blk00000003/sig000008dd ; + wire \blk00000003/sig000008dc ; + wire \blk00000003/sig000008db ; + wire \blk00000003/sig000008da ; + wire \blk00000003/sig000008d9 ; + wire \blk00000003/sig000008d8 ; + wire \blk00000003/sig000008d7 ; + wire \blk00000003/sig000008d6 ; + wire \blk00000003/sig000008d5 ; + wire \blk00000003/sig000008d4 ; + wire \blk00000003/sig000008d3 ; + wire \blk00000003/sig000008d2 ; + wire \blk00000003/sig000008d1 ; + wire \blk00000003/sig000008d0 ; + wire \blk00000003/sig000008cf ; + wire \blk00000003/sig000008ce ; + wire \blk00000003/sig000008cd ; + wire \blk00000003/sig000008cc ; + wire \blk00000003/sig000008cb ; + wire \blk00000003/sig000008ca ; + wire \blk00000003/sig000008c9 ; + wire \blk00000003/sig000008c8 ; + wire \blk00000003/sig000008c7 ; + wire \blk00000003/sig000008c6 ; + wire \blk00000003/sig000008c5 ; + wire \blk00000003/sig000008c4 ; + wire \blk00000003/sig000008c3 ; + wire \blk00000003/sig000008c2 ; + wire \blk00000003/sig000008c1 ; + wire \blk00000003/sig000008c0 ; + wire \blk00000003/sig000008bf ; + wire \blk00000003/sig000008be ; + wire \blk00000003/sig000008bd ; + wire \blk00000003/sig000008bc ; + wire \blk00000003/sig000008bb ; + wire \blk00000003/sig000008ba ; + wire \blk00000003/sig000008b9 ; + wire \blk00000003/sig000008b8 ; + wire \blk00000003/sig000008b7 ; + wire \blk00000003/sig000008b6 ; + wire \blk00000003/sig000008b5 ; + wire \blk00000003/sig000008b4 ; + wire \blk00000003/sig000008b3 ; + wire \blk00000003/sig000008b2 ; + wire \blk00000003/sig000008b1 ; + wire \blk00000003/sig000008b0 ; + wire \blk00000003/sig000008af ; + wire \blk00000003/sig000008ae ; + wire \blk00000003/sig000008ad ; + wire \blk00000003/sig000008ac ; + wire \blk00000003/sig000008ab ; + wire \blk00000003/sig000008aa ; + wire \blk00000003/sig000008a9 ; + wire \blk00000003/sig000008a8 ; + wire \blk00000003/sig000008a7 ; + wire \blk00000003/sig000008a6 ; + wire \blk00000003/sig000008a5 ; + wire \blk00000003/sig000008a4 ; + wire \blk00000003/sig000008a3 ; + wire \blk00000003/sig000008a2 ; + wire \blk00000003/sig000008a1 ; + wire \blk00000003/sig000008a0 ; + wire \blk00000003/sig0000089f ; + wire \blk00000003/sig0000089e ; + wire \blk00000003/sig0000089d ; + wire \blk00000003/sig0000089c ; + wire \blk00000003/sig0000089b ; + wire \blk00000003/sig0000089a ; + wire \blk00000003/sig00000899 ; + wire \blk00000003/sig00000898 ; + wire \blk00000003/sig00000897 ; + wire \blk00000003/sig00000896 ; + wire \blk00000003/sig00000895 ; + wire \blk00000003/sig00000894 ; + wire \blk00000003/sig00000893 ; + wire \blk00000003/sig00000892 ; + wire \blk00000003/sig00000891 ; + wire \blk00000003/sig00000890 ; + wire \blk00000003/sig0000088f ; + wire \blk00000003/sig0000088e ; + wire \blk00000003/sig0000088d ; + wire \blk00000003/sig0000088c ; + wire \blk00000003/sig0000088b ; + wire \blk00000003/sig0000088a ; + wire \blk00000003/sig00000889 ; + wire \blk00000003/sig00000888 ; + wire \blk00000003/sig00000887 ; + wire \blk00000003/sig00000886 ; + wire \blk00000003/sig00000885 ; + wire \blk00000003/sig00000884 ; + wire \blk00000003/sig00000883 ; + wire \blk00000003/sig00000882 ; + wire \blk00000003/sig00000881 ; + wire \blk00000003/sig00000880 ; + wire \blk00000003/sig0000087f ; + wire \blk00000003/sig0000087e ; + wire \blk00000003/sig0000087d ; + wire \blk00000003/sig0000087c ; + wire \blk00000003/sig0000087b ; + wire \blk00000003/sig0000087a ; + wire \blk00000003/sig00000879 ; + wire \blk00000003/sig00000878 ; + wire \blk00000003/sig00000877 ; + wire \blk00000003/sig00000876 ; + wire \blk00000003/sig00000875 ; + wire \blk00000003/sig00000874 ; + wire \blk00000003/sig00000873 ; + wire \blk00000003/sig00000872 ; + wire \blk00000003/sig00000871 ; + wire \blk00000003/sig00000870 ; + wire \blk00000003/sig0000086f ; + wire \blk00000003/sig0000086e ; + wire \blk00000003/sig0000086d ; + wire \blk00000003/sig0000086c ; + wire \blk00000003/sig0000086b ; + wire \blk00000003/sig0000086a ; + wire \blk00000003/sig00000869 ; + wire \blk00000003/sig00000868 ; + wire \blk00000003/sig00000867 ; + wire \blk00000003/sig00000866 ; + wire \blk00000003/sig00000865 ; + wire \blk00000003/sig00000864 ; + wire \blk00000003/sig00000863 ; + wire \blk00000003/sig00000862 ; + wire \blk00000003/sig00000861 ; + wire \blk00000003/sig00000860 ; + wire \blk00000003/sig0000085f ; + wire \blk00000003/sig0000085e ; + wire \blk00000003/sig0000085d ; + wire \blk00000003/sig0000085c ; + wire \blk00000003/sig0000085b ; + wire \blk00000003/sig0000085a ; + wire \blk00000003/sig00000859 ; + wire \blk00000003/sig00000858 ; + wire \blk00000003/sig00000857 ; + wire \blk00000003/sig00000856 ; + wire \blk00000003/sig00000855 ; + wire \blk00000003/sig00000854 ; + wire \blk00000003/sig00000853 ; + wire \blk00000003/sig00000852 ; + wire \blk00000003/sig00000851 ; + wire \blk00000003/sig00000850 ; + wire \blk00000003/sig0000084f ; + wire \blk00000003/sig0000084e ; + wire \blk00000003/sig0000084d ; + wire \blk00000003/sig0000084c ; + wire \blk00000003/sig0000084b ; + wire \blk00000003/sig0000084a ; + wire \blk00000003/sig00000849 ; + wire \blk00000003/sig00000848 ; + wire \blk00000003/sig00000847 ; + wire \blk00000003/sig00000846 ; + wire \blk00000003/sig00000845 ; + wire \blk00000003/sig00000844 ; + wire \blk00000003/sig00000843 ; + wire \blk00000003/sig00000842 ; + wire \blk00000003/sig00000841 ; + wire \blk00000003/sig00000840 ; + wire \blk00000003/sig0000083f ; + wire \blk00000003/sig0000083e ; + wire \blk00000003/sig0000083d ; + wire \blk00000003/sig0000083c ; + wire \blk00000003/sig0000083b ; + wire \blk00000003/sig0000083a ; + wire \blk00000003/sig00000839 ; + wire \blk00000003/sig00000838 ; + wire \blk00000003/sig00000837 ; + wire \blk00000003/sig00000836 ; + wire \blk00000003/sig00000835 ; + wire \blk00000003/sig00000834 ; + wire \blk00000003/sig00000833 ; + wire \blk00000003/sig00000832 ; + wire \blk00000003/sig00000831 ; + wire \blk00000003/sig00000830 ; + wire \blk00000003/sig0000082f ; + wire \blk00000003/sig0000082e ; + wire \blk00000003/sig0000082d ; + wire \blk00000003/sig0000082c ; + wire \blk00000003/sig0000082b ; + wire \blk00000003/sig0000082a ; + wire \blk00000003/sig00000829 ; + wire \blk00000003/sig00000828 ; + wire \blk00000003/sig00000827 ; + wire \blk00000003/sig00000826 ; + wire \blk00000003/sig00000825 ; + wire \blk00000003/sig00000824 ; + wire \blk00000003/sig00000823 ; + wire \blk00000003/sig00000822 ; + wire \blk00000003/sig00000821 ; + wire \blk00000003/sig00000820 ; + wire \blk00000003/sig0000081f ; + wire \blk00000003/sig0000081e ; + wire \blk00000003/sig0000081d ; + wire \blk00000003/sig0000081c ; + wire \blk00000003/sig0000081b ; + wire \blk00000003/sig0000081a ; + wire \blk00000003/sig00000819 ; + wire \blk00000003/sig00000818 ; + wire \blk00000003/sig00000817 ; + wire \blk00000003/sig00000816 ; + wire \blk00000003/sig00000815 ; + wire \blk00000003/sig00000814 ; + wire \blk00000003/sig00000813 ; + wire \blk00000003/sig00000812 ; + wire \blk00000003/sig00000811 ; + wire \blk00000003/sig00000810 ; + wire \blk00000003/sig0000080f ; + wire \blk00000003/sig0000080e ; + wire \blk00000003/sig0000080d ; + wire \blk00000003/sig0000080c ; + wire \blk00000003/sig0000080b ; + wire \blk00000003/sig0000080a ; + wire \blk00000003/sig00000809 ; + wire \blk00000003/sig00000808 ; + wire \blk00000003/sig00000807 ; + wire \blk00000003/sig00000806 ; + wire \blk00000003/sig00000805 ; + wire \blk00000003/sig00000804 ; + wire \blk00000003/sig00000803 ; + wire \blk00000003/sig00000802 ; + wire \blk00000003/sig00000801 ; + wire \blk00000003/sig00000800 ; + wire \blk00000003/sig000007ff ; + wire \blk00000003/sig000007fe ; + wire \blk00000003/sig000007fd ; + wire \blk00000003/sig000007fc ; + wire \blk00000003/sig000007fb ; + wire \blk00000003/sig000007fa ; + wire \blk00000003/sig000007f9 ; + wire \blk00000003/sig000007f8 ; + wire \blk00000003/sig000007f7 ; + wire \blk00000003/sig000007f6 ; + wire \blk00000003/sig000007f5 ; + wire \blk00000003/sig000007f4 ; + wire \blk00000003/sig000007f3 ; + wire \blk00000003/sig000007f2 ; + wire \blk00000003/sig000007f1 ; + wire \blk00000003/sig000007f0 ; + wire \blk00000003/sig000007ef ; + wire \blk00000003/sig000007ee ; + wire \blk00000003/sig000007ed ; + wire \blk00000003/sig000007ec ; + wire \blk00000003/sig000007eb ; + wire \blk00000003/sig000007ea ; + wire \blk00000003/sig000007e9 ; + wire \blk00000003/sig000007e8 ; + wire \blk00000003/sig000007e7 ; + wire \blk00000003/sig000007e6 ; + wire \blk00000003/sig000007e5 ; + wire \blk00000003/sig000007e4 ; + wire \blk00000003/sig000007e3 ; + wire \blk00000003/sig000007e2 ; + wire \blk00000003/sig000007e1 ; + wire \blk00000003/sig000007e0 ; + wire \blk00000003/sig000007df ; + wire \blk00000003/sig000007de ; + wire \blk00000003/sig000007dd ; + wire \blk00000003/sig000007dc ; + wire \blk00000003/sig000007db ; + wire \blk00000003/sig000007da ; + wire \blk00000003/sig000007d9 ; + wire \blk00000003/sig000007d8 ; + wire \blk00000003/sig000007d7 ; + wire \blk00000003/sig000007d6 ; + wire \blk00000003/sig000007d5 ; + wire \blk00000003/sig000007d4 ; + wire \blk00000003/sig000007d3 ; + wire \blk00000003/sig000007d2 ; + wire \blk00000003/sig000007d1 ; + wire \blk00000003/sig000007d0 ; + wire \blk00000003/sig000007cf ; + wire \blk00000003/sig000007ce ; + wire \blk00000003/sig000007cd ; + wire \blk00000003/sig000007cc ; + wire \blk00000003/sig000007cb ; + wire \blk00000003/sig000007ca ; + wire \blk00000003/sig000007c9 ; + wire \blk00000003/sig000007c8 ; + wire \blk00000003/sig000007c7 ; + wire \blk00000003/sig000007c6 ; + wire \blk00000003/sig000007c5 ; + wire \blk00000003/sig000007c4 ; + wire \blk00000003/sig000007c3 ; + wire \blk00000003/sig000007c2 ; + wire \blk00000003/sig000007c1 ; + wire \blk00000003/sig000007c0 ; + wire \blk00000003/sig000007bf ; + wire \blk00000003/sig000007be ; + wire \blk00000003/sig000007bd ; + wire \blk00000003/sig000007bc ; + wire \blk00000003/sig000007bb ; + wire \blk00000003/sig000007ba ; + wire \blk00000003/sig000007b9 ; + wire \blk00000003/sig000007b8 ; + wire \blk00000003/sig000007b7 ; + wire \blk00000003/sig000007b6 ; + wire \blk00000003/sig000007b5 ; + wire \blk00000003/sig000007b4 ; + wire \blk00000003/sig000007b3 ; + wire \blk00000003/sig000007b2 ; + wire \blk00000003/sig000007b1 ; + wire \blk00000003/sig000007b0 ; + wire \blk00000003/sig000007af ; + wire \blk00000003/sig000007ae ; + wire \blk00000003/sig000007ad ; + wire \blk00000003/sig000007ac ; + wire \blk00000003/sig000007ab ; + wire \blk00000003/sig000007aa ; + wire \blk00000003/sig000007a9 ; + wire \blk00000003/sig000007a8 ; + wire \blk00000003/sig000007a7 ; + wire \blk00000003/sig000007a6 ; + wire \blk00000003/sig000007a5 ; + wire \blk00000003/sig000007a4 ; + wire \blk00000003/sig000007a3 ; + wire \blk00000003/sig000007a2 ; + wire \blk00000003/sig000007a1 ; + wire \blk00000003/sig000007a0 ; + wire \blk00000003/sig0000079f ; + wire \blk00000003/sig0000079e ; + wire \blk00000003/sig0000079d ; + wire \blk00000003/sig0000079c ; + wire \blk00000003/sig0000079b ; + wire \blk00000003/sig0000079a ; + wire \blk00000003/sig00000799 ; + wire \blk00000003/sig00000798 ; + wire \blk00000003/sig00000797 ; + wire \blk00000003/sig00000796 ; + wire \blk00000003/sig00000795 ; + wire \blk00000003/sig00000794 ; + wire \blk00000003/sig00000793 ; + wire \blk00000003/sig00000792 ; + wire \blk00000003/sig00000791 ; + wire \blk00000003/sig00000790 ; + wire \blk00000003/sig0000078f ; + wire \blk00000003/sig0000078e ; + wire \blk00000003/sig0000078d ; + wire \blk00000003/sig0000078c ; + wire \blk00000003/sig0000078b ; + wire \blk00000003/sig0000078a ; + wire \blk00000003/sig00000789 ; + wire \blk00000003/sig00000788 ; + wire \blk00000003/sig00000787 ; + wire \blk00000003/sig00000786 ; + wire \blk00000003/sig00000785 ; + wire \blk00000003/sig00000784 ; + wire \blk00000003/sig00000783 ; + wire \blk00000003/sig00000782 ; + wire \blk00000003/sig00000781 ; + wire \blk00000003/sig00000780 ; + wire \blk00000003/sig0000077f ; + wire \blk00000003/sig0000077e ; + wire \blk00000003/sig0000077d ; + wire \blk00000003/sig0000077c ; + wire \blk00000003/sig0000077b ; + wire \blk00000003/sig0000077a ; + wire \blk00000003/sig00000779 ; + wire \blk00000003/sig00000778 ; + wire \blk00000003/sig00000777 ; + wire \blk00000003/sig00000776 ; + wire \blk00000003/sig00000775 ; + wire \blk00000003/sig00000774 ; + wire \blk00000003/sig00000773 ; + wire \blk00000003/sig00000772 ; + wire \blk00000003/sig00000771 ; + wire \blk00000003/sig00000770 ; + wire \blk00000003/sig0000076f ; + wire \blk00000003/sig0000076e ; + wire \blk00000003/sig0000076d ; + wire \blk00000003/sig0000076c ; + wire \blk00000003/sig0000076b ; + wire \blk00000003/sig0000076a ; + wire \blk00000003/sig00000769 ; + wire \blk00000003/sig00000768 ; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk00000035/sig00000f29 ; + wire \blk00000003/blk00000035/sig00000f28 ; + wire \blk00000003/blk00000035/sig00000f27 ; + wire \blk00000003/blk00000035/sig00000f26 ; + wire \blk00000003/blk00000035/sig00000f25 ; + wire \blk00000003/blk00000035/sig00000f24 ; + wire \blk00000003/blk00000035/sig00000f23 ; + wire \blk00000003/blk00000035/sig00000f22 ; + wire \blk00000003/blk00000035/sig00000f21 ; + wire \blk00000003/blk00000035/sig00000f20 ; + wire \blk00000003/blk00000035/sig00000f1f ; + wire \blk00000003/blk00000035/sig00000f1e ; + wire \blk00000003/blk00000035/sig00000f1d ; + wire \blk00000003/blk00000035/sig00000f1c ; + wire \blk00000003/blk00000035/sig00000f1b ; + wire \blk00000003/blk00000035/sig00000f1a ; + wire \blk00000003/blk00000035/sig00000f19 ; + wire \blk00000003/blk00000035/sig00000f18 ; + wire \blk00000003/blk00000035/sig00000f17 ; + wire \blk00000003/blk00000035/sig00000f16 ; + wire \blk00000003/blk00000035/sig00000f15 ; + wire \blk00000003/blk00000035/sig00000f14 ; + wire \blk00000003/blk00000035/sig00000f13 ; + wire \blk00000003/blk00000035/sig00000f12 ; + wire \blk00000003/blk00000035/sig00000f11 ; + wire \blk00000003/blk00000035/sig00000f10 ; + wire \blk00000003/blk00000035/sig00000f0f ; + wire \blk00000003/blk00000035/sig00000f0e ; + wire \blk00000003/blk00000035/sig00000f0d ; + wire \blk00000003/blk00000035/sig00000f0c ; + wire \blk00000003/blk00000035/sig00000f0b ; + wire \blk00000003/blk00000035/sig00000f0a ; + wire \blk00000003/blk00000035/sig00000f09 ; + wire \blk00000003/blk00000035/sig00000f08 ; + wire \blk00000003/blk00000035/sig00000f07 ; + wire \blk00000003/blk00000035/sig00000f06 ; + wire \blk00000003/blk00000035/sig00000f05 ; + wire \blk00000003/blk00000035/sig00000f04 ; + wire \blk00000003/blk00000035/sig00000f03 ; + wire \blk00000003/blk00000035/sig00000f02 ; + wire \blk00000003/blk00000035/sig00000f01 ; + wire \blk00000003/blk00000035/sig00000f00 ; + wire \blk00000003/blk00000035/sig00000eff ; + wire \blk00000003/blk00000035/sig00000efe ; + wire \blk00000003/blk00000035/sig00000efd ; + wire \blk00000003/blk00000035/sig00000efc ; + wire \blk00000003/blk00000035/sig00000efb ; + wire \blk00000003/blk00000035/sig00000efa ; + wire \blk00000003/blk00000035/sig00000ef9 ; + wire \blk00000003/blk00000035/sig00000ef8 ; + wire \blk00000003/blk0000013d/sig00000f5f ; + wire \blk00000003/blk0000013d/sig00000f5e ; + wire \blk00000003/blk0000013d/sig00000f5d ; + wire \blk00000003/blk0000013d/sig00000f5c ; + wire \blk00000003/blk0000013d/sig00000f5b ; + wire \blk00000003/blk0000013d/sig00000f5a ; + wire \blk00000003/blk0000013d/sig00000f59 ; + wire \blk00000003/blk0000013d/sig00000f58 ; + wire \blk00000003/blk0000013d/sig00000f57 ; + wire \blk00000003/blk0000013d/sig00000f56 ; + wire \blk00000003/blk0000013d/sig00000f55 ; + wire \blk00000003/blk0000013d/sig00000f54 ; + wire \blk00000003/blk0000013d/sig00000f53 ; + wire \blk00000003/blk0000013d/sig00000f52 ; + wire \blk00000003/blk0000013d/sig00000f51 ; + wire \blk00000003/blk0000013d/sig00000f50 ; + wire \blk00000003/blk0000013d/sig00000f4f ; + wire \blk00000003/blk0000013d/sig00000f4e ; + wire \blk00000003/blk00000160/sig00000f95 ; + wire \blk00000003/blk00000160/sig00000f94 ; + wire \blk00000003/blk00000160/sig00000f93 ; + wire \blk00000003/blk00000160/sig00000f92 ; + wire \blk00000003/blk00000160/sig00000f91 ; + wire \blk00000003/blk00000160/sig00000f90 ; + wire \blk00000003/blk00000160/sig00000f8f ; + wire \blk00000003/blk00000160/sig00000f8e ; + wire \blk00000003/blk00000160/sig00000f8d ; + wire \blk00000003/blk00000160/sig00000f8c ; + wire \blk00000003/blk00000160/sig00000f8b ; + wire \blk00000003/blk00000160/sig00000f8a ; + wire \blk00000003/blk00000160/sig00000f89 ; + wire \blk00000003/blk00000160/sig00000f88 ; + wire \blk00000003/blk00000160/sig00000f87 ; + wire \blk00000003/blk00000160/sig00000f86 ; + wire \blk00000003/blk00000160/sig00000f85 ; + wire \blk00000003/blk00000160/sig00000f84 ; + wire \blk00000003/blk00000183/sig00000fcb ; + wire \blk00000003/blk00000183/sig00000fca ; + wire \blk00000003/blk00000183/sig00000fc9 ; + wire \blk00000003/blk00000183/sig00000fc8 ; + wire \blk00000003/blk00000183/sig00000fc7 ; + wire \blk00000003/blk00000183/sig00000fc6 ; + wire \blk00000003/blk00000183/sig00000fc5 ; + wire \blk00000003/blk00000183/sig00000fc4 ; + wire \blk00000003/blk00000183/sig00000fc3 ; + wire \blk00000003/blk00000183/sig00000fc2 ; + wire \blk00000003/blk00000183/sig00000fc1 ; + wire \blk00000003/blk00000183/sig00000fc0 ; + wire \blk00000003/blk00000183/sig00000fbf ; + wire \blk00000003/blk00000183/sig00000fbe ; + wire \blk00000003/blk00000183/sig00000fbd ; + wire \blk00000003/blk00000183/sig00000fbc ; + wire \blk00000003/blk00000183/sig00000fbb ; + wire \blk00000003/blk00000183/sig00000fba ; + wire \blk00000003/blk000001a6/sig00001001 ; + wire \blk00000003/blk000001a6/sig00001000 ; + wire \blk00000003/blk000001a6/sig00000fff ; + wire \blk00000003/blk000001a6/sig00000ffe ; + wire \blk00000003/blk000001a6/sig00000ffd ; + wire \blk00000003/blk000001a6/sig00000ffc ; + wire \blk00000003/blk000001a6/sig00000ffb ; + wire \blk00000003/blk000001a6/sig00000ffa ; + wire \blk00000003/blk000001a6/sig00000ff9 ; + wire \blk00000003/blk000001a6/sig00000ff8 ; + wire \blk00000003/blk000001a6/sig00000ff7 ; + wire \blk00000003/blk000001a6/sig00000ff6 ; + wire \blk00000003/blk000001a6/sig00000ff5 ; + wire \blk00000003/blk000001a6/sig00000ff4 ; + wire \blk00000003/blk000001a6/sig00000ff3 ; + wire \blk00000003/blk000001a6/sig00000ff2 ; + wire \blk00000003/blk000001a6/sig00000ff1 ; + wire \blk00000003/blk000001a6/sig00000ff0 ; + wire \blk00000003/blk000001c9/sig00001037 ; + wire \blk00000003/blk000001c9/sig00001036 ; + wire \blk00000003/blk000001c9/sig00001035 ; + wire \blk00000003/blk000001c9/sig00001034 ; + wire \blk00000003/blk000001c9/sig00001033 ; + wire \blk00000003/blk000001c9/sig00001032 ; + wire \blk00000003/blk000001c9/sig00001031 ; + wire \blk00000003/blk000001c9/sig00001030 ; + wire \blk00000003/blk000001c9/sig0000102f ; + wire \blk00000003/blk000001c9/sig0000102e ; + wire \blk00000003/blk000001c9/sig0000102d ; + wire \blk00000003/blk000001c9/sig0000102c ; + wire \blk00000003/blk000001c9/sig0000102b ; + wire \blk00000003/blk000001c9/sig0000102a ; + wire \blk00000003/blk000001c9/sig00001029 ; + wire \blk00000003/blk000001c9/sig00001028 ; + wire \blk00000003/blk000001c9/sig00001027 ; + wire \blk00000003/blk000001c9/sig00001026 ; + wire \blk00000003/blk000001ec/sig0000106d ; + wire \blk00000003/blk000001ec/sig0000106c ; + wire \blk00000003/blk000001ec/sig0000106b ; + wire \blk00000003/blk000001ec/sig0000106a ; + wire \blk00000003/blk000001ec/sig00001069 ; + wire \blk00000003/blk000001ec/sig00001068 ; + wire \blk00000003/blk000001ec/sig00001067 ; + wire \blk00000003/blk000001ec/sig00001066 ; + wire \blk00000003/blk000001ec/sig00001065 ; + wire \blk00000003/blk000001ec/sig00001064 ; + wire \blk00000003/blk000001ec/sig00001063 ; + wire \blk00000003/blk000001ec/sig00001062 ; + wire \blk00000003/blk000001ec/sig00001061 ; + wire \blk00000003/blk000001ec/sig00001060 ; + wire \blk00000003/blk000001ec/sig0000105f ; + wire \blk00000003/blk000001ec/sig0000105e ; + wire \blk00000003/blk000001ec/sig0000105d ; + wire \blk00000003/blk000001ec/sig0000105c ; + wire \blk00000003/blk0000020f/sig000010a3 ; + wire \blk00000003/blk0000020f/sig000010a2 ; + wire \blk00000003/blk0000020f/sig000010a1 ; + wire \blk00000003/blk0000020f/sig000010a0 ; + wire \blk00000003/blk0000020f/sig0000109f ; + wire \blk00000003/blk0000020f/sig0000109e ; + wire \blk00000003/blk0000020f/sig0000109d ; + wire \blk00000003/blk0000020f/sig0000109c ; + wire \blk00000003/blk0000020f/sig0000109b ; + wire \blk00000003/blk0000020f/sig0000109a ; + wire \blk00000003/blk0000020f/sig00001099 ; + wire \blk00000003/blk0000020f/sig00001098 ; + wire \blk00000003/blk0000020f/sig00001097 ; + wire \blk00000003/blk0000020f/sig00001096 ; + wire \blk00000003/blk0000020f/sig00001095 ; + wire \blk00000003/blk0000020f/sig00001094 ; + wire \blk00000003/blk0000020f/sig00001093 ; + wire \blk00000003/blk0000020f/sig00001092 ; + wire \blk00000003/blk00000232/sig000010d9 ; + wire \blk00000003/blk00000232/sig000010d8 ; + wire \blk00000003/blk00000232/sig000010d7 ; + wire \blk00000003/blk00000232/sig000010d6 ; + wire \blk00000003/blk00000232/sig000010d5 ; + wire \blk00000003/blk00000232/sig000010d4 ; + wire \blk00000003/blk00000232/sig000010d3 ; + wire \blk00000003/blk00000232/sig000010d2 ; + wire \blk00000003/blk00000232/sig000010d1 ; + wire \blk00000003/blk00000232/sig000010d0 ; + wire \blk00000003/blk00000232/sig000010cf ; + wire \blk00000003/blk00000232/sig000010ce ; + wire \blk00000003/blk00000232/sig000010cd ; + wire \blk00000003/blk00000232/sig000010cc ; + wire \blk00000003/blk00000232/sig000010cb ; + wire \blk00000003/blk00000232/sig000010ca ; + wire \blk00000003/blk00000232/sig000010c9 ; + wire \blk00000003/blk00000232/sig000010c8 ; + wire \blk00000003/blk00000255/sig0000110f ; + wire \blk00000003/blk00000255/sig0000110e ; + wire \blk00000003/blk00000255/sig0000110d ; + wire \blk00000003/blk00000255/sig0000110c ; + wire \blk00000003/blk00000255/sig0000110b ; + wire \blk00000003/blk00000255/sig0000110a ; + wire \blk00000003/blk00000255/sig00001109 ; + wire \blk00000003/blk00000255/sig00001108 ; + wire \blk00000003/blk00000255/sig00001107 ; + wire \blk00000003/blk00000255/sig00001106 ; + wire \blk00000003/blk00000255/sig00001105 ; + wire \blk00000003/blk00000255/sig00001104 ; + wire \blk00000003/blk00000255/sig00001103 ; + wire \blk00000003/blk00000255/sig00001102 ; + wire \blk00000003/blk00000255/sig00001101 ; + wire \blk00000003/blk00000255/sig00001100 ; + wire \blk00000003/blk00000255/sig000010ff ; + wire \blk00000003/blk00000255/sig000010fe ; + wire \blk00000003/blk00000278/sig00001145 ; + wire \blk00000003/blk00000278/sig00001144 ; + wire \blk00000003/blk00000278/sig00001143 ; + wire \blk00000003/blk00000278/sig00001142 ; + wire \blk00000003/blk00000278/sig00001141 ; + wire \blk00000003/blk00000278/sig00001140 ; + wire \blk00000003/blk00000278/sig0000113f ; + wire \blk00000003/blk00000278/sig0000113e ; + wire \blk00000003/blk00000278/sig0000113d ; + wire \blk00000003/blk00000278/sig0000113c ; + wire \blk00000003/blk00000278/sig0000113b ; + wire \blk00000003/blk00000278/sig0000113a ; + wire \blk00000003/blk00000278/sig00001139 ; + wire \blk00000003/blk00000278/sig00001138 ; + wire \blk00000003/blk00000278/sig00001137 ; + wire \blk00000003/blk00000278/sig00001136 ; + wire \blk00000003/blk00000278/sig00001135 ; + wire \blk00000003/blk00000278/sig00001134 ; + wire \blk00000003/blk0000029b/sig0000117b ; + wire \blk00000003/blk0000029b/sig0000117a ; + wire \blk00000003/blk0000029b/sig00001179 ; + wire \blk00000003/blk0000029b/sig00001178 ; + wire \blk00000003/blk0000029b/sig00001177 ; + wire \blk00000003/blk0000029b/sig00001176 ; + wire \blk00000003/blk0000029b/sig00001175 ; + wire \blk00000003/blk0000029b/sig00001174 ; + wire \blk00000003/blk0000029b/sig00001173 ; + wire \blk00000003/blk0000029b/sig00001172 ; + wire \blk00000003/blk0000029b/sig00001171 ; + wire \blk00000003/blk0000029b/sig00001170 ; + wire \blk00000003/blk0000029b/sig0000116f ; + wire \blk00000003/blk0000029b/sig0000116e ; + wire \blk00000003/blk0000029b/sig0000116d ; + wire \blk00000003/blk0000029b/sig0000116c ; + wire \blk00000003/blk0000029b/sig0000116b ; + wire \blk00000003/blk0000029b/sig0000116a ; + wire \blk00000003/blk000002be/sig000011b1 ; + wire \blk00000003/blk000002be/sig000011b0 ; + wire \blk00000003/blk000002be/sig000011af ; + wire \blk00000003/blk000002be/sig000011ae ; + wire \blk00000003/blk000002be/sig000011ad ; + wire \blk00000003/blk000002be/sig000011ac ; + wire \blk00000003/blk000002be/sig000011ab ; + wire \blk00000003/blk000002be/sig000011aa ; + wire \blk00000003/blk000002be/sig000011a9 ; + wire \blk00000003/blk000002be/sig000011a8 ; + wire \blk00000003/blk000002be/sig000011a7 ; + wire \blk00000003/blk000002be/sig000011a6 ; + wire \blk00000003/blk000002be/sig000011a5 ; + wire \blk00000003/blk000002be/sig000011a4 ; + wire \blk00000003/blk000002be/sig000011a3 ; + wire \blk00000003/blk000002be/sig000011a2 ; + wire \blk00000003/blk000002be/sig000011a1 ; + wire \blk00000003/blk000002be/sig000011a0 ; + wire \blk00000003/blk000002e1/sig000011e7 ; + wire \blk00000003/blk000002e1/sig000011e6 ; + wire \blk00000003/blk000002e1/sig000011e5 ; + wire \blk00000003/blk000002e1/sig000011e4 ; + wire \blk00000003/blk000002e1/sig000011e3 ; + wire \blk00000003/blk000002e1/sig000011e2 ; + wire \blk00000003/blk000002e1/sig000011e1 ; + wire \blk00000003/blk000002e1/sig000011e0 ; + wire \blk00000003/blk000002e1/sig000011df ; + wire \blk00000003/blk000002e1/sig000011de ; + wire \blk00000003/blk000002e1/sig000011dd ; + wire \blk00000003/blk000002e1/sig000011dc ; + wire \blk00000003/blk000002e1/sig000011db ; + wire \blk00000003/blk000002e1/sig000011da ; + wire \blk00000003/blk000002e1/sig000011d9 ; + wire \blk00000003/blk000002e1/sig000011d8 ; + wire \blk00000003/blk000002e1/sig000011d7 ; + wire \blk00000003/blk000002e1/sig000011d6 ; + wire \blk00000003/blk00000304/sig0000121d ; + wire \blk00000003/blk00000304/sig0000121c ; + wire \blk00000003/blk00000304/sig0000121b ; + wire \blk00000003/blk00000304/sig0000121a ; + wire \blk00000003/blk00000304/sig00001219 ; + wire \blk00000003/blk00000304/sig00001218 ; + wire \blk00000003/blk00000304/sig00001217 ; + wire \blk00000003/blk00000304/sig00001216 ; + wire \blk00000003/blk00000304/sig00001215 ; + wire \blk00000003/blk00000304/sig00001214 ; + wire \blk00000003/blk00000304/sig00001213 ; + wire \blk00000003/blk00000304/sig00001212 ; + wire \blk00000003/blk00000304/sig00001211 ; + wire \blk00000003/blk00000304/sig00001210 ; + wire \blk00000003/blk00000304/sig0000120f ; + wire \blk00000003/blk00000304/sig0000120e ; + wire \blk00000003/blk00000304/sig0000120d ; + wire \blk00000003/blk00000304/sig0000120c ; + wire \blk00000003/blk00000327/sig00001253 ; + wire \blk00000003/blk00000327/sig00001252 ; + wire \blk00000003/blk00000327/sig00001251 ; + wire \blk00000003/blk00000327/sig00001250 ; + wire \blk00000003/blk00000327/sig0000124f ; + wire \blk00000003/blk00000327/sig0000124e ; + wire \blk00000003/blk00000327/sig0000124d ; + wire \blk00000003/blk00000327/sig0000124c ; + wire \blk00000003/blk00000327/sig0000124b ; + wire \blk00000003/blk00000327/sig0000124a ; + wire \blk00000003/blk00000327/sig00001249 ; + wire \blk00000003/blk00000327/sig00001248 ; + wire \blk00000003/blk00000327/sig00001247 ; + wire \blk00000003/blk00000327/sig00001246 ; + wire \blk00000003/blk00000327/sig00001245 ; + wire \blk00000003/blk00000327/sig00001244 ; + wire \blk00000003/blk00000327/sig00001243 ; + wire \blk00000003/blk00000327/sig00001242 ; + wire \blk00000003/blk0000034a/sig00001289 ; + wire \blk00000003/blk0000034a/sig00001288 ; + wire \blk00000003/blk0000034a/sig00001287 ; + wire \blk00000003/blk0000034a/sig00001286 ; + wire \blk00000003/blk0000034a/sig00001285 ; + wire \blk00000003/blk0000034a/sig00001284 ; + wire \blk00000003/blk0000034a/sig00001283 ; + wire \blk00000003/blk0000034a/sig00001282 ; + wire \blk00000003/blk0000034a/sig00001281 ; + wire \blk00000003/blk0000034a/sig00001280 ; + wire \blk00000003/blk0000034a/sig0000127f ; + wire \blk00000003/blk0000034a/sig0000127e ; + wire \blk00000003/blk0000034a/sig0000127d ; + wire \blk00000003/blk0000034a/sig0000127c ; + wire \blk00000003/blk0000034a/sig0000127b ; + wire \blk00000003/blk0000034a/sig0000127a ; + wire \blk00000003/blk0000034a/sig00001279 ; + wire \blk00000003/blk0000034a/sig00001278 ; + wire \blk00000003/blk0000036d/sig000012bf ; + wire \blk00000003/blk0000036d/sig000012be ; + wire \blk00000003/blk0000036d/sig000012bd ; + wire \blk00000003/blk0000036d/sig000012bc ; + wire \blk00000003/blk0000036d/sig000012bb ; + wire \blk00000003/blk0000036d/sig000012ba ; + wire \blk00000003/blk0000036d/sig000012b9 ; + wire \blk00000003/blk0000036d/sig000012b8 ; + wire \blk00000003/blk0000036d/sig000012b7 ; + wire \blk00000003/blk0000036d/sig000012b6 ; + wire \blk00000003/blk0000036d/sig000012b5 ; + wire \blk00000003/blk0000036d/sig000012b4 ; + wire \blk00000003/blk0000036d/sig000012b3 ; + wire \blk00000003/blk0000036d/sig000012b2 ; + wire \blk00000003/blk0000036d/sig000012b1 ; + wire \blk00000003/blk0000036d/sig000012b0 ; + wire \blk00000003/blk0000036d/sig000012af ; + wire \blk00000003/blk0000036d/sig000012ae ; + wire \blk00000003/blk00000390/sig000012f5 ; + wire \blk00000003/blk00000390/sig000012f4 ; + wire \blk00000003/blk00000390/sig000012f3 ; + wire \blk00000003/blk00000390/sig000012f2 ; + wire \blk00000003/blk00000390/sig000012f1 ; + wire \blk00000003/blk00000390/sig000012f0 ; + wire \blk00000003/blk00000390/sig000012ef ; + wire \blk00000003/blk00000390/sig000012ee ; + wire \blk00000003/blk00000390/sig000012ed ; + wire \blk00000003/blk00000390/sig000012ec ; + wire \blk00000003/blk00000390/sig000012eb ; + wire \blk00000003/blk00000390/sig000012ea ; + wire \blk00000003/blk00000390/sig000012e9 ; + wire \blk00000003/blk00000390/sig000012e8 ; + wire \blk00000003/blk00000390/sig000012e7 ; + wire \blk00000003/blk00000390/sig000012e6 ; + wire \blk00000003/blk00000390/sig000012e5 ; + wire \blk00000003/blk00000390/sig000012e4 ; + wire \blk00000003/blk000003b3/sig0000132b ; + wire \blk00000003/blk000003b3/sig0000132a ; + wire \blk00000003/blk000003b3/sig00001329 ; + wire \blk00000003/blk000003b3/sig00001328 ; + wire \blk00000003/blk000003b3/sig00001327 ; + wire \blk00000003/blk000003b3/sig00001326 ; + wire \blk00000003/blk000003b3/sig00001325 ; + wire \blk00000003/blk000003b3/sig00001324 ; + wire \blk00000003/blk000003b3/sig00001323 ; + wire \blk00000003/blk000003b3/sig00001322 ; + wire \blk00000003/blk000003b3/sig00001321 ; + wire \blk00000003/blk000003b3/sig00001320 ; + wire \blk00000003/blk000003b3/sig0000131f ; + wire \blk00000003/blk000003b3/sig0000131e ; + wire \blk00000003/blk000003b3/sig0000131d ; + wire \blk00000003/blk000003b3/sig0000131c ; + wire \blk00000003/blk000003b3/sig0000131b ; + wire \blk00000003/blk000003b3/sig0000131a ; + wire \blk00000003/blk000003d6/sig00001361 ; + wire \blk00000003/blk000003d6/sig00001360 ; + wire \blk00000003/blk000003d6/sig0000135f ; + wire \blk00000003/blk000003d6/sig0000135e ; + wire \blk00000003/blk000003d6/sig0000135d ; + wire \blk00000003/blk000003d6/sig0000135c ; + wire \blk00000003/blk000003d6/sig0000135b ; + wire \blk00000003/blk000003d6/sig0000135a ; + wire \blk00000003/blk000003d6/sig00001359 ; + wire \blk00000003/blk000003d6/sig00001358 ; + wire \blk00000003/blk000003d6/sig00001357 ; + wire \blk00000003/blk000003d6/sig00001356 ; + wire \blk00000003/blk000003d6/sig00001355 ; + wire \blk00000003/blk000003d6/sig00001354 ; + wire \blk00000003/blk000003d6/sig00001353 ; + wire \blk00000003/blk000003d6/sig00001352 ; + wire \blk00000003/blk000003d6/sig00001351 ; + wire \blk00000003/blk000003d6/sig00001350 ; + wire \blk00000003/blk000003f9/sig00001397 ; + wire \blk00000003/blk000003f9/sig00001396 ; + wire \blk00000003/blk000003f9/sig00001395 ; + wire \blk00000003/blk000003f9/sig00001394 ; + wire \blk00000003/blk000003f9/sig00001393 ; + wire \blk00000003/blk000003f9/sig00001392 ; + wire \blk00000003/blk000003f9/sig00001391 ; + wire \blk00000003/blk000003f9/sig00001390 ; + wire \blk00000003/blk000003f9/sig0000138f ; + wire \blk00000003/blk000003f9/sig0000138e ; + wire \blk00000003/blk000003f9/sig0000138d ; + wire \blk00000003/blk000003f9/sig0000138c ; + wire \blk00000003/blk000003f9/sig0000138b ; + wire \blk00000003/blk000003f9/sig0000138a ; + wire \blk00000003/blk000003f9/sig00001389 ; + wire \blk00000003/blk000003f9/sig00001388 ; + wire \blk00000003/blk000003f9/sig00001387 ; + wire \blk00000003/blk000003f9/sig00001386 ; + wire \blk00000003/blk0000041c/sig000013cd ; + wire \blk00000003/blk0000041c/sig000013cc ; + wire \blk00000003/blk0000041c/sig000013cb ; + wire \blk00000003/blk0000041c/sig000013ca ; + wire \blk00000003/blk0000041c/sig000013c9 ; + wire \blk00000003/blk0000041c/sig000013c8 ; + wire \blk00000003/blk0000041c/sig000013c7 ; + wire \blk00000003/blk0000041c/sig000013c6 ; + wire \blk00000003/blk0000041c/sig000013c5 ; + wire \blk00000003/blk0000041c/sig000013c4 ; + wire \blk00000003/blk0000041c/sig000013c3 ; + wire \blk00000003/blk0000041c/sig000013c2 ; + wire \blk00000003/blk0000041c/sig000013c1 ; + wire \blk00000003/blk0000041c/sig000013c0 ; + wire \blk00000003/blk0000041c/sig000013bf ; + wire \blk00000003/blk0000041c/sig000013be ; + wire \blk00000003/blk0000041c/sig000013bd ; + wire \blk00000003/blk0000041c/sig000013bc ; + wire \blk00000003/blk0000043f/sig00001403 ; + wire \blk00000003/blk0000043f/sig00001402 ; + wire \blk00000003/blk0000043f/sig00001401 ; + wire \blk00000003/blk0000043f/sig00001400 ; + wire \blk00000003/blk0000043f/sig000013ff ; + wire \blk00000003/blk0000043f/sig000013fe ; + wire \blk00000003/blk0000043f/sig000013fd ; + wire \blk00000003/blk0000043f/sig000013fc ; + wire \blk00000003/blk0000043f/sig000013fb ; + wire \blk00000003/blk0000043f/sig000013fa ; + wire \blk00000003/blk0000043f/sig000013f9 ; + wire \blk00000003/blk0000043f/sig000013f8 ; + wire \blk00000003/blk0000043f/sig000013f7 ; + wire \blk00000003/blk0000043f/sig000013f6 ; + wire \blk00000003/blk0000043f/sig000013f5 ; + wire \blk00000003/blk0000043f/sig000013f4 ; + wire \blk00000003/blk0000043f/sig000013f3 ; + wire \blk00000003/blk0000043f/sig000013f2 ; + wire \blk00000003/blk00000462/sig00001439 ; + wire \blk00000003/blk00000462/sig00001438 ; + wire \blk00000003/blk00000462/sig00001437 ; + wire \blk00000003/blk00000462/sig00001436 ; + wire \blk00000003/blk00000462/sig00001435 ; + wire \blk00000003/blk00000462/sig00001434 ; + wire \blk00000003/blk00000462/sig00001433 ; + wire \blk00000003/blk00000462/sig00001432 ; + wire \blk00000003/blk00000462/sig00001431 ; + wire \blk00000003/blk00000462/sig00001430 ; + wire \blk00000003/blk00000462/sig0000142f ; + wire \blk00000003/blk00000462/sig0000142e ; + wire \blk00000003/blk00000462/sig0000142d ; + wire \blk00000003/blk00000462/sig0000142c ; + wire \blk00000003/blk00000462/sig0000142b ; + wire \blk00000003/blk00000462/sig0000142a ; + wire \blk00000003/blk00000462/sig00001429 ; + wire \blk00000003/blk00000462/sig00001428 ; + wire \blk00000003/blk00000485/sig0000149c ; + wire \blk00000003/blk00000485/sig0000149b ; + wire \blk00000003/blk00000485/sig0000149a ; + wire \blk00000003/blk00000485/sig00001499 ; + wire \blk00000003/blk00000485/sig00001498 ; + wire \blk00000003/blk00000485/sig00001497 ; + wire \blk00000003/blk00000485/sig00001496 ; + wire \blk00000003/blk00000485/sig00001495 ; + wire \blk00000003/blk00000485/sig00001494 ; + wire \blk00000003/blk00000485/sig00001493 ; + wire \blk00000003/blk00000485/sig00001492 ; + wire \blk00000003/blk00000485/sig00001491 ; + wire \blk00000003/blk00000485/sig00001490 ; + wire \blk00000003/blk00000485/sig0000148f ; + wire \blk00000003/blk00000485/sig0000148e ; + wire \blk00000003/blk00000485/sig0000148d ; + wire \blk00000003/blk00000485/sig0000148c ; + wire \blk00000003/blk00000485/sig0000148b ; + wire \blk00000003/blk00000485/sig0000148a ; + wire \blk00000003/blk00000485/sig00001489 ; + wire \blk00000003/blk00000485/sig00001488 ; + wire \blk00000003/blk00000485/sig00001487 ; + wire \blk00000003/blk00000485/sig00001486 ; + wire \blk00000003/blk00000485/sig00001485 ; + wire \blk00000003/blk00000485/sig00001484 ; + wire \blk00000003/blk00000485/sig00001483 ; + wire \blk00000003/blk00000485/sig00001482 ; + wire \blk00000003/blk00000485/sig00001481 ; + wire \blk00000003/blk00000485/sig00001480 ; + wire \blk00000003/blk00000485/sig0000147f ; + wire \blk00000003/blk00000485/sig0000147e ; + wire \blk00000003/blk00000485/sig0000147d ; + wire \blk00000003/blk00000485/sig0000147c ; + wire \blk00000003/blk00000485/sig0000147b ; + wire \blk00000003/blk00000485/sig0000147a ; + wire \blk00000003/blk00000485/sig00001479 ; + wire \blk00000003/blk00000485/sig00001478 ; + wire \blk00000003/blk00000485/sig00001477 ; + wire \blk00000003/blk000004be/sig000014ff ; + wire \blk00000003/blk000004be/sig000014fe ; + wire \blk00000003/blk000004be/sig000014fd ; + wire \blk00000003/blk000004be/sig000014fc ; + wire \blk00000003/blk000004be/sig000014fb ; + wire \blk00000003/blk000004be/sig000014fa ; + wire \blk00000003/blk000004be/sig000014f9 ; + wire \blk00000003/blk000004be/sig000014f8 ; + wire \blk00000003/blk000004be/sig000014f7 ; + wire \blk00000003/blk000004be/sig000014f6 ; + wire \blk00000003/blk000004be/sig000014f5 ; + wire \blk00000003/blk000004be/sig000014f4 ; + wire \blk00000003/blk000004be/sig000014f3 ; + wire \blk00000003/blk000004be/sig000014f2 ; + wire \blk00000003/blk000004be/sig000014f1 ; + wire \blk00000003/blk000004be/sig000014f0 ; + wire \blk00000003/blk000004be/sig000014ef ; + wire \blk00000003/blk000004be/sig000014ee ; + wire \blk00000003/blk000004be/sig000014ed ; + wire \blk00000003/blk000004be/sig000014ec ; + wire \blk00000003/blk000004be/sig000014eb ; + wire \blk00000003/blk000004be/sig000014ea ; + wire \blk00000003/blk000004be/sig000014e9 ; + wire \blk00000003/blk000004be/sig000014e8 ; + wire \blk00000003/blk000004be/sig000014e7 ; + wire \blk00000003/blk000004be/sig000014e6 ; + wire \blk00000003/blk000004be/sig000014e5 ; + wire \blk00000003/blk000004be/sig000014e4 ; + wire \blk00000003/blk000004be/sig000014e3 ; + wire \blk00000003/blk000004be/sig000014e2 ; + wire \blk00000003/blk000004be/sig000014e1 ; + wire \blk00000003/blk000004be/sig000014e0 ; + wire \blk00000003/blk000004be/sig000014df ; + wire \blk00000003/blk000004be/sig000014de ; + wire \blk00000003/blk000004be/sig000014dd ; + wire \blk00000003/blk000004be/sig000014dc ; + wire \blk00000003/blk000004be/sig000014db ; + wire \blk00000003/blk000004be/sig000014da ; + wire \blk00000003/blk000004f7/sig00001562 ; + wire \blk00000003/blk000004f7/sig00001561 ; + wire \blk00000003/blk000004f7/sig00001560 ; + wire \blk00000003/blk000004f7/sig0000155f ; + wire \blk00000003/blk000004f7/sig0000155e ; + wire \blk00000003/blk000004f7/sig0000155d ; + wire \blk00000003/blk000004f7/sig0000155c ; + wire \blk00000003/blk000004f7/sig0000155b ; + wire \blk00000003/blk000004f7/sig0000155a ; + wire \blk00000003/blk000004f7/sig00001559 ; + wire \blk00000003/blk000004f7/sig00001558 ; + wire \blk00000003/blk000004f7/sig00001557 ; + wire \blk00000003/blk000004f7/sig00001556 ; + wire \blk00000003/blk000004f7/sig00001555 ; + wire \blk00000003/blk000004f7/sig00001554 ; + wire \blk00000003/blk000004f7/sig00001553 ; + wire \blk00000003/blk000004f7/sig00001552 ; + wire \blk00000003/blk000004f7/sig00001551 ; + wire \blk00000003/blk000004f7/sig00001550 ; + wire \blk00000003/blk000004f7/sig0000154f ; + wire \blk00000003/blk000004f7/sig0000154e ; + wire \blk00000003/blk000004f7/sig0000154d ; + wire \blk00000003/blk000004f7/sig0000154c ; + wire \blk00000003/blk000004f7/sig0000154b ; + wire \blk00000003/blk000004f7/sig0000154a ; + wire \blk00000003/blk000004f7/sig00001549 ; + wire \blk00000003/blk000004f7/sig00001548 ; + wire \blk00000003/blk000004f7/sig00001547 ; + wire \blk00000003/blk000004f7/sig00001546 ; + wire \blk00000003/blk000004f7/sig00001545 ; + wire \blk00000003/blk000004f7/sig00001544 ; + wire \blk00000003/blk000004f7/sig00001543 ; + wire \blk00000003/blk000004f7/sig00001542 ; + wire \blk00000003/blk000004f7/sig00001541 ; + wire \blk00000003/blk000004f7/sig00001540 ; + wire \blk00000003/blk000004f7/sig0000153f ; + wire \blk00000003/blk000004f7/sig0000153e ; + wire \blk00000003/blk000004f7/sig0000153d ; + wire \blk00000003/blk00000530/sig000015c5 ; + wire \blk00000003/blk00000530/sig000015c4 ; + wire \blk00000003/blk00000530/sig000015c3 ; + wire \blk00000003/blk00000530/sig000015c2 ; + wire \blk00000003/blk00000530/sig000015c1 ; + wire \blk00000003/blk00000530/sig000015c0 ; + wire \blk00000003/blk00000530/sig000015bf ; + wire \blk00000003/blk00000530/sig000015be ; + wire \blk00000003/blk00000530/sig000015bd ; + wire \blk00000003/blk00000530/sig000015bc ; + wire \blk00000003/blk00000530/sig000015bb ; + wire \blk00000003/blk00000530/sig000015ba ; + wire \blk00000003/blk00000530/sig000015b9 ; + wire \blk00000003/blk00000530/sig000015b8 ; + wire \blk00000003/blk00000530/sig000015b7 ; + wire \blk00000003/blk00000530/sig000015b6 ; + wire \blk00000003/blk00000530/sig000015b5 ; + wire \blk00000003/blk00000530/sig000015b4 ; + wire \blk00000003/blk00000530/sig000015b3 ; + wire \blk00000003/blk00000530/sig000015b2 ; + wire \blk00000003/blk00000530/sig000015b1 ; + wire \blk00000003/blk00000530/sig000015b0 ; + wire \blk00000003/blk00000530/sig000015af ; + wire \blk00000003/blk00000530/sig000015ae ; + wire \blk00000003/blk00000530/sig000015ad ; + wire \blk00000003/blk00000530/sig000015ac ; + wire \blk00000003/blk00000530/sig000015ab ; + wire \blk00000003/blk00000530/sig000015aa ; + wire \blk00000003/blk00000530/sig000015a9 ; + wire \blk00000003/blk00000530/sig000015a8 ; + wire \blk00000003/blk00000530/sig000015a7 ; + wire \blk00000003/blk00000530/sig000015a6 ; + wire \blk00000003/blk00000530/sig000015a5 ; + wire \blk00000003/blk00000530/sig000015a4 ; + wire \blk00000003/blk00000530/sig000015a3 ; + wire \blk00000003/blk00000530/sig000015a2 ; + wire \blk00000003/blk00000530/sig000015a1 ; + wire \blk00000003/blk00000530/sig000015a0 ; + wire \blk00000003/blk00000569/sig00001628 ; + wire \blk00000003/blk00000569/sig00001627 ; + wire \blk00000003/blk00000569/sig00001626 ; + wire \blk00000003/blk00000569/sig00001625 ; + wire \blk00000003/blk00000569/sig00001624 ; + wire \blk00000003/blk00000569/sig00001623 ; + wire \blk00000003/blk00000569/sig00001622 ; + wire \blk00000003/blk00000569/sig00001621 ; + wire \blk00000003/blk00000569/sig00001620 ; + wire \blk00000003/blk00000569/sig0000161f ; + wire \blk00000003/blk00000569/sig0000161e ; + wire \blk00000003/blk00000569/sig0000161d ; + wire \blk00000003/blk00000569/sig0000161c ; + wire \blk00000003/blk00000569/sig0000161b ; + wire \blk00000003/blk00000569/sig0000161a ; + wire \blk00000003/blk00000569/sig00001619 ; + wire \blk00000003/blk00000569/sig00001618 ; + wire \blk00000003/blk00000569/sig00001617 ; + wire \blk00000003/blk00000569/sig00001616 ; + wire \blk00000003/blk00000569/sig00001615 ; + wire \blk00000003/blk00000569/sig00001614 ; + wire \blk00000003/blk00000569/sig00001613 ; + wire \blk00000003/blk00000569/sig00001612 ; + wire \blk00000003/blk00000569/sig00001611 ; + wire \blk00000003/blk00000569/sig00001610 ; + wire \blk00000003/blk00000569/sig0000160f ; + wire \blk00000003/blk00000569/sig0000160e ; + wire \blk00000003/blk00000569/sig0000160d ; + wire \blk00000003/blk00000569/sig0000160c ; + wire \blk00000003/blk00000569/sig0000160b ; + wire \blk00000003/blk00000569/sig0000160a ; + wire \blk00000003/blk00000569/sig00001609 ; + wire \blk00000003/blk00000569/sig00001608 ; + wire \blk00000003/blk00000569/sig00001607 ; + wire \blk00000003/blk00000569/sig00001606 ; + wire \blk00000003/blk00000569/sig00001605 ; + wire \blk00000003/blk00000569/sig00001604 ; + wire \blk00000003/blk00000569/sig00001603 ; + wire \blk00000003/blk000005a2/sig00001667 ; + wire \blk00000003/blk000005a2/sig00001666 ; + wire \blk00000003/blk000005a2/sig00001665 ; + wire \blk00000003/blk000005a2/sig00001664 ; + wire \blk00000003/blk000005a2/sig00001663 ; + wire \blk00000003/blk000005a2/sig00001662 ; + wire \blk00000003/blk000005a2/sig00001661 ; + wire \blk00000003/blk000005a2/sig00001660 ; + wire \blk00000003/blk000005a2/sig0000165f ; + wire \blk00000003/blk000005a2/sig0000165e ; + wire \blk00000003/blk000005a2/sig0000165d ; + wire \blk00000003/blk000005a2/sig0000165c ; + wire \blk00000003/blk000005a2/sig0000165b ; + wire \blk00000003/blk000005a2/sig0000165a ; + wire \blk00000003/blk000005a2/sig00001659 ; + wire \blk00000003/blk000005a2/sig00001658 ; + wire \blk00000003/blk000005a2/sig00001657 ; + wire \blk00000003/blk000005a2/sig00001656 ; + wire \blk00000003/blk000005a2/sig00001655 ; + wire \blk00000003/blk000005a2/sig00001654 ; + wire \blk00000003/blk000005c9/sig00001685 ; + wire \blk00000003/blk000005c9/sig00001684 ; + wire \blk00000003/blk000005c9/sig00001683 ; + wire \blk00000003/blk000005c9/sig00001682 ; + wire \blk00000003/blk000005c9/sig00001681 ; + wire \blk00000003/blk000005c9/sig00001680 ; + wire \blk00000003/blk000005c9/sig0000167f ; + wire \blk00000003/blk000005c9/sig0000167e ; + wire \blk00000003/blk000005c9/sig0000167d ; + wire \blk00000003/blk000005c9/sig0000167c ; + wire \blk00000003/blk000005dc/sig000016a3 ; + wire \blk00000003/blk000005dc/sig000016a2 ; + wire \blk00000003/blk000005dc/sig000016a1 ; + wire \blk00000003/blk000005dc/sig000016a0 ; + wire \blk00000003/blk000005dc/sig0000169f ; + wire \blk00000003/blk000005dc/sig0000169e ; + wire \blk00000003/blk000005dc/sig0000169d ; + wire \blk00000003/blk000005dc/sig0000169c ; + wire \blk00000003/blk000005dc/sig0000169b ; + wire \blk00000003/blk000005dc/sig0000169a ; + wire \blk00000003/blk000005ef/sig000016c1 ; + wire \blk00000003/blk000005ef/sig000016c0 ; + wire \blk00000003/blk000005ef/sig000016bf ; + wire \blk00000003/blk000005ef/sig000016be ; + wire \blk00000003/blk000005ef/sig000016bd ; + wire \blk00000003/blk000005ef/sig000016bc ; + wire \blk00000003/blk000005ef/sig000016bb ; + wire \blk00000003/blk000005ef/sig000016ba ; + wire \blk00000003/blk000005ef/sig000016b9 ; + wire \blk00000003/blk000005ef/sig000016b8 ; + wire \blk00000003/blk00000602/sig000016df ; + wire \blk00000003/blk00000602/sig000016de ; + wire \blk00000003/blk00000602/sig000016dd ; + wire \blk00000003/blk00000602/sig000016dc ; + wire \blk00000003/blk00000602/sig000016db ; + wire \blk00000003/blk00000602/sig000016da ; + wire \blk00000003/blk00000602/sig000016d9 ; + wire \blk00000003/blk00000602/sig000016d8 ; + wire \blk00000003/blk00000602/sig000016d7 ; + wire \blk00000003/blk00000602/sig000016d6 ; + wire \blk00000003/blk00000615/sig000016fd ; + wire \blk00000003/blk00000615/sig000016fc ; + wire \blk00000003/blk00000615/sig000016fb ; + wire \blk00000003/blk00000615/sig000016fa ; + wire \blk00000003/blk00000615/sig000016f9 ; + wire \blk00000003/blk00000615/sig000016f8 ; + wire \blk00000003/blk00000615/sig000016f7 ; + wire \blk00000003/blk00000615/sig000016f6 ; + wire \blk00000003/blk00000615/sig000016f5 ; + wire \blk00000003/blk00000615/sig000016f4 ; + wire \blk00000003/blk00000628/sig0000171b ; + wire \blk00000003/blk00000628/sig0000171a ; + wire \blk00000003/blk00000628/sig00001719 ; + wire \blk00000003/blk00000628/sig00001718 ; + wire \blk00000003/blk00000628/sig00001717 ; + wire \blk00000003/blk00000628/sig00001716 ; + wire \blk00000003/blk00000628/sig00001715 ; + wire \blk00000003/blk00000628/sig00001714 ; + wire \blk00000003/blk00000628/sig00001713 ; + wire \blk00000003/blk00000628/sig00001712 ; + wire \blk00000003/blk0000063b/sig00001739 ; + wire \blk00000003/blk0000063b/sig00001738 ; + wire \blk00000003/blk0000063b/sig00001737 ; + wire \blk00000003/blk0000063b/sig00001736 ; + wire \blk00000003/blk0000063b/sig00001735 ; + wire \blk00000003/blk0000063b/sig00001734 ; + wire \blk00000003/blk0000063b/sig00001733 ; + wire \blk00000003/blk0000063b/sig00001732 ; + wire \blk00000003/blk0000063b/sig00001731 ; + wire \blk00000003/blk0000063b/sig00001730 ; + wire \blk00000003/blk0000064e/sig00001757 ; + wire \blk00000003/blk0000064e/sig00001756 ; + wire \blk00000003/blk0000064e/sig00001755 ; + wire \blk00000003/blk0000064e/sig00001754 ; + wire \blk00000003/blk0000064e/sig00001753 ; + wire \blk00000003/blk0000064e/sig00001752 ; + wire \blk00000003/blk0000064e/sig00001751 ; + wire \blk00000003/blk0000064e/sig00001750 ; + wire \blk00000003/blk0000064e/sig0000174f ; + wire \blk00000003/blk0000064e/sig0000174e ; + wire \blk00000003/blk00000661/sig00001775 ; + wire \blk00000003/blk00000661/sig00001774 ; + wire \blk00000003/blk00000661/sig00001773 ; + wire \blk00000003/blk00000661/sig00001772 ; + wire \blk00000003/blk00000661/sig00001771 ; + wire \blk00000003/blk00000661/sig00001770 ; + wire \blk00000003/blk00000661/sig0000176f ; + wire \blk00000003/blk00000661/sig0000176e ; + wire \blk00000003/blk00000661/sig0000176d ; + wire \blk00000003/blk00000661/sig0000176c ; + wire \blk00000003/blk00000674/sig00001793 ; + wire \blk00000003/blk00000674/sig00001792 ; + wire \blk00000003/blk00000674/sig00001791 ; + wire \blk00000003/blk00000674/sig00001790 ; + wire \blk00000003/blk00000674/sig0000178f ; + wire \blk00000003/blk00000674/sig0000178e ; + wire \blk00000003/blk00000674/sig0000178d ; + wire \blk00000003/blk00000674/sig0000178c ; + wire \blk00000003/blk00000674/sig0000178b ; + wire \blk00000003/blk00000674/sig0000178a ; + wire \blk00000003/blk00000687/sig000017b1 ; + wire \blk00000003/blk00000687/sig000017b0 ; + wire \blk00000003/blk00000687/sig000017af ; + wire \blk00000003/blk00000687/sig000017ae ; + wire \blk00000003/blk00000687/sig000017ad ; + wire \blk00000003/blk00000687/sig000017ac ; + wire \blk00000003/blk00000687/sig000017ab ; + wire \blk00000003/blk00000687/sig000017aa ; + wire \blk00000003/blk00000687/sig000017a9 ; + wire \blk00000003/blk00000687/sig000017a8 ; + wire \blk00000003/blk0000069a/sig000017cf ; + wire \blk00000003/blk0000069a/sig000017ce ; + wire \blk00000003/blk0000069a/sig000017cd ; + wire \blk00000003/blk0000069a/sig000017cc ; + wire \blk00000003/blk0000069a/sig000017cb ; + wire \blk00000003/blk0000069a/sig000017ca ; + wire \blk00000003/blk0000069a/sig000017c9 ; + wire \blk00000003/blk0000069a/sig000017c8 ; + wire \blk00000003/blk0000069a/sig000017c7 ; + wire \blk00000003/blk0000069a/sig000017c6 ; + wire \blk00000003/blk000006ad/sig000017ed ; + wire \blk00000003/blk000006ad/sig000017ec ; + wire \blk00000003/blk000006ad/sig000017eb ; + wire \blk00000003/blk000006ad/sig000017ea ; + wire \blk00000003/blk000006ad/sig000017e9 ; + wire \blk00000003/blk000006ad/sig000017e8 ; + wire \blk00000003/blk000006ad/sig000017e7 ; + wire \blk00000003/blk000006ad/sig000017e6 ; + wire \blk00000003/blk000006ad/sig000017e5 ; + wire \blk00000003/blk000006ad/sig000017e4 ; + wire \blk00000003/blk000006c0/sig0000180b ; + wire \blk00000003/blk000006c0/sig0000180a ; + wire \blk00000003/blk000006c0/sig00001809 ; + wire \blk00000003/blk000006c0/sig00001808 ; + wire \blk00000003/blk000006c0/sig00001807 ; + wire \blk00000003/blk000006c0/sig00001806 ; + wire \blk00000003/blk000006c0/sig00001805 ; + wire \blk00000003/blk000006c0/sig00001804 ; + wire \blk00000003/blk000006c0/sig00001803 ; + wire \blk00000003/blk000006c0/sig00001802 ; + wire \blk00000003/blk000006d3/sig00001829 ; + wire \blk00000003/blk000006d3/sig00001828 ; + wire \blk00000003/blk000006d3/sig00001827 ; + wire \blk00000003/blk000006d3/sig00001826 ; + wire \blk00000003/blk000006d3/sig00001825 ; + wire \blk00000003/blk000006d3/sig00001824 ; + wire \blk00000003/blk000006d3/sig00001823 ; + wire \blk00000003/blk000006d3/sig00001822 ; + wire \blk00000003/blk000006d3/sig00001821 ; + wire \blk00000003/blk000006d3/sig00001820 ; + wire \blk00000003/blk000006e6/sig00001847 ; + wire \blk00000003/blk000006e6/sig00001846 ; + wire \blk00000003/blk000006e6/sig00001845 ; + wire \blk00000003/blk000006e6/sig00001844 ; + wire \blk00000003/blk000006e6/sig00001843 ; + wire \blk00000003/blk000006e6/sig00001842 ; + wire \blk00000003/blk000006e6/sig00001841 ; + wire \blk00000003/blk000006e6/sig00001840 ; + wire \blk00000003/blk000006e6/sig0000183f ; + wire \blk00000003/blk000006e6/sig0000183e ; + wire \blk00000003/blk000006f9/sig00001865 ; + wire \blk00000003/blk000006f9/sig00001864 ; + wire \blk00000003/blk000006f9/sig00001863 ; + wire \blk00000003/blk000006f9/sig00001862 ; + wire \blk00000003/blk000006f9/sig00001861 ; + wire \blk00000003/blk000006f9/sig00001860 ; + wire \blk00000003/blk000006f9/sig0000185f ; + wire \blk00000003/blk000006f9/sig0000185e ; + wire \blk00000003/blk000006f9/sig0000185d ; + wire \blk00000003/blk000006f9/sig0000185c ; + wire \blk00000003/blk0000070c/sig00001883 ; + wire \blk00000003/blk0000070c/sig00001882 ; + wire \blk00000003/blk0000070c/sig00001881 ; + wire \blk00000003/blk0000070c/sig00001880 ; + wire \blk00000003/blk0000070c/sig0000187f ; + wire \blk00000003/blk0000070c/sig0000187e ; + wire \blk00000003/blk0000070c/sig0000187d ; + wire \blk00000003/blk0000070c/sig0000187c ; + wire \blk00000003/blk0000070c/sig0000187b ; + wire \blk00000003/blk0000070c/sig0000187a ; + wire \blk00000003/blk0000071f/sig000018a1 ; + wire \blk00000003/blk0000071f/sig000018a0 ; + wire \blk00000003/blk0000071f/sig0000189f ; + wire \blk00000003/blk0000071f/sig0000189e ; + wire \blk00000003/blk0000071f/sig0000189d ; + wire \blk00000003/blk0000071f/sig0000189c ; + wire \blk00000003/blk0000071f/sig0000189b ; + wire \blk00000003/blk0000071f/sig0000189a ; + wire \blk00000003/blk0000071f/sig00001899 ; + wire \blk00000003/blk0000071f/sig00001898 ; + wire \blk00000003/blk00000732/sig000018bf ; + wire \blk00000003/blk00000732/sig000018be ; + wire \blk00000003/blk00000732/sig000018bd ; + wire \blk00000003/blk00000732/sig000018bc ; + wire \blk00000003/blk00000732/sig000018bb ; + wire \blk00000003/blk00000732/sig000018ba ; + wire \blk00000003/blk00000732/sig000018b9 ; + wire \blk00000003/blk00000732/sig000018b8 ; + wire \blk00000003/blk00000732/sig000018b7 ; + wire \blk00000003/blk00000732/sig000018b6 ; + wire \blk00000003/blk00000745/sig000018dd ; + wire \blk00000003/blk00000745/sig000018dc ; + wire \blk00000003/blk00000745/sig000018db ; + wire \blk00000003/blk00000745/sig000018da ; + wire \blk00000003/blk00000745/sig000018d9 ; + wire \blk00000003/blk00000745/sig000018d8 ; + wire \blk00000003/blk00000745/sig000018d7 ; + wire \blk00000003/blk00000745/sig000018d6 ; + wire \blk00000003/blk00000745/sig000018d5 ; + wire \blk00000003/blk00000745/sig000018d4 ; + wire \blk00000003/blk00000758/sig000018fb ; + wire \blk00000003/blk00000758/sig000018fa ; + wire \blk00000003/blk00000758/sig000018f9 ; + wire \blk00000003/blk00000758/sig000018f8 ; + wire \blk00000003/blk00000758/sig000018f7 ; + wire \blk00000003/blk00000758/sig000018f6 ; + wire \blk00000003/blk00000758/sig000018f5 ; + wire \blk00000003/blk00000758/sig000018f4 ; + wire \blk00000003/blk00000758/sig000018f3 ; + wire \blk00000003/blk00000758/sig000018f2 ; + wire \blk00000003/blk0000076b/sig00001919 ; + wire \blk00000003/blk0000076b/sig00001918 ; + wire \blk00000003/blk0000076b/sig00001917 ; + wire \blk00000003/blk0000076b/sig00001916 ; + wire \blk00000003/blk0000076b/sig00001915 ; + wire \blk00000003/blk0000076b/sig00001914 ; + wire \blk00000003/blk0000076b/sig00001913 ; + wire \blk00000003/blk0000076b/sig00001912 ; + wire \blk00000003/blk0000076b/sig00001911 ; + wire \blk00000003/blk0000076b/sig00001910 ; + wire \blk00000003/blk0000077e/sig00001937 ; + wire \blk00000003/blk0000077e/sig00001936 ; + wire \blk00000003/blk0000077e/sig00001935 ; + wire \blk00000003/blk0000077e/sig00001934 ; + wire \blk00000003/blk0000077e/sig00001933 ; + wire \blk00000003/blk0000077e/sig00001932 ; + wire \blk00000003/blk0000077e/sig00001931 ; + wire \blk00000003/blk0000077e/sig00001930 ; + wire \blk00000003/blk0000077e/sig0000192f ; + wire \blk00000003/blk0000077e/sig0000192e ; + wire \blk00000003/blk000007c1/sig00001974 ; + wire \blk00000003/blk000007c1/sig00001973 ; + wire \blk00000003/blk000007c1/sig00001972 ; + wire \blk00000003/blk000007c1/sig00001971 ; + wire \blk00000003/blk000007c1/sig00001970 ; + wire \blk00000003/blk000007c1/sig0000196f ; + wire \blk00000003/blk000007c1/sig0000196e ; + wire \blk00000003/blk000007c1/sig0000196d ; + wire \blk00000003/blk000007c1/sig0000196c ; + wire \blk00000003/blk000007c1/sig0000196b ; + wire \blk00000003/blk000007c1/sig0000196a ; + wire \blk00000003/blk000007c1/sig00001969 ; + wire \blk00000003/blk000007c1/sig00001968 ; + wire \blk00000003/blk000007c1/sig00001967 ; + wire \blk00000003/blk000007c1/sig00001966 ; + wire \blk00000003/blk000007c1/sig00001965 ; + wire \blk00000003/blk000007c1/sig00001964 ; + wire \blk00000003/blk000007c1/sig00001963 ; + wire \blk00000003/blk000007c1/sig00001962 ; + wire \blk00000003/blk000007c1/sig00001961 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000add_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000999_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000997_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000995_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000993_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000991_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000989_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000987_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000985_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000983_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000981_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000979_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000977_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000975_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000973_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000971_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000969_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000967_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000965_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000963_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000961_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000959_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000957_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000955_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000953_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000951_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000858_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ee_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000109_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000108_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000107_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000106_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000104_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000103_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000102_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000100_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000de_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b5_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b3_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000aa_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009b_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000027_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000023_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000021_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8e ), + .Q(\blk00000003/sig00000bc2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/sig00000e8e ), + .Q15(\NLW_blk00000003/blk00000cc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8d ), + .Q(\blk00000003/sig00000bc1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/sig00000e8d ), + .Q15(\NLW_blk00000003/blk00000cc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cc0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8c ), + .Q(\blk00000003/sig00000bc0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/sig00000e8c ), + .Q15(\NLW_blk00000003/blk00000cbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbe ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8b ), + .Q(\blk00000003/sig00000bbf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/sig00000e8b ), + .Q15(\NLW_blk00000003/blk00000cbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cbc ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e8a ), + .Q(\blk00000003/sig00000bbe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000cbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cba ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e89 ), + .Q(\blk00000003/sig00000bbc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000cb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e88 ), + .Q(\blk00000003/sig00000bbb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000cb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e87 ), + .Q(\blk00000003/sig00000bbd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000cb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb4 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e86 ), + .Q(\blk00000003/sig00000bd2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000cb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb2 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e85 ), + .Q(\blk00000003/sig00000bd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000cb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cb0 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e84 ), + .Q(\blk00000003/sig00000bd0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000caf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000caf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cae ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e83 ), + .Q(\blk00000003/sig00000bcf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000cad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000cac ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e82 ), + .Q(\blk00000003/sig00000bce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000cab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/sig00000e82 ), + .Q15(\NLW_blk00000003/blk00000cab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000caa ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e81 ), + .Q(\blk00000003/sig00000bcd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/sig00000e81 ), + .Q15(\NLW_blk00000003/blk00000ca9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca8 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e80 ), + .Q(\blk00000003/sig00000bcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/sig00000e80 ), + .Q15(\NLW_blk00000003/blk00000ca7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca6 ( + .C(clk), + .CE(\blk00000003/sig00000ca0 ), + .D(\blk00000003/sig00000e7f ), + .Q(\blk00000003/sig00000bcc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca0 ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/sig00000e7f ), + .Q15(\NLW_blk00000003/blk00000ca5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca4 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7e ), + .Q(\blk00000003/sig00000ad6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/sig00000e7e ), + .Q15(\NLW_blk00000003/blk00000ca3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca2 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7d ), + .Q(\blk00000003/sig00000ad5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ca1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/sig00000e7d ), + .Q15(\NLW_blk00000003/blk00000ca1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ca0 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7c ), + .Q(\blk00000003/sig00000ad4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/sig00000e7c ), + .Q15(\NLW_blk00000003/blk00000c9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7b ), + .Q(\blk00000003/sig00000ad3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/sig00000e7b ), + .Q15(\NLW_blk00000003/blk00000c9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e7a ), + .Q(\blk00000003/sig00000ad1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c9b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/sig00000e7a ), + .Q15(\NLW_blk00000003/blk00000c9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c9a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e79 ), + .Q(\blk00000003/sig00000ad0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c99 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/sig00000e79 ), + .Q15(\NLW_blk00000003/blk00000c99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c98 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e78 ), + .Q(\blk00000003/sig00000ad2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c97 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/sig00000e78 ), + .Q15(\NLW_blk00000003/blk00000c97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c96 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e77 ), + .Q(\blk00000003/sig00000acf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c95 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/sig00000e77 ), + .Q15(\NLW_blk00000003/blk00000c95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c94 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e76 ), + .Q(\blk00000003/sig00000ace ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c93 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/sig00000e76 ), + .Q15(\NLW_blk00000003/blk00000c93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c92 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e75 ), + .Q(\blk00000003/sig00000acd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c91 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/sig00000e75 ), + .Q15(\NLW_blk00000003/blk00000c91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c90 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e74 ), + .Q(\blk00000003/sig00000acc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/sig00000e74 ), + .Q15(\NLW_blk00000003/blk00000c8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8e ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e73 ), + .Q(\blk00000003/sig00000aca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/sig00000e73 ), + .Q15(\NLW_blk00000003/blk00000c8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8c ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e72 ), + .Q(\blk00000003/sig00000ac9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c8b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/sig00000e72 ), + .Q15(\NLW_blk00000003/blk00000c8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c8a ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e71 ), + .Q(\blk00000003/sig00000acb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c89 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/sig00000e71 ), + .Q15(\NLW_blk00000003/blk00000c89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c88 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e70 ), + .Q(\blk00000003/sig00000ac7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c87 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/sig00000e70 ), + .Q15(\NLW_blk00000003/blk00000c87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c86 ( + .C(clk), + .CE(\blk00000003/sig00000c9f ), + .D(\blk00000003/sig00000e6f ), + .Q(\blk00000003/sig00000ac8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c85 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9f ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/sig00000e6f ), + .Q15(\NLW_blk00000003/blk00000c85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c84 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6e ), + .Q(\blk00000003/sig00000af5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c83 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/sig00000e6e ), + .Q15(\NLW_blk00000003/blk00000c83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c82 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6d ), + .Q(\blk00000003/sig00000af4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c81 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/sig00000e6d ), + .Q15(\NLW_blk00000003/blk00000c81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c80 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6c ), + .Q(\blk00000003/sig00000af6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/sig00000e6c ), + .Q15(\NLW_blk00000003/blk00000c7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6b ), + .Q(\blk00000003/sig00000af3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000c7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e6a ), + .Q(\blk00000003/sig00000af2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000c7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c7a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e69 ), + .Q(\blk00000003/sig00000af1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000c79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c78 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e68 ), + .Q(\blk00000003/sig00000af0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000c77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c76 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e67 ), + .Q(\blk00000003/sig00000aee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000c75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c74 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e66 ), + .Q(\blk00000003/sig00000aed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000c73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c72 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e65 ), + .Q(\blk00000003/sig00000aef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000c71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c70 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e64 ), + .Q(\blk00000003/sig00000aec ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000c6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6e ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e63 ), + .Q(\blk00000003/sig00000aeb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/sig00000e63 ), + .Q15(\NLW_blk00000003/blk00000c6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6c ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e62 ), + .Q(\blk00000003/sig00000aea ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/sig00000e62 ), + .Q15(\NLW_blk00000003/blk00000c6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c6a ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e61 ), + .Q(\blk00000003/sig00000ae9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/sig00000e61 ), + .Q15(\NLW_blk00000003/blk00000c69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c68 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e60 ), + .Q(\blk00000003/sig00000ae7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/sig00000e60 ), + .Q15(\NLW_blk00000003/blk00000c67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c66 ( + .C(clk), + .CE(\blk00000003/sig00000c6c ), + .D(\blk00000003/sig00000e5f ), + .Q(\blk00000003/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6c ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/sig00000e5f ), + .Q15(\NLW_blk00000003/blk00000c65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c64 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5e ), + .Q(\blk00000003/sig00000baa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/sig00000e5e ), + .Q15(\NLW_blk00000003/blk00000c63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c62 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5d ), + .Q(\blk00000003/sig00000ba9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/sig00000e5d ), + .Q15(\NLW_blk00000003/blk00000c61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c60 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5c ), + .Q(\blk00000003/sig00000ba8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/sig00000e5c ), + .Q15(\NLW_blk00000003/blk00000c5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5b ), + .Q(\blk00000003/sig00000ba7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/sig00000e5b ), + .Q15(\NLW_blk00000003/blk00000c5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e5a ), + .Q(\blk00000003/sig00000ba5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/sig00000e5a ), + .Q15(\NLW_blk00000003/blk00000c5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c5a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e59 ), + .Q(\blk00000003/sig00000ba4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/sig00000e59 ), + .Q15(\NLW_blk00000003/blk00000c59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c58 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e58 ), + .Q(\blk00000003/sig00000ba6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/sig00000e58 ), + .Q15(\NLW_blk00000003/blk00000c57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c56 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e57 ), + .Q(\blk00000003/sig00000ba3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/sig00000e57 ), + .Q15(\NLW_blk00000003/blk00000c55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c54 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e56 ), + .Q(\blk00000003/sig00000bb2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/sig00000e56 ), + .Q15(\NLW_blk00000003/blk00000c53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c52 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e55 ), + .Q(\blk00000003/sig00000bb1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/sig00000e55 ), + .Q15(\NLW_blk00000003/blk00000c51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c50 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e54 ), + .Q(\blk00000003/sig00000bb0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/sig00000e54 ), + .Q15(\NLW_blk00000003/blk00000c4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4e ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e53 ), + .Q(\blk00000003/sig00000baf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/sig00000e53 ), + .Q15(\NLW_blk00000003/blk00000c4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4c ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e52 ), + .Q(\blk00000003/sig00000bae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/sig00000e52 ), + .Q15(\NLW_blk00000003/blk00000c4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c4a ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e51 ), + .Q(\blk00000003/sig00000bac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/sig00000e51 ), + .Q15(\NLW_blk00000003/blk00000c49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c48 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e50 ), + .Q(\blk00000003/sig00000bab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/sig00000e50 ), + .Q15(\NLW_blk00000003/blk00000c47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c46 ( + .C(clk), + .CE(\blk00000003/sig00000c9e ), + .D(\blk00000003/sig00000e4f ), + .Q(\blk00000003/sig00000bad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9e ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/sig00000e4f ), + .Q15(\NLW_blk00000003/blk00000c45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c44 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4e ), + .Q(\blk00000003/sig00000aa6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/sig00000e4e ), + .Q15(\NLW_blk00000003/blk00000c43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c42 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4d ), + .Q(\blk00000003/sig00000aa4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/sig00000e4d ), + .Q15(\NLW_blk00000003/blk00000c41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c40 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4c ), + .Q(\blk00000003/sig00000aa3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/sig00000e4c ), + .Q15(\NLW_blk00000003/blk00000c3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4b ), + .Q(\blk00000003/sig00000aa5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/sig00000e4b ), + .Q15(\NLW_blk00000003/blk00000c3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e4a ), + .Q(\blk00000003/sig00000aa2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/sig00000e4a ), + .Q15(\NLW_blk00000003/blk00000c3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c3a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e49 ), + .Q(\blk00000003/sig00000aa1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/sig00000e49 ), + .Q15(\NLW_blk00000003/blk00000c39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c38 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e48 ), + .Q(\blk00000003/sig00000aa0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/sig00000e48 ), + .Q15(\NLW_blk00000003/blk00000c37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c36 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e47 ), + .Q(\blk00000003/sig00000a9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/sig00000e47 ), + .Q15(\NLW_blk00000003/blk00000c35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c34 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e46 ), + .Q(\blk00000003/sig00000a9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/sig00000e46 ), + .Q15(\NLW_blk00000003/blk00000c33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c32 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e45 ), + .Q(\blk00000003/sig00000a9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/sig00000e45 ), + .Q15(\NLW_blk00000003/blk00000c31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c30 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e44 ), + .Q(\blk00000003/sig00000a9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/sig00000e44 ), + .Q15(\NLW_blk00000003/blk00000c2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2e ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e43 ), + .Q(\blk00000003/sig00000a9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/sig00000e43 ), + .Q15(\NLW_blk00000003/blk00000c2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2c ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e42 ), + .Q(\blk00000003/sig00000a9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/sig00000e42 ), + .Q15(\NLW_blk00000003/blk00000c2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c2a ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e41 ), + .Q(\blk00000003/sig00000a99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/sig00000e41 ), + .Q15(\NLW_blk00000003/blk00000c29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c28 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e40 ), + .Q(\blk00000003/sig00000a98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/sig00000e40 ), + .Q15(\NLW_blk00000003/blk00000c27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c26 ( + .C(clk), + .CE(\blk00000003/sig00000c9d ), + .D(\blk00000003/sig00000e3f ), + .Q(\blk00000003/sig00000a97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/sig00000e3f ), + .Q15(\NLW_blk00000003/blk00000c25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c24 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3e ), + .Q(\blk00000003/sig00000ab6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/sig00000e3e ), + .Q15(\NLW_blk00000003/blk00000c23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c22 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3d ), + .Q(\blk00000003/sig00000ab5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/sig00000e3d ), + .Q15(\NLW_blk00000003/blk00000c21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c20 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3c ), + .Q(\blk00000003/sig00000ab4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/sig00000e3c ), + .Q15(\NLW_blk00000003/blk00000c1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3b ), + .Q(\blk00000003/sig00000ab3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/sig00000e3b ), + .Q15(\NLW_blk00000003/blk00000c1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e3a ), + .Q(\blk00000003/sig00000ab2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/sig00000e3a ), + .Q15(\NLW_blk00000003/blk00000c1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c1a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e39 ), + .Q(\blk00000003/sig00000ab0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/sig00000e39 ), + .Q15(\NLW_blk00000003/blk00000c19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c18 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e38 ), + .Q(\blk00000003/sig00000aaf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/sig00000e38 ), + .Q15(\NLW_blk00000003/blk00000c17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c16 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e37 ), + .Q(\blk00000003/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/sig00000e37 ), + .Q15(\NLW_blk00000003/blk00000c15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c14 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e36 ), + .Q(\blk00000003/sig00000aae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/sig00000e36 ), + .Q15(\NLW_blk00000003/blk00000c13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c12 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e35 ), + .Q(\blk00000003/sig00000aad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/sig00000e35 ), + .Q15(\NLW_blk00000003/blk00000c11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c10 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e34 ), + .Q(\blk00000003/sig00000aac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/sig00000e34 ), + .Q15(\NLW_blk00000003/blk00000c0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0e ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e33 ), + .Q(\blk00000003/sig00000aab ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/sig00000e33 ), + .Q15(\NLW_blk00000003/blk00000c0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0c ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e32 ), + .Q(\blk00000003/sig00000aa9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/sig00000e32 ), + .Q15(\NLW_blk00000003/blk00000c0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c0a ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e31 ), + .Q(\blk00000003/sig00000aa8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/sig00000e31 ), + .Q15(\NLW_blk00000003/blk00000c09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c08 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e30 ), + .Q(\blk00000003/sig00000aaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/sig00000e30 ), + .Q15(\NLW_blk00000003/blk00000c07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c06 ( + .C(clk), + .CE(\blk00000003/sig00000c6b ), + .D(\blk00000003/sig00000e2f ), + .Q(\blk00000003/sig00000aa7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6b ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/sig00000e2f ), + .Q15(\NLW_blk00000003/blk00000c05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c04 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000e2e ), + .Q(\blk00000003/sig00000c61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c03 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a16 ), + .Q(\blk00000003/sig00000e2e ), + .Q15(\NLW_blk00000003/blk00000c03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c02 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2d ), + .Q(\blk00000003/sig00000b9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000c01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/sig00000e2d ), + .Q15(\NLW_blk00000003/blk00000c01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000c00 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2c ), + .Q(\blk00000003/sig00000b99 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/sig00000e2c ), + .Q15(\NLW_blk00000003/blk00000bff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfe ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2b ), + .Q(\blk00000003/sig00000b97 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/sig00000e2b ), + .Q15(\NLW_blk00000003/blk00000bfd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfc ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e2a ), + .Q(\blk00000003/sig00000b96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bfb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/sig00000e2a ), + .Q15(\NLW_blk00000003/blk00000bfb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bfa ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e29 ), + .Q(\blk00000003/sig00000b98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/sig00000e29 ), + .Q15(\NLW_blk00000003/blk00000bf9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e28 ), + .Q(\blk00000003/sig00000b95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/sig00000e28 ), + .Q15(\NLW_blk00000003/blk00000bf7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e27 ), + .Q(\blk00000003/sig00000b94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/sig00000e27 ), + .Q15(\NLW_blk00000003/blk00000bf5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e26 ), + .Q(\blk00000003/sig00000b93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/sig00000e26 ), + .Q15(\NLW_blk00000003/blk00000bf3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf2 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e25 ), + .Q(\blk00000003/sig00000ba2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bf1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/sig00000e25 ), + .Q15(\NLW_blk00000003/blk00000bf1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bf0 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e24 ), + .Q(\blk00000003/sig00000ba1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/sig00000e24 ), + .Q15(\NLW_blk00000003/blk00000bef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bee ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e23 ), + .Q(\blk00000003/sig00000ba0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/sig00000e23 ), + .Q15(\NLW_blk00000003/blk00000bed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bec ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e22 ), + .Q(\blk00000003/sig00000b9f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000beb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/sig00000e22 ), + .Q15(\NLW_blk00000003/blk00000beb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bea ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e21 ), + .Q(\blk00000003/sig00000b9d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/sig00000e21 ), + .Q15(\NLW_blk00000003/blk00000be9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be8 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e20 ), + .Q(\blk00000003/sig00000b9c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/sig00000e20 ), + .Q15(\NLW_blk00000003/blk00000be7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be6 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1f ), + .Q(\blk00000003/sig00000b9e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/sig00000e1f ), + .Q15(\NLW_blk00000003/blk00000be5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be4 ( + .C(clk), + .CE(\blk00000003/sig00000c9c ), + .D(\blk00000003/sig00000e1e ), + .Q(\blk00000003/sig00000b9b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9c ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/sig00000e1e ), + .Q15(\NLW_blk00000003/blk00000be3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1d ), + .Q(\blk00000003/sig00000a86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000be1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/sig00000e1d ), + .Q15(\NLW_blk00000003/blk00000be1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000be0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1c ), + .Q(\blk00000003/sig00000a85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/sig00000e1c ), + .Q15(\NLW_blk00000003/blk00000bdf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bde ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1b ), + .Q(\blk00000003/sig00000a84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/sig00000e1b ), + .Q15(\NLW_blk00000003/blk00000bdd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bdc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e1a ), + .Q(\blk00000003/sig00000a83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bdb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/sig00000e1a ), + .Q15(\NLW_blk00000003/blk00000bdb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bda ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e19 ), + .Q(\blk00000003/sig00000a82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/sig00000e19 ), + .Q15(\NLW_blk00000003/blk00000bd9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e18 ), + .Q(\blk00000003/sig00000a81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/sig00000e18 ), + .Q15(\NLW_blk00000003/blk00000bd7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e17 ), + .Q(\blk00000003/sig00000a7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/sig00000e17 ), + .Q15(\NLW_blk00000003/blk00000bd5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e16 ), + .Q(\blk00000003/sig00000a7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/sig00000e16 ), + .Q15(\NLW_blk00000003/blk00000bd3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd2 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e15 ), + .Q(\blk00000003/sig00000a80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bd1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/sig00000e15 ), + .Q15(\NLW_blk00000003/blk00000bd1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bd0 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e14 ), + .Q(\blk00000003/sig00000a7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/sig00000e14 ), + .Q15(\NLW_blk00000003/blk00000bcf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bce ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e13 ), + .Q(\blk00000003/sig00000a7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/sig00000e13 ), + .Q15(\NLW_blk00000003/blk00000bcd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bcc ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e12 ), + .Q(\blk00000003/sig00000a7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bcb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/sig00000e12 ), + .Q15(\NLW_blk00000003/blk00000bcb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bca ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e11 ), + .Q(\blk00000003/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/sig00000e11 ), + .Q15(\NLW_blk00000003/blk00000bc9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc8 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e10 ), + .Q(\blk00000003/sig00000a78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/sig00000e10 ), + .Q15(\NLW_blk00000003/blk00000bc7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc6 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0f ), + .Q(\blk00000003/sig00000a77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/sig00000e0f ), + .Q15(\NLW_blk00000003/blk00000bc5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc4 ( + .C(clk), + .CE(\blk00000003/sig00000c9b ), + .D(\blk00000003/sig00000e0e ), + .Q(\blk00000003/sig00000a79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9b ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/sig00000e0e ), + .Q15(\NLW_blk00000003/blk00000bc3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0d ), + .Q(\blk00000003/sig00000a96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bc1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/sig00000e0d ), + .Q15(\NLW_blk00000003/blk00000bc1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bc0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0c ), + .Q(\blk00000003/sig00000a95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/sig00000e0c ), + .Q15(\NLW_blk00000003/blk00000bbf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbe ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0b ), + .Q(\blk00000003/sig00000a94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/sig00000e0b ), + .Q15(\NLW_blk00000003/blk00000bbd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bbc ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e0a ), + .Q(\blk00000003/sig00000a92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bbb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/sig00000e0a ), + .Q15(\NLW_blk00000003/blk00000bbb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bba ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e09 ), + .Q(\blk00000003/sig00000a91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/sig00000e09 ), + .Q15(\NLW_blk00000003/blk00000bb9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e08 ), + .Q(\blk00000003/sig00000a93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/sig00000e08 ), + .Q15(\NLW_blk00000003/blk00000bb7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e07 ), + .Q(\blk00000003/sig00000a90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/sig00000e07 ), + .Q15(\NLW_blk00000003/blk00000bb5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e06 ), + .Q(\blk00000003/sig00000a8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/sig00000e06 ), + .Q15(\NLW_blk00000003/blk00000bb3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb2 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e05 ), + .Q(\blk00000003/sig00000a8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bb1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/sig00000e05 ), + .Q15(\NLW_blk00000003/blk00000bb1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bb0 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e04 ), + .Q(\blk00000003/sig00000a8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000baf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/sig00000e04 ), + .Q15(\NLW_blk00000003/blk00000baf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bae ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e03 ), + .Q(\blk00000003/sig00000a8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/sig00000e03 ), + .Q15(\NLW_blk00000003/blk00000bad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000bac ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e02 ), + .Q(\blk00000003/sig00000a8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000bab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/sig00000e02 ), + .Q15(\NLW_blk00000003/blk00000bab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000baa ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e01 ), + .Q(\blk00000003/sig00000a8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/sig00000e01 ), + .Q15(\NLW_blk00000003/blk00000ba9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba8 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000e00 ), + .Q(\blk00000003/sig00000a88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/sig00000e00 ), + .Q15(\NLW_blk00000003/blk00000ba7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba6 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dff ), + .Q(\blk00000003/sig00000a87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/sig00000dff ), + .Q15(\NLW_blk00000003/blk00000ba5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba4 ( + .C(clk), + .CE(\blk00000003/sig00000c6a ), + .D(\blk00000003/sig00000dfe ), + .Q(\blk00000003/sig00000a89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c6a ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/sig00000dfe ), + .Q15(\NLW_blk00000003/blk00000ba3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfd ), + .Q(\blk00000003/sig000002ad ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ba1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000a15 ), + .Q(\blk00000003/sig00000dfd ), + .Q15(\NLW_blk00000003/blk00000ba1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ba0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfc ), + .Q(\blk00000003/sig00000258 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d98 ), + .Q(\blk00000003/sig00000dfc ), + .Q15(\NLW_blk00000003/blk00000b9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfb ), + .Q(\blk00000003/sig00000257 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d96 ), + .Q(\blk00000003/sig00000dfb ), + .Q15(\NLW_blk00000003/blk00000b9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dfa ), + .Q(\blk00000003/sig00000259 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b9b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d9a ), + .Q(\blk00000003/sig00000dfa ), + .Q15(\NLW_blk00000003/blk00000b9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b9a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df9 ), + .Q(\blk00000003/sig00000255 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b99 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8e ), + .Q(\blk00000003/sig00000df9 ), + .Q15(\NLW_blk00000003/blk00000b99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b98 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df8 ), + .Q(\blk00000003/sig00000254 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b97 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d92 ), + .Q(\blk00000003/sig00000df8 ), + .Q15(\NLW_blk00000003/blk00000b97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b96 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df7 ), + .Q(\blk00000003/sig00000256 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b95 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d94 ), + .Q(\blk00000003/sig00000df7 ), + .Q15(\NLW_blk00000003/blk00000b95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b94 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df6 ), + .Q(\blk00000003/sig00000253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b93 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d90 ), + .Q(\blk00000003/sig00000df6 ), + .Q15(\NLW_blk00000003/blk00000b93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b92 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df5 ), + .Q(\blk00000003/sig00000252 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b91 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8c ), + .Q(\blk00000003/sig00000df5 ), + .Q15(\NLW_blk00000003/blk00000b91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b90 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df4 ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d8a ), + .Q(\blk00000003/sig00000df4 ), + .Q15(\NLW_blk00000003/blk00000b8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df3 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d88 ), + .Q(\blk00000003/sig00000df3 ), + .Q15(\NLW_blk00000003/blk00000b8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df2 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b8b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d80 ), + .Q(\blk00000003/sig00000df2 ), + .Q15(\NLW_blk00000003/blk00000b8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b8a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df1 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b89 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d84 ), + .Q(\blk00000003/sig00000df1 ), + .Q15(\NLW_blk00000003/blk00000b89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b88 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000df0 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b87 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d86 ), + .Q(\blk00000003/sig00000df0 ), + .Q15(\NLW_blk00000003/blk00000b87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b86 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000def ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b85 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d82 ), + .Q(\blk00000003/sig00000def ), + .Q15(\NLW_blk00000003/blk00000b85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b84 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dee ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b83 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7e ), + .Q(\blk00000003/sig00000dee ), + .Q15(\NLW_blk00000003/blk00000b83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b82 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ded ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b81 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7c ), + .Q(\blk00000003/sig00000ded ), + .Q15(\NLW_blk00000003/blk00000b81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b80 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dec ), + .Q(\blk00000003/sig00000219 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d7a ), + .Q(\blk00000003/sig00000dec ), + .Q15(\NLW_blk00000003/blk00000b7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000deb ), + .Q(\blk00000003/sig00000217 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d72 ), + .Q(\blk00000003/sig00000deb ), + .Q15(\NLW_blk00000003/blk00000b7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dea ), + .Q(\blk00000003/sig00000216 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b7b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d76 ), + .Q(\blk00000003/sig00000dea ), + .Q15(\NLW_blk00000003/blk00000b7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b7a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de9 ), + .Q(\blk00000003/sig00000218 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b79 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d78 ), + .Q(\blk00000003/sig00000de9 ), + .Q15(\NLW_blk00000003/blk00000b79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b78 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de8 ), + .Q(\blk00000003/sig00000215 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b77 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d74 ), + .Q(\blk00000003/sig00000de8 ), + .Q15(\NLW_blk00000003/blk00000b77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b76 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de7 ), + .Q(\blk00000003/sig00000214 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b75 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d70 ), + .Q(\blk00000003/sig00000de7 ), + .Q15(\NLW_blk00000003/blk00000b75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b74 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de6 ), + .Q(\blk00000003/sig00000213 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b73 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6e ), + .Q(\blk00000003/sig00000de6 ), + .Q15(\NLW_blk00000003/blk00000b73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b72 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de5 ), + .Q(\blk00000003/sig00000212 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b71 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6c ), + .Q(\blk00000003/sig00000de5 ), + .Q15(\NLW_blk00000003/blk00000b71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b70 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de4 ), + .Q(\blk00000003/sig000001d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d64 ), + .Q(\blk00000003/sig00000de4 ), + .Q15(\NLW_blk00000003/blk00000b6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de3 ), + .Q(\blk00000003/sig000001cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d68 ), + .Q(\blk00000003/sig00000de3 ), + .Q15(\NLW_blk00000003/blk00000b6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de2 ), + .Q(\blk00000003/sig000001d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b6b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d6a ), + .Q(\blk00000003/sig00000de2 ), + .Q15(\NLW_blk00000003/blk00000b6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b6a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de1 ), + .Q(\blk00000003/sig000001ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b69 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d66 ), + .Q(\blk00000003/sig00000de1 ), + .Q15(\NLW_blk00000003/blk00000b69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b68 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000de0 ), + .Q(\blk00000003/sig000001cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b67 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d62 ), + .Q(\blk00000003/sig00000de0 ), + .Q15(\NLW_blk00000003/blk00000b67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b66 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddf ), + .Q(\blk00000003/sig000001cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b65 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d60 ), + .Q(\blk00000003/sig00000ddf ), + .Q15(\NLW_blk00000003/blk00000b65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b64 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dde ), + .Q(\blk00000003/sig000001cb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b63 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5e ), + .Q(\blk00000003/sig00000dde ), + .Q15(\NLW_blk00000003/blk00000b63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b62 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddd ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b61 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d56 ), + .Q(\blk00000003/sig00000ddd ), + .Q15(\NLW_blk00000003/blk00000b61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b60 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddc ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5a ), + .Q(\blk00000003/sig00000ddc ), + .Q15(\NLW_blk00000003/blk00000b5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ddb ), + .Q(\blk00000003/sig000001ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d5c ), + .Q(\blk00000003/sig00000ddb ), + .Q15(\NLW_blk00000003/blk00000b5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dda ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b5b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d58 ), + .Q(\blk00000003/sig00000dda ), + .Q15(\NLW_blk00000003/blk00000b5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd9 ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b59 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d54 ), + .Q(\blk00000003/sig00000dd9 ), + .Q15(\NLW_blk00000003/blk00000b59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd8 ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b57 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d52 ), + .Q(\blk00000003/sig00000dd8 ), + .Q15(\NLW_blk00000003/blk00000b57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd7 ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b55 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d50 ), + .Q(\blk00000003/sig00000dd7 ), + .Q15(\NLW_blk00000003/blk00000b55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd6 ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b53 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d48 ), + .Q(\blk00000003/sig00000dd6 ), + .Q15(\NLW_blk00000003/blk00000b53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd5 ), + .Q(\blk00000003/sig0000017f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b51 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4c ), + .Q(\blk00000003/sig00000dd5 ), + .Q15(\NLW_blk00000003/blk00000b51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd4 ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4e ), + .Q(\blk00000003/sig00000dd4 ), + .Q15(\NLW_blk00000003/blk00000b4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd3 ), + .Q(\blk00000003/sig0000017e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d4a ), + .Q(\blk00000003/sig00000dd3 ), + .Q15(\NLW_blk00000003/blk00000b4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd2 ), + .Q(\blk00000003/sig0000017d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b4b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d46 ), + .Q(\blk00000003/sig00000dd2 ), + .Q15(\NLW_blk00000003/blk00000b4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd1 ), + .Q(\blk00000003/sig0000017c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b49 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d44 ), + .Q(\blk00000003/sig00000dd1 ), + .Q15(\NLW_blk00000003/blk00000b49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dd0 ), + .Q(\blk00000003/sig0000017b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b47 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d42 ), + .Q(\blk00000003/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk00000b47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcf ), + .Q(\blk00000003/sig00000179 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b45 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3c ), + .Q(\blk00000003/sig00000dcf ), + .Q15(\NLW_blk00000003/blk00000b45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dce ), + .Q(\blk00000003/sig00000178 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b43 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d3e ), + .Q(\blk00000003/sig00000dce ), + .Q15(\NLW_blk00000003/blk00000b43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000dcd ), + .Q(\blk00000003/sig0000017a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b41 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000d40 ), + .Q(\blk00000003/sig00000dcd ), + .Q15(\NLW_blk00000003/blk00000b41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b40 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcc ), + .Q(\blk00000003/sig00000b8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/sig00000dcc ), + .Q15(\NLW_blk00000003/blk00000b3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dcb ), + .Q(\blk00000003/sig00000b88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/sig00000dcb ), + .Q15(\NLW_blk00000003/blk00000b3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dca ), + .Q(\blk00000003/sig00000b87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/sig00000dca ), + .Q15(\NLW_blk00000003/blk00000b3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b3a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc9 ), + .Q(\blk00000003/sig00000b89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk00000b39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b38 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc8 ), + .Q(\blk00000003/sig00000b86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/sig00000dc8 ), + .Q15(\NLW_blk00000003/blk00000b37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b36 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc7 ), + .Q(\blk00000003/sig00000b85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/sig00000dc7 ), + .Q15(\NLW_blk00000003/blk00000b35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b34 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc6 ), + .Q(\blk00000003/sig00000b84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/sig00000dc6 ), + .Q15(\NLW_blk00000003/blk00000b33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b32 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc5 ), + .Q(\blk00000003/sig00000b83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/sig00000dc5 ), + .Q15(\NLW_blk00000003/blk00000b31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b30 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc4 ), + .Q(\blk00000003/sig00000b92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/sig00000dc4 ), + .Q15(\NLW_blk00000003/blk00000b2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2e ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc3 ), + .Q(\blk00000003/sig00000b91 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/sig00000dc3 ), + .Q15(\NLW_blk00000003/blk00000b2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2c ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc2 ), + .Q(\blk00000003/sig00000b90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/sig00000dc2 ), + .Q15(\NLW_blk00000003/blk00000b2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b2a ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc1 ), + .Q(\blk00000003/sig00000b8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/sig00000dc1 ), + .Q15(\NLW_blk00000003/blk00000b29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b28 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dc0 ), + .Q(\blk00000003/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/sig00000dc0 ), + .Q15(\NLW_blk00000003/blk00000b27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b26 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbf ), + .Q(\blk00000003/sig00000b8f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/sig00000dbf ), + .Q15(\NLW_blk00000003/blk00000b25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b24 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbe ), + .Q(\blk00000003/sig00000b8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/sig00000dbe ), + .Q15(\NLW_blk00000003/blk00000b23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b22 ( + .C(clk), + .CE(\blk00000003/sig00000c9a ), + .D(\blk00000003/sig00000dbd ), + .Q(\blk00000003/sig00000b8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c9a ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/sig00000dbd ), + .Q15(\NLW_blk00000003/blk00000b21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b20 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbc ), + .Q(\blk00000003/sig00000a66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/sig00000dbc ), + .Q15(\NLW_blk00000003/blk00000b1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dbb ), + .Q(\blk00000003/sig00000a65 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/sig00000dbb ), + .Q15(\NLW_blk00000003/blk00000b1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dba ), + .Q(\blk00000003/sig00000a64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/sig00000dba ), + .Q15(\NLW_blk00000003/blk00000b1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b1a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db9 ), + .Q(\blk00000003/sig00000a63 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/sig00000db9 ), + .Q15(\NLW_blk00000003/blk00000b19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b18 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db8 ), + .Q(\blk00000003/sig00000a61 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/sig00000db8 ), + .Q15(\NLW_blk00000003/blk00000b17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b16 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db7 ), + .Q(\blk00000003/sig00000a60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/sig00000db7 ), + .Q15(\NLW_blk00000003/blk00000b15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b14 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db6 ), + .Q(\blk00000003/sig00000a62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/sig00000db6 ), + .Q15(\NLW_blk00000003/blk00000b13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b12 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db5 ), + .Q(\blk00000003/sig00000a5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/sig00000db5 ), + .Q15(\NLW_blk00000003/blk00000b11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b10 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db4 ), + .Q(\blk00000003/sig00000a5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/sig00000db4 ), + .Q15(\NLW_blk00000003/blk00000b0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0e ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db3 ), + .Q(\blk00000003/sig00000a5d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/sig00000db3 ), + .Q15(\NLW_blk00000003/blk00000b0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0c ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db2 ), + .Q(\blk00000003/sig00000a5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/sig00000db2 ), + .Q15(\NLW_blk00000003/blk00000b0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b0a ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db1 ), + .Q(\blk00000003/sig00000a5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/sig00000db1 ), + .Q15(\NLW_blk00000003/blk00000b09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b08 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000db0 ), + .Q(\blk00000003/sig00000a59 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/sig00000db0 ), + .Q15(\NLW_blk00000003/blk00000b07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b06 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000daf ), + .Q(\blk00000003/sig00000a5b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/sig00000daf ), + .Q15(\NLW_blk00000003/blk00000b05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b04 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dae ), + .Q(\blk00000003/sig00000a58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/sig00000dae ), + .Q15(\NLW_blk00000003/blk00000b03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b02 ( + .C(clk), + .CE(\blk00000003/sig00000c99 ), + .D(\blk00000003/sig00000dad ), + .Q(\blk00000003/sig00000a57 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000b01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c99 ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/sig00000dad ), + .Q15(\NLW_blk00000003/blk00000b01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000b00 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dac ), + .Q(\blk00000003/sig00000a75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/sig00000dac ), + .Q15(\NLW_blk00000003/blk00000aff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afe ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000dab ), + .Q(\blk00000003/sig00000a74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/sig00000dab ), + .Q15(\NLW_blk00000003/blk00000afd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afc ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000daa ), + .Q(\blk00000003/sig00000a76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000afb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/sig00000daa ), + .Q15(\NLW_blk00000003/blk00000afb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000afa ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da9 ), + .Q(\blk00000003/sig00000a73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/sig00000da9 ), + .Q15(\NLW_blk00000003/blk00000af9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da8 ), + .Q(\blk00000003/sig00000a72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/sig00000da8 ), + .Q15(\NLW_blk00000003/blk00000af7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da7 ), + .Q(\blk00000003/sig00000a71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/sig00000da7 ), + .Q15(\NLW_blk00000003/blk00000af5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da6 ), + .Q(\blk00000003/sig00000a70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/sig00000da6 ), + .Q15(\NLW_blk00000003/blk00000af3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da5 ), + .Q(\blk00000003/sig00000a6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000af1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/sig00000da5 ), + .Q15(\NLW_blk00000003/blk00000af1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000af0 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da4 ), + .Q(\blk00000003/sig00000a6d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/sig00000da4 ), + .Q15(\NLW_blk00000003/blk00000aef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aee ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da3 ), + .Q(\blk00000003/sig00000a6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/sig00000da3 ), + .Q15(\NLW_blk00000003/blk00000aed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aec ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da2 ), + .Q(\blk00000003/sig00000a6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aeb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/sig00000da2 ), + .Q15(\NLW_blk00000003/blk00000aeb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aea ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da1 ), + .Q(\blk00000003/sig00000a6b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/sig00000da1 ), + .Q15(\NLW_blk00000003/blk00000ae9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae8 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000da0 ), + .Q(\blk00000003/sig00000a6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/sig00000da0 ), + .Q15(\NLW_blk00000003/blk00000ae7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae6 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9f ), + .Q(\blk00000003/sig00000a69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/sig00000d9f ), + .Q15(\NLW_blk00000003/blk00000ae5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae4 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9e ), + .Q(\blk00000003/sig00000a67 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/sig00000d9e ), + .Q15(\NLW_blk00000003/blk00000ae3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae2 ( + .C(clk), + .CE(\blk00000003/sig00000c69 ), + .D(\blk00000003/sig00000d9d ), + .Q(\blk00000003/sig00000a68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ae1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/sig00000d9d ), + .Q15(\NLW_blk00000003/blk00000ae1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ae0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9c ), + .Q(\blk00000003/sig00000a16 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002af ), + .Q(\blk00000003/sig00000d9c ), + .Q15(\NLW_blk00000003/blk00000adf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ade ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d9b ), + .Q(\blk00000003/sig00000c62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000add ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(NlwRenamedSig_OI_rfd), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029c ), + .Q(\blk00000003/sig00000d9b ), + .Q15(\NLW_blk00000003/blk00000add_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000adc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d99 ), + .Q(\blk00000003/sig00000d9a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000adb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000d99 ), + .Q15(\NLW_blk00000003/blk00000adb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ada ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d97 ), + .Q(\blk00000003/sig00000d98 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000d97 ), + .Q15(\NLW_blk00000003/blk00000ad9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d95 ), + .Q(\blk00000003/sig00000d96 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000d95 ), + .Q15(\NLW_blk00000003/blk00000ad7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d93 ), + .Q(\blk00000003/sig00000d94 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000d93 ), + .Q15(\NLW_blk00000003/blk00000ad5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d91 ), + .Q(\blk00000003/sig00000d92 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000d91 ), + .Q15(\NLW_blk00000003/blk00000ad3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8f ), + .Q(\blk00000003/sig00000d90 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ad1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000d8f ), + .Q15(\NLW_blk00000003/blk00000ad1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ad0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8d ), + .Q(\blk00000003/sig00000d8e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000d8d ), + .Q15(\NLW_blk00000003/blk00000acf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ace ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d8b ), + .Q(\blk00000003/sig00000d8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000d8b ), + .Q15(\NLW_blk00000003/blk00000acd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000acc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d89 ), + .Q(\blk00000003/sig00000d8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000acb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000d89 ), + .Q15(\NLW_blk00000003/blk00000acb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aca ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d87 ), + .Q(\blk00000003/sig00000d88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000d87 ), + .Q15(\NLW_blk00000003/blk00000ac9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d85 ), + .Q(\blk00000003/sig00000d86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000d85 ), + .Q15(\NLW_blk00000003/blk00000ac7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d83 ), + .Q(\blk00000003/sig00000d84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000d83 ), + .Q15(\NLW_blk00000003/blk00000ac5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d81 ), + .Q(\blk00000003/sig00000d82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000d81 ), + .Q15(\NLW_blk00000003/blk00000ac3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7f ), + .Q(\blk00000003/sig00000d80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ac1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000d7f ), + .Q15(\NLW_blk00000003/blk00000ac1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ac0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7d ), + .Q(\blk00000003/sig00000d7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000d7d ), + .Q15(\NLW_blk00000003/blk00000abf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abe ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d7b ), + .Q(\blk00000003/sig00000d7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abd ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000d7b ), + .Q15(\NLW_blk00000003/blk00000abd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000abc ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d79 ), + .Q(\blk00000003/sig00000d7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000abb ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000d79 ), + .Q15(\NLW_blk00000003/blk00000abb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aba ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d77 ), + .Q(\blk00000003/sig00000d78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000d77 ), + .Q15(\NLW_blk00000003/blk00000ab9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d75 ), + .Q(\blk00000003/sig00000d76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000d75 ), + .Q15(\NLW_blk00000003/blk00000ab7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d73 ), + .Q(\blk00000003/sig00000d74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000d73 ), + .Q15(\NLW_blk00000003/blk00000ab5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d71 ), + .Q(\blk00000003/sig00000d72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000d71 ), + .Q15(\NLW_blk00000003/blk00000ab3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6f ), + .Q(\blk00000003/sig00000d70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000ab1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000d6f ), + .Q15(\NLW_blk00000003/blk00000ab1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000ab0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6d ), + .Q(\blk00000003/sig00000d6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aaf ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000d6d ), + .Q15(\NLW_blk00000003/blk00000aaf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aae ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d6b ), + .Q(\blk00000003/sig00000d6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aad ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000d6b ), + .Q15(\NLW_blk00000003/blk00000aad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aac ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d69 ), + .Q(\blk00000003/sig00000d6a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aab ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000d69 ), + .Q15(\NLW_blk00000003/blk00000aab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aaa ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d67 ), + .Q(\blk00000003/sig00000d68 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa9 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000d67 ), + .Q15(\NLW_blk00000003/blk00000aa9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa8 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d65 ), + .Q(\blk00000003/sig00000d66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa7 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000d65 ), + .Q15(\NLW_blk00000003/blk00000aa7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa6 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d63 ), + .Q(\blk00000003/sig00000d64 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa5 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000d63 ), + .Q15(\NLW_blk00000003/blk00000aa5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa4 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d61 ), + .Q(\blk00000003/sig00000d62 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa3 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000d61 ), + .Q15(\NLW_blk00000003/blk00000aa3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa2 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5f ), + .Q(\blk00000003/sig00000d60 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000aa1 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000d5f ), + .Q15(\NLW_blk00000003/blk00000aa1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000aa0 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5d ), + .Q(\blk00000003/sig00000d5e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000d5d ), + .Q15(\NLW_blk00000003/blk00000a9f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d5b ), + .Q(\blk00000003/sig00000d5c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000d5b ), + .Q15(\NLW_blk00000003/blk00000a9d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d59 ), + .Q(\blk00000003/sig00000d5a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a9b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000d59 ), + .Q15(\NLW_blk00000003/blk00000a9b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a9a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d57 ), + .Q(\blk00000003/sig00000d58 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a99 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000d57 ), + .Q15(\NLW_blk00000003/blk00000a99_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a98 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d55 ), + .Q(\blk00000003/sig00000d56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a97 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000d55 ), + .Q15(\NLW_blk00000003/blk00000a97_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a96 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d53 ), + .Q(\blk00000003/sig00000d54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a95 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000d53 ), + .Q15(\NLW_blk00000003/blk00000a95_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a94 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d51 ), + .Q(\blk00000003/sig00000d52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a93 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000d51 ), + .Q15(\NLW_blk00000003/blk00000a93_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a92 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4f ), + .Q(\blk00000003/sig00000d50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a91 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000d4f ), + .Q15(\NLW_blk00000003/blk00000a91_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a90 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4d ), + .Q(\blk00000003/sig00000d4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000d4d ), + .Q15(\NLW_blk00000003/blk00000a8f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d4b ), + .Q(\blk00000003/sig00000d4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000d4b ), + .Q15(\NLW_blk00000003/blk00000a8d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8c ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d49 ), + .Q(\blk00000003/sig00000d4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a8b ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000d49 ), + .Q15(\NLW_blk00000003/blk00000a8b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a8a ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d47 ), + .Q(\blk00000003/sig00000d48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a89 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000d47 ), + .Q15(\NLW_blk00000003/blk00000a89_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a88 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d45 ), + .Q(\blk00000003/sig00000d46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a87 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000d45 ), + .Q15(\NLW_blk00000003/blk00000a87_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a86 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d43 ), + .Q(\blk00000003/sig00000d44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a85 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000d43 ), + .Q15(\NLW_blk00000003/blk00000a85_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a84 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d41 ), + .Q(\blk00000003/sig00000d42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a83 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000d41 ), + .Q15(\NLW_blk00000003/blk00000a83_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a82 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3f ), + .Q(\blk00000003/sig00000d40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a81 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000d3f ), + .Q15(\NLW_blk00000003/blk00000a81_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a80 ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3d ), + .Q(\blk00000003/sig00000d3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7f ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000d3d ), + .Q15(\NLW_blk00000003/blk00000a7f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7e ( + .C(clk), + .CE(\blk00000003/sig00000c6d ), + .D(\blk00000003/sig00000d3b ), + .Q(\blk00000003/sig00000d3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7d ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(NlwRenamedSig_OI_rfd), + .CE(\blk00000003/sig00000c6d ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000d3b ), + .Q15(\NLW_blk00000003/blk00000a7d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d3a ), + .Q(\blk00000003/sig00000b79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a7b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/sig00000d3a ), + .Q15(\NLW_blk00000003/blk00000a7b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a7a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d39 ), + .Q(\blk00000003/sig00000b78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a79 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/sig00000d39 ), + .Q15(\NLW_blk00000003/blk00000a79_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a78 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d38 ), + .Q(\blk00000003/sig00000b7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a77 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/sig00000d38 ), + .Q15(\NLW_blk00000003/blk00000a77_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a76 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d37 ), + .Q(\blk00000003/sig00000b77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a75 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/sig00000d37 ), + .Q15(\NLW_blk00000003/blk00000a75_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a74 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d36 ), + .Q(\blk00000003/sig00000b76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a73 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/sig00000d36 ), + .Q15(\NLW_blk00000003/blk00000a73_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a72 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d35 ), + .Q(\blk00000003/sig00000b75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a71 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/sig00000d35 ), + .Q15(\NLW_blk00000003/blk00000a71_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a70 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d34 ), + .Q(\blk00000003/sig00000b74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/sig00000d34 ), + .Q15(\NLW_blk00000003/blk00000a6f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d33 ), + .Q(\blk00000003/sig00000b73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/sig00000d33 ), + .Q15(\NLW_blk00000003/blk00000a6d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6c ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d32 ), + .Q(\blk00000003/sig00000b82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a6b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/sig00000d32 ), + .Q15(\NLW_blk00000003/blk00000a6b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a6a ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d31 ), + .Q(\blk00000003/sig00000b81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a69 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/sig00000d31 ), + .Q15(\NLW_blk00000003/blk00000a69_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a68 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d30 ), + .Q(\blk00000003/sig00000b7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a67 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/sig00000d30 ), + .Q15(\NLW_blk00000003/blk00000a67_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a66 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2f ), + .Q(\blk00000003/sig00000b7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a65 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/sig00000d2f ), + .Q15(\NLW_blk00000003/blk00000a65_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a64 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2e ), + .Q(\blk00000003/sig00000b80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a63 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/sig00000d2e ), + .Q15(\NLW_blk00000003/blk00000a63_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a62 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2d ), + .Q(\blk00000003/sig00000b7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a61 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/sig00000d2d ), + .Q15(\NLW_blk00000003/blk00000a61_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a60 ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2c ), + .Q(\blk00000003/sig00000b7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/sig00000d2c ), + .Q15(\NLW_blk00000003/blk00000a5f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5e ( + .C(clk), + .CE(\blk00000003/sig00000ca2 ), + .D(\blk00000003/sig00000d2b ), + .Q(\blk00000003/sig00000b7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca2 ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/sig00000d2b ), + .Q15(\NLW_blk00000003/blk00000a5d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d2a ), + .Q(\blk00000003/sig00000c6e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a5b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000299 ), + .Q(\blk00000003/sig00000d2a ), + .Q15(\NLW_blk00000003/blk00000a5b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a5a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d29 ), + .Q(\blk00000003/sig00000c6f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a59 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000297 ), + .Q(\blk00000003/sig00000d29 ), + .Q15(\NLW_blk00000003/blk00000a59_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a58 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d28 ), + .Q(\blk00000003/sig00000c71 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a57 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000296 ), + .Q(\blk00000003/sig00000d28 ), + .Q15(\NLW_blk00000003/blk00000a57_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a56 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d27 ), + .Q(\blk00000003/sig00000c70 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a55 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000298 ), + .Q(\blk00000003/sig00000d27 ), + .Q15(\NLW_blk00000003/blk00000a55_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a54 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d26 ), + .Q(\blk00000003/sig00000c73 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a53 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000295 ), + .Q(\blk00000003/sig00000d26 ), + .Q15(\NLW_blk00000003/blk00000a53_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a52 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d25 ), + .Q(\blk00000003/sig00000c72 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a51 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig00000d25 ), + .Q15(\NLW_blk00000003/blk00000a51_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a50 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d24 ), + .Q(\blk00000003/sig00000c74 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000293 ), + .Q(\blk00000003/sig00000d24 ), + .Q15(\NLW_blk00000003/blk00000a4f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d23 ), + .Q(\blk00000003/sig00000c76 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000292 ), + .Q(\blk00000003/sig00000d23 ), + .Q15(\NLW_blk00000003/blk00000a4d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d22 ), + .Q(\blk00000003/sig00000c77 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a4b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000d22 ), + .Q15(\NLW_blk00000003/blk00000a4b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a4a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d21 ), + .Q(\blk00000003/sig00000c79 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a49 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000d21 ), + .Q15(\NLW_blk00000003/blk00000a49_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a48 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d20 ), + .Q(\blk00000003/sig00000c75 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a47 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000d20 ), + .Q15(\NLW_blk00000003/blk00000a47_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a46 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1f ), + .Q(\blk00000003/sig00000c78 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a45 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000d1f ), + .Q15(\NLW_blk00000003/blk00000a45_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a44 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1e ), + .Q(\blk00000003/sig00000c7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a43 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000d1e ), + .Q15(\NLW_blk00000003/blk00000a43_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a42 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1d ), + .Q(\blk00000003/sig00000c7c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a41 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000d1d ), + .Q15(\NLW_blk00000003/blk00000a41_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a40 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1c ), + .Q(\blk00000003/sig00000c7b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000d1c ), + .Q15(\NLW_blk00000003/blk00000a3f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1b ), + .Q(\blk00000003/sig00000c7e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000211 ), + .Q(\blk00000003/sig00000d1b ), + .Q15(\NLW_blk00000003/blk00000a3d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d1a ), + .Q(\blk00000003/sig00000c7f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a3b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000210 ), + .Q(\blk00000003/sig00000d1a ), + .Q15(\NLW_blk00000003/blk00000a3b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a3a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d19 ), + .Q(\blk00000003/sig00000c7d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a39 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000d19 ), + .Q15(\NLW_blk00000003/blk00000a39_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a38 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d18 ), + .Q(\blk00000003/sig00000c80 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a37 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020f ), + .Q(\blk00000003/sig00000d18 ), + .Q15(\NLW_blk00000003/blk00000a37_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a36 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d17 ), + .Q(\blk00000003/sig00000c82 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a35 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020e ), + .Q(\blk00000003/sig00000d17 ), + .Q15(\NLW_blk00000003/blk00000a35_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a34 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d16 ), + .Q(\blk00000003/sig00000c81 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a33 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020d ), + .Q(\blk00000003/sig00000d16 ), + .Q15(\NLW_blk00000003/blk00000a33_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a32 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d15 ), + .Q(\blk00000003/sig00000c83 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a31 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020c ), + .Q(\blk00000003/sig00000d15 ), + .Q15(\NLW_blk00000003/blk00000a31_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a30 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d14 ), + .Q(\blk00000003/sig00000c84 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020a ), + .Q(\blk00000003/sig00000d14 ), + .Q15(\NLW_blk00000003/blk00000a2f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d13 ), + .Q(\blk00000003/sig00000c86 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig00000d13 ), + .Q15(\NLW_blk00000003/blk00000a2d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d12 ), + .Q(\blk00000003/sig00000c85 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a2b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000020b ), + .Q(\blk00000003/sig00000d12 ), + .Q15(\NLW_blk00000003/blk00000a2b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a2a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d11 ), + .Q(\blk00000003/sig00000c88 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a29 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig00000d11 ), + .Q15(\NLW_blk00000003/blk00000a29_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a28 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d10 ), + .Q(\blk00000003/sig00000c87 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a27 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig00000d10 ), + .Q15(\NLW_blk00000003/blk00000a27_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a26 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0f ), + .Q(\blk00000003/sig00000c89 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a25 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig00000d0f ), + .Q15(\NLW_blk00000003/blk00000a25_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a24 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0e ), + .Q(\blk00000003/sig00000c8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a23 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig00000d0e ), + .Q15(\NLW_blk00000003/blk00000a23_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a22 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0d ), + .Q(\blk00000003/sig00000c8c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a21 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig00000d0d ), + .Q15(\NLW_blk00000003/blk00000a21_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a20 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0c ), + .Q(\blk00000003/sig00000c8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig00000d0c ), + .Q15(\NLW_blk00000003/blk00000a1f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000d0b ), + .Q(\blk00000003/sig00000c8a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig00000d0b ), + .Q15(\NLW_blk00000003/blk00000a1d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d0a ), + .Q(\blk00000003/sig00000a46 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a1b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/sig00000d0a ), + .Q15(\NLW_blk00000003/blk00000a1b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a1a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d09 ), + .Q(\blk00000003/sig00000a45 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a19 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/sig00000d09 ), + .Q15(\NLW_blk00000003/blk00000a19_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a18 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d08 ), + .Q(\blk00000003/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a17 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/sig00000d08 ), + .Q15(\NLW_blk00000003/blk00000a17_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a16 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d07 ), + .Q(\blk00000003/sig00000a42 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a15 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/sig00000d07 ), + .Q15(\NLW_blk00000003/blk00000a15_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a14 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d06 ), + .Q(\blk00000003/sig00000a44 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a13 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/sig00000d06 ), + .Q15(\NLW_blk00000003/blk00000a13_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a12 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d05 ), + .Q(\blk00000003/sig00000a41 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a11 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/sig00000d05 ), + .Q15(\NLW_blk00000003/blk00000a11_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a10 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d04 ), + .Q(\blk00000003/sig00000a40 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/sig00000d04 ), + .Q15(\NLW_blk00000003/blk00000a0f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0e ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d03 ), + .Q(\blk00000003/sig00000a3f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/sig00000d03 ), + .Q15(\NLW_blk00000003/blk00000a0d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0c ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d02 ), + .Q(\blk00000003/sig00000a3e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a0b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/sig00000d02 ), + .Q15(\NLW_blk00000003/blk00000a0b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a0a ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d01 ), + .Q(\blk00000003/sig00000a3c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a09 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/sig00000d01 ), + .Q15(\NLW_blk00000003/blk00000a09_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a08 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000d00 ), + .Q(\blk00000003/sig00000a3b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a07 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/sig00000d00 ), + .Q15(\NLW_blk00000003/blk00000a07_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a06 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cff ), + .Q(\blk00000003/sig00000a3d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a05 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/sig00000cff ), + .Q15(\NLW_blk00000003/blk00000a05_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a04 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfe ), + .Q(\blk00000003/sig00000a3a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a03 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/sig00000cfe ), + .Q15(\NLW_blk00000003/blk00000a03_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a02 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfd ), + .Q(\blk00000003/sig00000a39 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000a01 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/sig00000cfd ), + .Q15(\NLW_blk00000003/blk00000a01_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000a00 ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfc ), + .Q(\blk00000003/sig00000a38 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/sig00000cfc ), + .Q15(\NLW_blk00000003/blk000009ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fe ( + .C(clk), + .CE(\blk00000003/sig00000ca1 ), + .D(\blk00000003/sig00000cfb ), + .Q(\blk00000003/sig00000a37 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000ca1 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/sig00000cfb ), + .Q15(\NLW_blk00000003/blk000009fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fc ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cfa ), + .Q(\blk00000003/sig00000a56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/sig00000cfa ), + .Q15(\NLW_blk00000003/blk000009fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009fa ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf9 ), + .Q(\blk00000003/sig00000a55 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/sig00000cf9 ), + .Q15(\NLW_blk00000003/blk000009f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf8 ), + .Q(\blk00000003/sig00000a54 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/sig00000cf8 ), + .Q15(\NLW_blk00000003/blk000009f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf7 ), + .Q(\blk00000003/sig00000a53 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/sig00000cf7 ), + .Q15(\NLW_blk00000003/blk000009f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf6 ), + .Q(\blk00000003/sig00000a52 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/sig00000cf6 ), + .Q15(\NLW_blk00000003/blk000009f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf5 ), + .Q(\blk00000003/sig00000a50 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/sig00000cf5 ), + .Q15(\NLW_blk00000003/blk000009f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009f0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf4 ), + .Q(\blk00000003/sig00000a4f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/sig00000cf4 ), + .Q15(\NLW_blk00000003/blk000009ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ee ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf3 ), + .Q(\blk00000003/sig00000a51 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/sig00000cf3 ), + .Q15(\NLW_blk00000003/blk000009ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ec ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf2 ), + .Q(\blk00000003/sig00000a4e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/sig00000cf2 ), + .Q15(\NLW_blk00000003/blk000009eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ea ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf1 ), + .Q(\blk00000003/sig00000a4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/sig00000cf1 ), + .Q15(\NLW_blk00000003/blk000009e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e8 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cf0 ), + .Q(\blk00000003/sig00000a4c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/sig00000cf0 ), + .Q15(\NLW_blk00000003/blk000009e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e6 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cef ), + .Q(\blk00000003/sig00000a4b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/sig00000cef ), + .Q15(\NLW_blk00000003/blk000009e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e4 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cee ), + .Q(\blk00000003/sig00000a49 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/sig00000cee ), + .Q15(\NLW_blk00000003/blk000009e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e2 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ced ), + .Q(\blk00000003/sig00000a48 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/sig00000ced ), + .Q15(\NLW_blk00000003/blk000009e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009e0 ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000cec ), + .Q(\blk00000003/sig00000a4a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/sig00000cec ), + .Q15(\NLW_blk00000003/blk000009df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009de ( + .C(clk), + .CE(\blk00000003/sig00000c68 ), + .D(\blk00000003/sig00000ceb ), + .Q(\blk00000003/sig00000a47 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(\blk00000003/sig00000c68 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/sig00000ceb ), + .Q15(\NLW_blk00000003/blk000009dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cea ), + .Q(\blk00000003/sig00000ac6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009db ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ea ), + .Q(\blk00000003/sig00000cea ), + .Q15(\NLW_blk00000003/blk000009db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce9 ), + .Q(\blk00000003/sig00000ac5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e9 ), + .Q(\blk00000003/sig00000ce9 ), + .Q15(\NLW_blk00000003/blk000009d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce8 ), + .Q(\blk00000003/sig00000ac4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000ce8 ), + .Q15(\NLW_blk00000003/blk000009d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce7 ), + .Q(\blk00000003/sig00000ac2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000ce7 ), + .Q15(\NLW_blk00000003/blk000009d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce6 ), + .Q(\blk00000003/sig00000ac1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000ce6 ), + .Q15(\NLW_blk00000003/blk000009d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce5 ), + .Q(\blk00000003/sig00000ac3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009d1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000ce5 ), + .Q15(\NLW_blk00000003/blk000009d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce4 ), + .Q(\blk00000003/sig00000ac0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000ce4 ), + .Q15(\NLW_blk00000003/blk000009cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce3 ), + .Q(\blk00000003/sig00000abf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000ce3 ), + .Q15(\NLW_blk00000003/blk000009cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce2 ), + .Q(\blk00000003/sig00000abe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009cb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000ce2 ), + .Q15(\NLW_blk00000003/blk000009cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce1 ), + .Q(\blk00000003/sig00000abd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig00000ce1 ), + .Q15(\NLW_blk00000003/blk000009c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ce0 ), + .Q(\blk00000003/sig00000abb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig00000ce0 ), + .Q15(\NLW_blk00000003/blk000009c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdf ), + .Q(\blk00000003/sig00000aba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig00000cdf ), + .Q15(\NLW_blk00000003/blk000009c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cde ), + .Q(\blk00000003/sig00000abc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig00000cde ), + .Q15(\NLW_blk00000003/blk000009c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdd ), + .Q(\blk00000003/sig00000ab9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009c1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000cdd ), + .Q15(\NLW_blk00000003/blk000009c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdc ), + .Q(\blk00000003/sig00000ab8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bf ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig00000cdc ), + .Q15(\NLW_blk00000003/blk000009bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cdb ), + .Q(\blk00000003/sig00000ab7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bd ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig00000cdb ), + .Q15(\NLW_blk00000003/blk000009bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cda ), + .Q(\blk00000003/sig00000bba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009bb ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000cda ), + .Q15(\NLW_blk00000003/blk000009bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd9 ), + .Q(\blk00000003/sig00000bb8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000cd9 ), + .Q15(\NLW_blk00000003/blk000009b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd8 ), + .Q(\blk00000003/sig00000bb7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000cd8 ), + .Q15(\NLW_blk00000003/blk000009b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd7 ), + .Q(\blk00000003/sig00000bb9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000cd7 ), + .Q15(\NLW_blk00000003/blk000009b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd6 ), + .Q(\blk00000003/sig00000bb6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000cd6 ), + .Q15(\NLW_blk00000003/blk000009b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd5 ), + .Q(\blk00000003/sig00000bb5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009b1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000cd5 ), + .Q15(\NLW_blk00000003/blk000009b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd4 ), + .Q(\blk00000003/sig00000bb4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009af ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000cd4 ), + .Q15(\NLW_blk00000003/blk000009af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd3 ), + .Q(\blk00000003/sig00000bb3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ad ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig00000cd3 ), + .Q15(\NLW_blk00000003/blk000009ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd2 ), + .Q(\blk00000003/sig00000ae5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009ab ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000cd2 ), + .Q15(\NLW_blk00000003/blk000009ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd1 ), + .Q(\blk00000003/sig00000ae4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a9 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig00000cd1 ), + .Q15(\NLW_blk00000003/blk000009a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cd0 ), + .Q(\blk00000003/sig00000ae6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a7 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000cd0 ), + .Q15(\NLW_blk00000003/blk000009a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccf ), + .Q(\blk00000003/sig00000ae3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a5 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig00000ccf ), + .Q15(\NLW_blk00000003/blk000009a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cce ), + .Q(\blk00000003/sig00000ae2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a3 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig00000cce ), + .Q15(\NLW_blk00000003/blk000009a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccd ), + .Q(\blk00000003/sig00000ae1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000009a1 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000ccd ), + .Q15(\NLW_blk00000003/blk000009a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000009a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccc ), + .Q(\blk00000003/sig00000ae0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig00000ccc ), + .Q15(\NLW_blk00000003/blk0000099f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ccb ), + .Q(\blk00000003/sig00000ade ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000ccb ), + .Q15(\NLW_blk00000003/blk0000099d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cca ), + .Q(\blk00000003/sig00000add ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000099b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000cca ), + .Q15(\NLW_blk00000003/blk0000099b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000099a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc9 ), + .Q(\blk00000003/sig00000adf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000999 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig00000cc9 ), + .Q15(\NLW_blk00000003/blk00000999_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000998 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc8 ), + .Q(\blk00000003/sig00000adc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000997 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000cc8 ), + .Q15(\NLW_blk00000003/blk00000997_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000996 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc7 ), + .Q(\blk00000003/sig00000adb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000995 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000cc7 ), + .Q15(\NLW_blk00000003/blk00000995_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000994 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc6 ), + .Q(\blk00000003/sig00000ada ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000993 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000cc6 ), + .Q15(\NLW_blk00000003/blk00000993_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000992 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc5 ), + .Q(\blk00000003/sig00000ad9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000991 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000cc5 ), + .Q15(\NLW_blk00000003/blk00000991_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000990 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc4 ), + .Q(\blk00000003/sig00000ad7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig00000cc4 ), + .Q15(\NLW_blk00000003/blk0000098f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc3 ), + .Q(\blk00000003/sig00000bca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000cc3 ), + .Q15(\NLW_blk00000003/blk0000098d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc2 ), + .Q(\blk00000003/sig00000ad8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000098b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000cc2 ), + .Q15(\NLW_blk00000003/blk0000098b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000098a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc1 ), + .Q(\blk00000003/sig00000bc9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000989 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000cc1 ), + .Q15(\NLW_blk00000003/blk00000989_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000988 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cc0 ), + .Q(\blk00000003/sig00000bc8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000987 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000cc0 ), + .Q15(\NLW_blk00000003/blk00000987_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000986 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbf ), + .Q(\blk00000003/sig00000bc7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000985 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000cbf ), + .Q15(\NLW_blk00000003/blk00000985_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000984 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbe ), + .Q(\blk00000003/sig00000bc6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000983 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000cbe ), + .Q15(\NLW_blk00000003/blk00000983_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000982 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbd ), + .Q(\blk00000003/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000981 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig00000cbd ), + .Q15(\NLW_blk00000003/blk00000981_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000980 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbc ), + .Q(\blk00000003/sig00000bc3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097f ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000cbc ), + .Q15(\NLW_blk00000003/blk0000097f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cbb ), + .Q(\blk00000003/sig00000bc5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000cbb ), + .Q15(\NLW_blk00000003/blk0000097d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cba ), + .Q(\blk00000003/sig00000378 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000097b ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a6 ), + .Q(\blk00000003/sig00000cba ), + .Q15(\NLW_blk00000003/blk0000097b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000097a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb9 ), + .Q(\blk00000003/sig00000379 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000979 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000373 ), + .Q(\blk00000003/sig00000cb9 ), + .Q15(\NLW_blk00000003/blk00000979_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000978 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb8 ), + .Q(\blk00000003/sig00000c66 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000977 ( + .A0(\blk00000003/sig00000049 ), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a2 ), + .Q(\blk00000003/sig00000cb8 ), + .Q15(\NLW_blk00000003/blk00000977_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000976 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb7 ), + .Q(\blk00000003/sig00000b08 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000975 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000cb7 ), + .Q15(\NLW_blk00000003/blk00000975_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000974 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb6 ), + .Q(\blk00000003/sig00000b06 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000973 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig00000cb6 ), + .Q15(\NLW_blk00000003/blk00000973_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000972 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb5 ), + .Q(\blk00000003/sig00000b05 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000971 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000cb5 ), + .Q15(\NLW_blk00000003/blk00000971_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000970 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb4 ), + .Q(\blk00000003/sig00000b07 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000cb4 ), + .Q15(\NLW_blk00000003/blk0000096f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb3 ), + .Q(\blk00000003/sig00000b04 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000cb3 ), + .Q15(\NLW_blk00000003/blk0000096d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb2 ), + .Q(\blk00000003/sig00000b03 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000096b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig00000cb2 ), + .Q15(\NLW_blk00000003/blk0000096b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000096a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb1 ), + .Q(\blk00000003/sig00000b02 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000969 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig00000cb1 ), + .Q15(\NLW_blk00000003/blk00000969_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000968 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cb0 ), + .Q(\blk00000003/sig00000b01 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000967 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig00000cb0 ), + .Q15(\NLW_blk00000003/blk00000967_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000966 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caf ), + .Q(\blk00000003/sig00000aff ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000965 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000caf ), + .Q15(\NLW_blk00000003/blk00000965_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000964 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cae ), + .Q(\blk00000003/sig00000afe ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000963 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig00000cae ), + .Q15(\NLW_blk00000003/blk00000963_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000962 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cad ), + .Q(\blk00000003/sig00000b00 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000961 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig00000cad ), + .Q15(\NLW_blk00000003/blk00000961_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000960 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cac ), + .Q(\blk00000003/sig00000afd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000cac ), + .Q15(\NLW_blk00000003/blk0000095f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000cab ), + .Q(\blk00000003/sig00000afc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000cab ), + .Q15(\NLW_blk00000003/blk0000095d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000caa ), + .Q(\blk00000003/sig00000afb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000095b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000caa ), + .Q15(\NLW_blk00000003/blk0000095b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000095a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca9 ), + .Q(\blk00000003/sig00000afa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000959 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000ca9 ), + .Q15(\NLW_blk00000003/blk00000959_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000958 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca8 ), + .Q(\blk00000003/sig00000af8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000957 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000ca8 ), + .Q15(\NLW_blk00000003/blk00000957_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000956 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca7 ), + .Q(\blk00000003/sig00000af7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000955 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000ca7 ), + .Q15(\NLW_blk00000003/blk00000955_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000954 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca6 ), + .Q(\blk00000003/sig00000af9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000953 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000ca6 ), + .Q15(\NLW_blk00000003/blk00000953_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000952 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca5 ), + .Q(\blk00000003/sig000002af ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000951 ( + .A0(NlwRenamedSig_OI_rfd), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000ca5 ), + .Q15(\NLW_blk00000003/blk00000951_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000950 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca4 ), + .Q(\blk00000003/sig00000a15 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(NlwRenamedSig_OI_rfd), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a8 ), + .Q(\blk00000003/sig00000ca4 ), + .Q15(\NLW_blk00000003/blk0000094f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000094e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000ca3 ), + .Q(\blk00000003/sig00000bd5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000094d ( + .A0(NlwRenamedSig_OI_rfd), + .A1(NlwRenamedSig_OI_rfd), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a0 ), + .Q(\blk00000003/sig00000ca3 ), + .Q15(\NLW_blk00000003/blk0000094d_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk0000094c ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000034a ) + ); + INV \blk00000003/blk0000094b ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000306 ) + ); + INV \blk00000003/blk0000094a ( + .I(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000343 ) + ); + INV \blk00000003/blk00000949 ( + .I(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000349 ) + ); + INV \blk00000003/blk00000948 ( + .I(\blk00000003/sig000002a0 ), + .O(\blk00000003/sig00000358 ) + ); + INV \blk00000003/blk00000947 ( + .I(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c60 ) + ); + INV \blk00000003/blk00000946 ( + .I(\blk00000003/sig0000031c ), + .O(\blk00000003/sig0000035b ) + ); + INV \blk00000003/blk00000945 ( + .I(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000344 ) + ); + INV \blk00000003/blk00000944 ( + .I(\blk00000003/sig000002ee ), + .O(\blk00000003/sig0000031d ) + ); + INV \blk00000003/blk00000943 ( + .I(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig00000304 ) + ); + INV \blk00000003/blk00000942 ( + .I(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016e ) + ); + INV \blk00000003/blk00000941 ( + .I(\blk00000003/sig00000161 ), + .O(\blk00000003/sig0000029d ) + ); + INV \blk00000003/blk00000940 ( + .I(\blk00000003/sig0000016b ), + .O(\blk00000003/sig0000016c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093f ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093e ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000bd4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093c ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000ca1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093b ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000ca0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000093a ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c9f ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000939 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000938 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c9d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000937 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000936 ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c9b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000935 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c9a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000934 ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c99 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000933 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000325 ), + .I2(coef_ld), + .O(\blk00000003/sig00000321 ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000932 ( + .I0(\blk00000003/sig00000322 ), + .I1(\blk00000003/sig00000316 ), + .I2(\blk00000003/sig00000325 ), + .I3(coef_ld), + .I4(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000319 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000931 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig0000030e ), + .O(\blk00000003/sig00000320 ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000930 ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(\blk00000003/sig00000325 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092f ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000c90 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092e ( + .I0(\blk00000003/sig00000353 ), + .I1(ce), + .I2(\blk00000003/sig00000316 ), + .I3(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000c98 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092d ( + .I0(\blk00000003/sig0000035a ), + .I1(ce), + .I2(\blk00000003/sig00000314 ), + .I3(\blk00000003/sig0000034b ), + .O(\blk00000003/sig00000c97 ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk0000092c ( + .I0(\blk00000003/sig00000c64 ), + .I1(ce), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000c92 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk0000092b ( + .I0(ce), + .I1(\blk00000003/sig00000325 ), + .I2(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000c8f ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk0000092a ( + .I0(\blk00000003/sig000002b3 ), + .I1(\blk00000003/sig000002a8 ), + .I2(\blk00000003/sig00000c94 ), + .I3(ce), + .O(\blk00000003/sig00000c96 ) + ); + LUT5 #( + .INIT ( 32'h7FFF8000 )) + \blk00000003/blk00000929 ( + .I0(nd), + .I1(ce), + .I2(\blk00000003/sig00000159 ), + .I3(\blk00000003/sig00000c63 ), + .I4(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000c95 ) + ); + LUT3 #( + .INIT ( 8'h6C )) + \blk00000003/blk00000928 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig00000c94 ), + .I2(ce), + .O(\blk00000003/sig00000c93 ) + ); + LUT4 #( + .INIT ( 16'h6AAA )) + \blk00000003/blk00000927 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig00000159 ), + .I2(ce), + .I3(nd), + .O(\blk00000003/sig00000c91 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000926 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032a ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk00000925 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig000002f8 ), + .I2(coef_we), + .I3(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032d ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000924 ( + .C(clk), + .D(\blk00000003/sig00000c98 ), + .Q(\blk00000003/sig00000353 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk00000923 ( + .C(clk), + .D(\blk00000003/sig00000c97 ), + .Q(\blk00000003/sig0000035a ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000922 ( + .C(clk), + .D(\blk00000003/sig00000c96 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000921 ( + .C(clk), + .D(\blk00000003/sig00000c95 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk00000920 ( + .C(clk), + .D(\blk00000003/sig00000c93 ), + .R(sclr), + .Q(\blk00000003/sig00000c94 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091f ( + .C(clk), + .D(\blk00000003/sig00000c92 ), + .R(sclr), + .Q(\blk00000003/sig00000c64 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000091e ( + .C(clk), + .D(\blk00000003/sig00000c91 ), + .R(sclr), + .Q(\blk00000003/sig00000c63 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091d ( + .I0(\blk00000003/sig00000beb ), + .O(\blk00000003/sig00000be5 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091c ( + .I0(\blk00000003/sig00000bea ), + .O(\blk00000003/sig00000be2 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091b ( + .I0(\blk00000003/sig00000be9 ), + .O(\blk00000003/sig00000bdf ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000091a ( + .I0(\blk00000003/sig00000be8 ), + .O(\blk00000003/sig00000bdc ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000919 ( + .I0(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd9 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000918 ( + .I0(\blk00000003/sig00000375 ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000917 ( + .I0(\blk00000003/sig00000369 ), + .O(\blk00000003/sig00000363 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000916 ( + .I0(\blk00000003/sig00000334 ), + .O(\blk00000003/sig00000335 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000915 ( + .I0(\blk00000003/sig0000029e ), + .O(\blk00000003/sig0000016f ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000914 ( + .I0(\blk00000003/sig00000c63 ), + .O(\blk00000003/sig00000160 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000913 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c5a ), + .R(sclr), + .Q(\blk00000003/sig00000c5f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000912 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c57 ), + .R(sclr), + .Q(\blk00000003/sig00000c5e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000911 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c54 ), + .R(sclr), + .Q(\blk00000003/sig00000c5d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000910 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c51 ), + .R(sclr), + .Q(\blk00000003/sig00000c5c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4e ), + .R(sclr), + .Q(\blk00000003/sig00000c5b ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bd7 ), + .S(sclr), + .Q(\blk00000003/sig00000bec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be6 ), + .R(sclr), + .Q(\blk00000003/sig00000beb ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be3 ), + .S(sclr), + .Q(\blk00000003/sig00000bea ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000090b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000be0 ), + .S(sclr), + .Q(\blk00000003/sig00000be9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000090a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bdd ), + .R(sclr), + .Q(\blk00000003/sig00000be8 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000909 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bda ), + .S(sclr), + .Q(\blk00000003/sig00000be7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000908 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .R(\blk00000003/sig0000037a ), + .Q(\blk00000003/sig00000375 ) + ); + FDR \blk00000003/blk00000907 ( + .C(clk), + .D(\blk00000003/sig00000c90 ), + .R(ce), + .Q(\blk00000003/sig00000c67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000906 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036f ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000905 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036c ), + .S(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000036a ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000904 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000364 ), + .S(sclr), + .Q(\blk00000003/sig00000369 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000903 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000367 ), + .R(sclr), + .Q(\blk00000003/sig00000368 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000902 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000035e ), + .R(sclr), + .Q(\blk00000003/sig000002ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000901 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000361 ), + .R(sclr), + .Q(\blk00000003/sig000002b9 ) + ); + FDR \blk00000003/blk00000900 ( + .C(clk), + .D(\blk00000003/sig00000c8f ), + .R(ce), + .Q(\blk00000003/sig00000c65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000336 ), + .R(\blk00000003/sig00000339 ), + .Q(\blk00000003/sig00000334 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000328 ), + .R(coef_ld), + .Q(\blk00000003/sig00000332 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032e ), + .R(coef_ld), + .Q(\blk00000003/sig00000331 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032b ), + .R(coef_ld), + .Q(\blk00000003/sig00000330 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f4 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk000008fa ( + .I0(\blk00000003/sig0000016b ), + .I1(sclr), + .O(\blk00000003/sig00000c8e ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk000008f9 ( + .C(clk), + .D(\blk00000003/sig00000c8e ), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000008f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000163 ), + .R(sclr), + .Q(\blk00000003/sig00000161 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f7 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4d ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f6 ( + .I0(\blk00000003/sig00000c5c ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c50 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f5 ( + .I0(\blk00000003/sig00000c5d ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c53 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000008f4 ( + .I0(\blk00000003/sig00000c5e ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c56 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk000008f3 ( + .I0(\blk00000003/sig00000c5f ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000c59 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk000008f2 ( + .I0(\blk00000003/sig000002ad ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000c4b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig00000c49 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008f0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000dd ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig00000c4a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ef ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig00000c48 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ee ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig00000c46 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ed ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig00000c47 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ec ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig00000c45 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008eb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig00000c43 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ea ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig00000c44 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig00000c42 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig00000c40 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig00000c41 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig00000c3f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig00000c3d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig00000c3e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig00000c3c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig00000c3a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig00000c3b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008e0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig00000c39 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008df ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig00000c37 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008de ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig00000c38 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig00000c36 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008dc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig00000c34 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008db ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig00000c35 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008da ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig00000c33 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig00000c31 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig00000c32 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig00000c30 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig00000c2e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig00000c2f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig00000c2d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8d ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig00000c2b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig00000c2c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8c ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig00000c2a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008d0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8b ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig00000c28 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c8a ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig00000c29 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ce ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c89 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig00000c27 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c88 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig00000c25 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c87 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig00000c26 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008cb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c86 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig00000c24 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ca ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c85 ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig00000c22 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c84 ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig00000c23 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c83 ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig00000c21 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c82 ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig00000c1f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c81 ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig00000c20 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c80 ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig00000c1e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7f ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig00000c1d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000133 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig00000c1b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7e ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig00000c1c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig00000c1a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008c0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig00000c18 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bf ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig00000c19 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008be ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig00000c17 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bd ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig00000c15 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bc ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig00000c16 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008bb ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig00000c14 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ba ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig00000c12 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig00000c13 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig00000c11 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig00000c0f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig00000c10 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig00000c0e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig00000c0c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig00000c0d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig00000c0b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig00000c09 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008b0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig00000c0a ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008af ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig00000c08 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ae ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig00000c06 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ad ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig00000c07 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ac ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig00000c05 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008ab ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig00000c03 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008aa ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig00000c04 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a9 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig00000c02 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a8 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig00000c00 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a7 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig00000c01 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a6 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig00000bff ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a5 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig00000bfd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a4 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig00000bfe ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a3 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7d ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig00000bfc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a2 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7c ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig00000bfa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a1 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7b ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig00000bfb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000008a0 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c7a ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig00000bf9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089f ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c79 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig00000bf7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089e ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c78 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig00000bf8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089d ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c77 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig00000bf6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089c ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c76 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig00000bf4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089b ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c75 ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig00000bf5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000089a ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c74 ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig00000bf3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000899 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c73 ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig00000bf1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000898 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c72 ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig00000bf2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000897 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c71 ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig00000bf0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000896 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c70 ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig00000bee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000895 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6f ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig00000bef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000894 ( + .I0(\blk00000003/sig0000029e ), + .I1(\blk00000003/sig000002ad ), + .I2(\blk00000003/sig00000c6e ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig00000bed ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000893 ( + .I0(\blk00000003/sig00000bec ), + .I1(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig00000bd6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000892 ( + .I0(ce), + .I1(\blk00000003/sig000002af ), + .O(\blk00000003/sig00000c6d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000891 ( + .I0(ce), + .I1(\blk00000003/sig00000a0f ), + .O(\blk00000003/sig00000c6c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000890 ( + .I0(ce), + .I1(\blk00000003/sig00000a0a ), + .O(\blk00000003/sig00000c6b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088f ( + .I0(ce), + .I1(\blk00000003/sig00000a03 ), + .O(\blk00000003/sig00000c6a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088e ( + .I0(ce), + .I1(\blk00000003/sig000009f7 ), + .O(\blk00000003/sig00000c69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000088d ( + .I0(ce), + .I1(\blk00000003/sig000009f8 ), + .O(\blk00000003/sig00000c68 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000088c ( + .I0(sclr), + .I1(\blk00000003/sig00000c67 ), + .O(\blk00000003/sig00000372 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000088b ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .O(\blk00000003/sig0000036d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk0000088a ( + .I0(\blk00000003/sig0000036a ), + .I1(\blk00000003/sig00000378 ), + .O(\blk00000003/sig0000036b ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000889 ( + .I0(\blk00000003/sig00000378 ), + .I1(\blk00000003/sig00000c66 ), + .I2(\blk00000003/sig0000036f ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000888 ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig000002a8 ), + .O(\blk00000003/sig00000366 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000887 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .O(\blk00000003/sig00000362 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \blk00000003/blk00000886 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002b9 ), + .O(\blk00000003/sig00000360 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk00000885 ( + .I0(nd), + .I1(\blk00000003/sig0000015f ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000035d ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000884 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000359 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000883 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000356 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk00000882 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig00000354 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000881 ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig0000031a ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000880 ( + .I0(\blk00000003/sig0000031a ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig0000031c ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087f ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000315 ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087e ( + .I0(\blk00000003/sig00000318 ), + .I1(\blk00000003/sig00000314 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk0000087d ( + .I0(\blk00000003/sig0000031c ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000312 ), + .O(\blk00000003/sig00000341 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000087c ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000318 ), + .O(\blk00000003/sig0000033d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000087b ( + .I0(\blk00000003/sig00000316 ), + .I1(\blk00000003/sig00000318 ), + .I2(\blk00000003/sig00000322 ), + .O(\blk00000003/sig0000033f ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000087a ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig00000c65 ), + .O(\blk00000003/sig00000338 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk00000879 ( + .I0(\blk00000003/sig000002f8 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .O(\blk00000003/sig0000032f ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk00000878 ( + .I0(\blk00000003/sig00000332 ), + .I1(\blk00000003/sig000002f8 ), + .I2(\blk00000003/sig00000302 ), + .I3(coef_we), + .O(\blk00000003/sig00000327 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000877 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000325 ), + .O(\blk00000003/sig0000031b ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000876 ( + .I0(coef_we), + .I1(\blk00000003/sig00000302 ), + .O(\blk00000003/sig000002f9 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000875 ( + .I0(coef_we), + .I1(coef_ld), + .I2(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000323 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \blk00000003/blk00000874 ( + .I0(\blk00000003/sig00000330 ), + .I1(\blk00000003/sig00000331 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fe ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000873 ( + .I0(\blk00000003/sig00000331 ), + .I1(\blk00000003/sig00000330 ), + .I2(\blk00000003/sig00000332 ), + .O(\blk00000003/sig000002fc ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000872 ( + .I0(\blk00000003/sig00000325 ), + .I1(\blk00000003/sig0000030e ), + .I2(coef_ld), + .O(\blk00000003/sig000002f3 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000871 ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig0000030e ), + .O(\blk00000003/sig000002f0 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000870 ( + .I0(\blk00000003/sig000002f4 ), + .I1(coef_we), + .O(\blk00000003/sig000002f5 ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000086f ( + .I0(\blk00000003/sig00000310 ), + .I1(coef_we), + .I2(\blk00000003/sig00000302 ), + .I3(\blk00000003/sig000002f8 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030f ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000086e ( + .I0(\blk00000003/sig0000030e ), + .I1(\blk00000003/sig00000310 ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig0000030d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086d ( + .I0(\blk00000003/sig00000159 ), + .I1(nd), + .O(\blk00000003/sig000002b7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000086c ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig000002ad ), + .O(\blk00000003/sig000002b5 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000086b ( + .I0(\blk00000003/sig00000173 ), + .I1(\blk00000003/sig00000be7 ), + .I2(\blk00000003/sig000002ad ), + .O(\blk00000003/sig00000174 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000086a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000be7 ), + .O(\blk00000003/sig000002ae ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000869 ( + .I0(\blk00000003/sig00000c5b ), + .I1(\blk00000003/sig00000c5c ), + .I2(\blk00000003/sig00000c5d ), + .I3(\blk00000003/sig00000c5e ), + .I4(\blk00000003/sig00000c5f ), + .O(\blk00000003/sig00000177 ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000868 ( + .I0(\blk00000003/sig000002a8 ), + .I1(\blk00000003/sig0000029c ), + .I2(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a7 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000867 ( + .I0(\blk00000003/sig00000369 ), + .I1(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002a9 ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000866 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000169 ), + .O(\blk00000003/sig00000168 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000865 ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000167 ), + .O(\blk00000003/sig00000166 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000864 ( + .I0(\blk00000003/sig00000161 ), + .I1(\blk00000003/sig0000029c ), + .O(\blk00000003/sig00000162 ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000863 ( + .I0(\blk00000003/sig0000029c ), + .I1(\blk00000003/sig000002b6 ), + .I2(\blk00000003/sig000002a6 ), + .I3(\blk00000003/sig000002a8 ), + .I4(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a5 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk00000862 ( + .I0(\blk00000003/sig000002a6 ), + .I1(\blk00000003/sig00000c64 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002b6 ), + .O(\blk00000003/sig000002a1 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk00000861 ( + .I0(\blk00000003/sig00000c63 ), + .I1(\blk00000003/sig000002b9 ), + .I2(\blk00000003/sig000002ba ), + .O(\blk00000003/sig0000015d ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk00000860 ( + .I0(\blk00000003/sig000002b6 ), + .I1(\blk00000003/sig000002a6 ), + .I2(\blk00000003/sig000002a8 ), + .I3(\blk00000003/sig000002a4 ), + .O(\blk00000003/sig000002a3 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000085f ( + .I0(\blk00000003/sig00000368 ), + .I1(\blk00000003/sig00000369 ), + .I2(\blk00000003/sig000002b2 ), + .O(\blk00000003/sig000002ab ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000085e ( + .I0(ce), + .I1(\blk00000003/sig00000c61 ), + .I2(\blk00000003/sig00000c62 ), + .I3(\blk00000003/sig00000165 ), + .O(\blk00000003/sig00000164 ) + ); + MUXCY \blk00000003/blk0000085d ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000c60 ), + .O(\blk00000003/sig00000c58 ) + ); + MUXCY_L \blk00000003/blk0000085c ( + .CI(\blk00000003/sig00000c58 ), + .DI(\blk00000003/sig00000c5f ), + .S(\blk00000003/sig00000c59 ), + .LO(\blk00000003/sig00000c55 ) + ); + MUXCY_L \blk00000003/blk0000085b ( + .CI(\blk00000003/sig00000c55 ), + .DI(\blk00000003/sig00000c5e ), + .S(\blk00000003/sig00000c56 ), + .LO(\blk00000003/sig00000c52 ) + ); + MUXCY_L \blk00000003/blk0000085a ( + .CI(\blk00000003/sig00000c52 ), + .DI(\blk00000003/sig00000c5d ), + .S(\blk00000003/sig00000c53 ), + .LO(\blk00000003/sig00000c4f ) + ); + MUXCY_L \blk00000003/blk00000859 ( + .CI(\blk00000003/sig00000c4f ), + .DI(\blk00000003/sig00000c5c ), + .S(\blk00000003/sig00000c50 ), + .LO(\blk00000003/sig00000c4c ) + ); + MUXCY_D \blk00000003/blk00000858 ( + .CI(\blk00000003/sig00000c4c ), + .DI(\blk00000003/sig00000c5b ), + .S(\blk00000003/sig00000c4d ), + .O(\NLW_blk00000003/blk00000858_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000858_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000857 ( + .CI(\blk00000003/sig00000c58 ), + .LI(\blk00000003/sig00000c59 ), + .O(\blk00000003/sig00000c5a ) + ); + XORCY \blk00000003/blk00000856 ( + .CI(\blk00000003/sig00000c55 ), + .LI(\blk00000003/sig00000c56 ), + .O(\blk00000003/sig00000c57 ) + ); + XORCY \blk00000003/blk00000855 ( + .CI(\blk00000003/sig00000c52 ), + .LI(\blk00000003/sig00000c53 ), + .O(\blk00000003/sig00000c54 ) + ); + XORCY \blk00000003/blk00000854 ( + .CI(\blk00000003/sig00000c4f ), + .LI(\blk00000003/sig00000c50 ), + .O(\blk00000003/sig00000c51 ) + ); + XORCY \blk00000003/blk00000853 ( + .CI(\blk00000003/sig00000c4c ), + .LI(\blk00000003/sig00000c4d ), + .O(\blk00000003/sig00000c4e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000852 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4b ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000851 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c4a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000850 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c49 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c48 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c47 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c46 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c45 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c44 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000084a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c43 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000849 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c42 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000848 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c41 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000847 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c40 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000846 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000845 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000844 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000843 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000842 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000841 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c3a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000840 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c39 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c38 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c37 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c36 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c35 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c34 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000083a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c33 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000839 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c32 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000838 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c31 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000837 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c30 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000836 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000835 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000834 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000833 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000832 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000831 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c2a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000830 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c29 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c28 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c27 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c26 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c25 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c24 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000082a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c23 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000829 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c22 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000828 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c21 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000827 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c20 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000826 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000825 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000824 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000823 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000822 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000821 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c1a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000820 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c19 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c18 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c17 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c16 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c15 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c14 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000081a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c13 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000819 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c12 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000818 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c11 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000817 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c10 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000816 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000815 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000814 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000813 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000812 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000811 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c0a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000810 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c09 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c08 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c07 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c06 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c05 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c04 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000080a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c03 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000809 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c02 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000808 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c01 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000807 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000c00 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000806 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bff ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000805 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfe ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000804 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000803 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000802 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000801 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bfa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bf0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000bed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk000007f3 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000bec ), + .S(\blk00000003/sig00000bd6 ), + .LO(\blk00000003/sig00000be4 ) + ); + MUXCY_L \blk00000003/blk000007f2 ( + .CI(\blk00000003/sig00000be4 ), + .DI(\blk00000003/sig00000beb ), + .S(\blk00000003/sig00000be5 ), + .LO(\blk00000003/sig00000be1 ) + ); + MUXCY_L \blk00000003/blk000007f1 ( + .CI(\blk00000003/sig00000be1 ), + .DI(\blk00000003/sig00000bea ), + .S(\blk00000003/sig00000be2 ), + .LO(\blk00000003/sig00000bde ) + ); + MUXCY_L \blk00000003/blk000007f0 ( + .CI(\blk00000003/sig00000bde ), + .DI(\blk00000003/sig00000be9 ), + .S(\blk00000003/sig00000bdf ), + .LO(\blk00000003/sig00000bdb ) + ); + MUXCY_L \blk00000003/blk000007ef ( + .CI(\blk00000003/sig00000bdb ), + .DI(\blk00000003/sig00000be8 ), + .S(\blk00000003/sig00000bdc ), + .LO(\blk00000003/sig00000bd8 ) + ); + MUXCY_D \blk00000003/blk000007ee ( + .CI(\blk00000003/sig00000bd8 ), + .DI(\blk00000003/sig00000be7 ), + .S(\blk00000003/sig00000bd9 ), + .O(\NLW_blk00000003/blk000007ee_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000007ee_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000007ed ( + .CI(\blk00000003/sig00000be4 ), + .LI(\blk00000003/sig00000be5 ), + .O(\blk00000003/sig00000be6 ) + ); + XORCY \blk00000003/blk000007ec ( + .CI(\blk00000003/sig00000be1 ), + .LI(\blk00000003/sig00000be2 ), + .O(\blk00000003/sig00000be3 ) + ); + XORCY \blk00000003/blk000007eb ( + .CI(\blk00000003/sig00000bde ), + .LI(\blk00000003/sig00000bdf ), + .O(\blk00000003/sig00000be0 ) + ); + XORCY \blk00000003/blk000007ea ( + .CI(\blk00000003/sig00000bdb ), + .LI(\blk00000003/sig00000bdc ), + .O(\blk00000003/sig00000bdd ) + ); + XORCY \blk00000003/blk000007e9 ( + .CI(\blk00000003/sig00000bd8 ), + .LI(\blk00000003/sig00000bd9 ), + .O(\blk00000003/sig00000bda ) + ); + XORCY \blk00000003/blk000007e8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000bd6 ), + .O(\blk00000003/sig00000bd7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000777 ), + .R(sclr), + .Q(\blk00000003/sig00000a26 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bf ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000776 ), + .R(sclr), + .Q(\blk00000003/sig00000a25 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000775 ), + .R(sclr), + .Q(\blk00000003/sig00000a24 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bd ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000774 ), + .R(sclr), + .Q(\blk00000003/sig00000a23 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000773 ), + .R(sclr), + .Q(\blk00000003/sig00000a22 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bb ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000772 ), + .R(sclr), + .Q(\blk00000003/sig00000a21 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000771 ), + .R(sclr), + .Q(\blk00000003/sig00000a20 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000770 ), + .R(sclr), + .Q(\blk00000003/sig00000a1f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076f ), + .R(sclr), + .Q(\blk00000003/sig00000a1e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076e ), + .R(sclr), + .Q(\blk00000003/sig00000a1d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076d ), + .R(sclr), + .Q(\blk00000003/sig00000a1c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076c ), + .R(sclr), + .Q(\blk00000003/sig00000a1b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076b ), + .R(sclr), + .Q(\blk00000003/sig00000a1a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig0000076a ), + .R(sclr), + .Q(\blk00000003/sig00000a19 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000769 ), + .R(sclr), + .Q(\blk00000003/sig00000a18 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig00000768 ), + .R(sclr), + .Q(\blk00000003/sig00000a17 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ed ), + .R(sclr), + .Q(\blk00000003/sig00000b6a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007af ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ec ), + .R(sclr), + .Q(\blk00000003/sig00000b69 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004eb ), + .R(sclr), + .Q(\blk00000003/sig00000b68 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ad ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004ea ), + .R(sclr), + .Q(\blk00000003/sig00000b67 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e9 ), + .R(sclr), + .Q(\blk00000003/sig00000b66 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ab ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e8 ), + .R(sclr), + .Q(\blk00000003/sig00000b65 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e7 ), + .R(sclr), + .Q(\blk00000003/sig00000b64 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a9 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000004e6 ), + .R(sclr), + .Q(\blk00000003/sig00000b63 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(\blk00000003/sig00000a36 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a7 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(\blk00000003/sig00000a35 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(\blk00000003/sig00000a34 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a5 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(\blk00000003/sig00000a33 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(\blk00000003/sig00000a32 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a3 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(\blk00000003/sig00000a31 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(\blk00000003/sig00000a30 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a1 ( + .C(clk), + .CE(\blk00000003/sig00000bd4 ), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(\blk00000003/sig00000a2f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(\blk00000003/sig00000a2e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079f ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(\blk00000003/sig00000a2d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(\blk00000003/sig00000a2c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079d ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(\blk00000003/sig00000a2b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(\blk00000003/sig00000a2a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079b ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(\blk00000003/sig00000a29 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(\blk00000003/sig00000a28 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000799 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(\blk00000003/sig00000a27 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053f ), + .R(sclr), + .Q(\blk00000003/sig00000b72 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000797 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053e ), + .R(sclr), + .Q(\blk00000003/sig00000b71 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053d ), + .R(sclr), + .Q(\blk00000003/sig00000b70 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000795 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053c ), + .R(sclr), + .Q(\blk00000003/sig00000b6f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053b ), + .R(sclr), + .Q(\blk00000003/sig00000b6e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000793 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig0000053a ), + .R(sclr), + .Q(\blk00000003/sig00000b6d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000539 ), + .R(sclr), + .Q(\blk00000003/sig00000b6c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000791 ( + .C(clk), + .CE(\blk00000003/sig00000bd3 ), + .D(\blk00000003/sig00000538 ), + .R(sclr), + .Q(\blk00000003/sig00000b6b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000375 ), + .R(sclr), + .Q(\blk00000003/sig00000a12 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037b ), + .R(sclr), + .Q(\blk00000003/sig00000a11 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036a ), + .R(sclr), + .Q(\blk00000003/sig00000a14 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a16 ), + .R(sclr), + .Q(\blk00000003/sig00000a10 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a15 ), + .R(sclr), + .Q(\blk00000003/sig00000a0f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036f ), + .R(sclr), + .Q(\blk00000003/sig00000a13 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a14 ), + .R(sclr), + .Q(\blk00000003/sig00000a0d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a13 ), + .R(sclr), + .Q(\blk00000003/sig00000a0e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a12 ), + .R(sclr), + .Q(\blk00000003/sig00000a0c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a11 ), + .R(sclr), + .Q(\blk00000003/sig00000a0b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a10 ), + .R(sclr), + .Q(\blk00000003/sig00000a09 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000131 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0f ), + .R(sclr), + .Q(\blk00000003/sig00000a0a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0e ), + .R(sclr), + .Q(\blk00000003/sig00000a08 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0d ), + .R(sclr), + .Q(\blk00000003/sig00000a07 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0c ), + .R(sclr), + .Q(\blk00000003/sig00000a05 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0b ), + .R(sclr), + .Q(\blk00000003/sig00000a04 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a0a ), + .R(sclr), + .Q(\blk00000003/sig00000a03 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a09 ), + .R(sclr), + .Q(\blk00000003/sig00000a06 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a08 ), + .R(sclr), + .Q(\blk00000003/sig00000a01 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a07 ), + .R(sclr), + .Q(\blk00000003/sig000009ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a06 ), + .R(sclr), + .Q(\blk00000003/sig000009f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a05 ), + .R(sclr), + .Q(\blk00000003/sig000009fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a04 ), + .R(sclr), + .Q(\blk00000003/sig000009fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a03 ), + .R(sclr), + .Q(\blk00000003/sig000009f7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000a01 ), + .R(sclr), + .Q(\blk00000003/sig00000a02 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ff ), + .R(sclr), + .Q(\blk00000003/sig00000a00 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fd ), + .R(sclr), + .Q(\blk00000003/sig000009fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009fb ), + .R(sclr), + .Q(\blk00000003/sig000009fc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f9 ), + .R(sclr), + .Q(\blk00000003/sig000009fa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f7 ), + .R(sclr), + .Q(\blk00000003/sig000009f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f5 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f4 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f3 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009f2 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ee ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ef ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ec ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ee ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000115 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ed ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000114 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009ea ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000113 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e9 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000112 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000009e8 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000111 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000337 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000110 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000009e8 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009c8 , \blk00000003/sig000009c9 , \blk00000003/sig000009ca , +\blk00000003/sig000009cb , \blk00000003/sig000009cc , \blk00000003/sig000009cd , \blk00000003/sig000009ce , \blk00000003/sig000009cf , +\blk00000003/sig000009d0 , \blk00000003/sig000009d1 , \blk00000003/sig000009d2 , \blk00000003/sig000009d3 , \blk00000003/sig000009d4 , +\blk00000003/sig000009d5 , \blk00000003/sig000009d6 , \blk00000003/sig000009d7 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000009d8 , \blk00000003/sig000009d9 , \blk00000003/sig000009da , +\blk00000003/sig000009db , \blk00000003/sig000009dc , \blk00000003/sig000009dd , \blk00000003/sig000009de , \blk00000003/sig000009df , +\blk00000003/sig000009e0 , \blk00000003/sig000009e1 , \blk00000003/sig000009e2 , \blk00000003/sig000009e3 , \blk00000003/sig000009e4 , +\blk00000003/sig000009e5 , \blk00000003/sig000009e6 , \blk00000003/sig000009e7 }), + .PCOUT({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000978 , \blk00000003/sig00000979 , \blk00000003/sig0000097a , +\blk00000003/sig0000097b , \blk00000003/sig0000097c , \blk00000003/sig0000097d , \blk00000003/sig0000097e , \blk00000003/sig0000097f , +\blk00000003/sig00000980 , \blk00000003/sig00000981 , \blk00000003/sig00000982 , \blk00000003/sig00000983 , \blk00000003/sig00000984 , +\blk00000003/sig00000985 , \blk00000003/sig00000986 , \blk00000003/sig00000987 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000988 , \blk00000003/sig00000989 , \blk00000003/sig0000098a , +\blk00000003/sig0000098b , \blk00000003/sig0000098c , \blk00000003/sig0000098d , \blk00000003/sig0000098e , \blk00000003/sig0000098f , +\blk00000003/sig00000990 , \blk00000003/sig00000991 , \blk00000003/sig00000992 , \blk00000003/sig00000993 , \blk00000003/sig00000994 , +\blk00000003/sig00000995 , \blk00000003/sig00000996 , \blk00000003/sig00000997 }), + .PCOUT({\blk00000003/sig00000998 , \blk00000003/sig00000999 , \blk00000003/sig0000099a , \blk00000003/sig0000099b , \blk00000003/sig0000099c , +\blk00000003/sig0000099d , \blk00000003/sig0000099e , \blk00000003/sig0000099f , \blk00000003/sig000009a0 , \blk00000003/sig000009a1 , +\blk00000003/sig000009a2 , \blk00000003/sig000009a3 , \blk00000003/sig000009a4 , \blk00000003/sig000009a5 , \blk00000003/sig000009a6 , +\blk00000003/sig000009a7 , \blk00000003/sig000009a8 , \blk00000003/sig000009a9 , \blk00000003/sig000009aa , \blk00000003/sig000009ab , +\blk00000003/sig000009ac , \blk00000003/sig000009ad , \blk00000003/sig000009ae , \blk00000003/sig000009af , \blk00000003/sig000009b0 , +\blk00000003/sig000009b1 , \blk00000003/sig000009b2 , \blk00000003/sig000009b3 , \blk00000003/sig000009b4 , \blk00000003/sig000009b5 , +\blk00000003/sig000009b6 , \blk00000003/sig000009b7 , \blk00000003/sig000009b8 , \blk00000003/sig000009b9 , \blk00000003/sig000009ba , +\blk00000003/sig000009bb , \blk00000003/sig000009bc , \blk00000003/sig000009bd , \blk00000003/sig000009be , \blk00000003/sig000009bf , +\blk00000003/sig000009c0 , \blk00000003/sig000009c1 , \blk00000003/sig000009c2 , \blk00000003/sig000009c3 , \blk00000003/sig000009c4 , +\blk00000003/sig000009c5 , \blk00000003/sig000009c6 , \blk00000003/sig000009c7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000928 , \blk00000003/sig00000929 , \blk00000003/sig0000092a , +\blk00000003/sig0000092b , \blk00000003/sig0000092c , \blk00000003/sig0000092d , \blk00000003/sig0000092e , \blk00000003/sig0000092f , +\blk00000003/sig00000930 , \blk00000003/sig00000931 , \blk00000003/sig00000932 , \blk00000003/sig00000933 , \blk00000003/sig00000934 , +\blk00000003/sig00000935 , \blk00000003/sig00000936 , \blk00000003/sig00000937 }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000938 , \blk00000003/sig00000939 , \blk00000003/sig0000093a , +\blk00000003/sig0000093b , \blk00000003/sig0000093c , \blk00000003/sig0000093d , \blk00000003/sig0000093e , \blk00000003/sig0000093f , +\blk00000003/sig00000940 , \blk00000003/sig00000941 , \blk00000003/sig00000942 , \blk00000003/sig00000943 , \blk00000003/sig00000944 , +\blk00000003/sig00000945 , \blk00000003/sig00000946 , \blk00000003/sig00000947 }), + .PCOUT({\blk00000003/sig00000948 , \blk00000003/sig00000949 , \blk00000003/sig0000094a , \blk00000003/sig0000094b , \blk00000003/sig0000094c , +\blk00000003/sig0000094d , \blk00000003/sig0000094e , \blk00000003/sig0000094f , \blk00000003/sig00000950 , \blk00000003/sig00000951 , +\blk00000003/sig00000952 , \blk00000003/sig00000953 , \blk00000003/sig00000954 , \blk00000003/sig00000955 , \blk00000003/sig00000956 , +\blk00000003/sig00000957 , \blk00000003/sig00000958 , \blk00000003/sig00000959 , \blk00000003/sig0000095a , \blk00000003/sig0000095b , +\blk00000003/sig0000095c , \blk00000003/sig0000095d , \blk00000003/sig0000095e , \blk00000003/sig0000095f , \blk00000003/sig00000960 , +\blk00000003/sig00000961 , \blk00000003/sig00000962 , \blk00000003/sig00000963 , \blk00000003/sig00000964 , \blk00000003/sig00000965 , +\blk00000003/sig00000966 , \blk00000003/sig00000967 , \blk00000003/sig00000968 , \blk00000003/sig00000969 , \blk00000003/sig0000096a , +\blk00000003/sig0000096b , \blk00000003/sig0000096c , \blk00000003/sig0000096d , \blk00000003/sig0000096e , \blk00000003/sig0000096f , +\blk00000003/sig00000970 , \blk00000003/sig00000971 , \blk00000003/sig00000972 , \blk00000003/sig00000973 , \blk00000003/sig00000974 , +\blk00000003/sig00000975 , \blk00000003/sig00000976 , \blk00000003/sig00000977 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008d8 , \blk00000003/sig000008d9 , \blk00000003/sig000008da , +\blk00000003/sig000008db , \blk00000003/sig000008dc , \blk00000003/sig000008dd , \blk00000003/sig000008de , \blk00000003/sig000008df , +\blk00000003/sig000008e0 , \blk00000003/sig000008e1 , \blk00000003/sig000008e2 , \blk00000003/sig000008e3 , \blk00000003/sig000008e4 , +\blk00000003/sig000008e5 , \blk00000003/sig000008e6 , \blk00000003/sig000008e7 }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008e8 , \blk00000003/sig000008e9 , \blk00000003/sig000008ea , +\blk00000003/sig000008eb , \blk00000003/sig000008ec , \blk00000003/sig000008ed , \blk00000003/sig000008ee , \blk00000003/sig000008ef , +\blk00000003/sig000008f0 , \blk00000003/sig000008f1 , \blk00000003/sig000008f2 , \blk00000003/sig000008f3 , \blk00000003/sig000008f4 , +\blk00000003/sig000008f5 , \blk00000003/sig000008f6 , \blk00000003/sig000008f7 }), + .PCOUT({\blk00000003/sig000008f8 , \blk00000003/sig000008f9 , \blk00000003/sig000008fa , \blk00000003/sig000008fb , \blk00000003/sig000008fc , +\blk00000003/sig000008fd , \blk00000003/sig000008fe , \blk00000003/sig000008ff , \blk00000003/sig00000900 , \blk00000003/sig00000901 , +\blk00000003/sig00000902 , \blk00000003/sig00000903 , \blk00000003/sig00000904 , \blk00000003/sig00000905 , \blk00000003/sig00000906 , +\blk00000003/sig00000907 , \blk00000003/sig00000908 , \blk00000003/sig00000909 , \blk00000003/sig0000090a , \blk00000003/sig0000090b , +\blk00000003/sig0000090c , \blk00000003/sig0000090d , \blk00000003/sig0000090e , \blk00000003/sig0000090f , \blk00000003/sig00000910 , +\blk00000003/sig00000911 , \blk00000003/sig00000912 , \blk00000003/sig00000913 , \blk00000003/sig00000914 , \blk00000003/sig00000915 , +\blk00000003/sig00000916 , \blk00000003/sig00000917 , \blk00000003/sig00000918 , \blk00000003/sig00000919 , \blk00000003/sig0000091a , +\blk00000003/sig0000091b , \blk00000003/sig0000091c , \blk00000003/sig0000091d , \blk00000003/sig0000091e , \blk00000003/sig0000091f , +\blk00000003/sig00000920 , \blk00000003/sig00000921 , \blk00000003/sig00000922 , \blk00000003/sig00000923 , \blk00000003/sig00000924 , +\blk00000003/sig00000925 , \blk00000003/sig00000926 , \blk00000003/sig00000927 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008b8 , \blk00000003/sig000008b9 , \blk00000003/sig000008ba , +\blk00000003/sig000008bb , \blk00000003/sig000008bc , \blk00000003/sig000008bd , \blk00000003/sig000008be , \blk00000003/sig000008bf , +\blk00000003/sig000008c0 , \blk00000003/sig000008c1 , \blk00000003/sig000008c2 , \blk00000003/sig000008c3 , \blk00000003/sig000008c4 , +\blk00000003/sig000008c5 , \blk00000003/sig000008c6 , \blk00000003/sig000008c7 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000008c8 , \blk00000003/sig000008c9 , \blk00000003/sig000008ca , +\blk00000003/sig000008cb , \blk00000003/sig000008cc , \blk00000003/sig000008cd , \blk00000003/sig000008ce , \blk00000003/sig000008cf , +\blk00000003/sig000008d0 , \blk00000003/sig000008d1 , \blk00000003/sig000008d2 , \blk00000003/sig000008d3 , \blk00000003/sig000008d4 , +\blk00000003/sig000008d5 , \blk00000003/sig000008d6 , \blk00000003/sig000008d7 }), + .PCOUT({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000868 , \blk00000003/sig00000869 , \blk00000003/sig0000086a , +\blk00000003/sig0000086b , \blk00000003/sig0000086c , \blk00000003/sig0000086d , \blk00000003/sig0000086e , \blk00000003/sig0000086f , +\blk00000003/sig00000870 , \blk00000003/sig00000871 , \blk00000003/sig00000872 , \blk00000003/sig00000873 , \blk00000003/sig00000874 , +\blk00000003/sig00000875 , \blk00000003/sig00000876 , \blk00000003/sig00000877 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000878 , \blk00000003/sig00000879 , \blk00000003/sig0000087a , +\blk00000003/sig0000087b , \blk00000003/sig0000087c , \blk00000003/sig0000087d , \blk00000003/sig0000087e , \blk00000003/sig0000087f , +\blk00000003/sig00000880 , \blk00000003/sig00000881 , \blk00000003/sig00000882 , \blk00000003/sig00000883 , \blk00000003/sig00000884 , +\blk00000003/sig00000885 , \blk00000003/sig00000886 , \blk00000003/sig00000887 }), + .PCOUT({\blk00000003/sig00000888 , \blk00000003/sig00000889 , \blk00000003/sig0000088a , \blk00000003/sig0000088b , \blk00000003/sig0000088c , +\blk00000003/sig0000088d , \blk00000003/sig0000088e , \blk00000003/sig0000088f , \blk00000003/sig00000890 , \blk00000003/sig00000891 , +\blk00000003/sig00000892 , \blk00000003/sig00000893 , \blk00000003/sig00000894 , \blk00000003/sig00000895 , \blk00000003/sig00000896 , +\blk00000003/sig00000897 , \blk00000003/sig00000898 , \blk00000003/sig00000899 , \blk00000003/sig0000089a , \blk00000003/sig0000089b , +\blk00000003/sig0000089c , \blk00000003/sig0000089d , \blk00000003/sig0000089e , \blk00000003/sig0000089f , \blk00000003/sig000008a0 , +\blk00000003/sig000008a1 , \blk00000003/sig000008a2 , \blk00000003/sig000008a3 , \blk00000003/sig000008a4 , \blk00000003/sig000008a5 , +\blk00000003/sig000008a6 , \blk00000003/sig000008a7 , \blk00000003/sig000008a8 , \blk00000003/sig000008a9 , \blk00000003/sig000008aa , +\blk00000003/sig000008ab , \blk00000003/sig000008ac , \blk00000003/sig000008ad , \blk00000003/sig000008ae , \blk00000003/sig000008af , +\blk00000003/sig000008b0 , \blk00000003/sig000008b1 , \blk00000003/sig000008b2 , \blk00000003/sig000008b3 , \blk00000003/sig000008b4 , +\blk00000003/sig000008b5 , \blk00000003/sig000008b6 , \blk00000003/sig000008b7 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000109 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000109_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000109_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000818 , \blk00000003/sig00000819 , \blk00000003/sig0000081a , +\blk00000003/sig0000081b , \blk00000003/sig0000081c , \blk00000003/sig0000081d , \blk00000003/sig0000081e , \blk00000003/sig0000081f , +\blk00000003/sig00000820 , \blk00000003/sig00000821 , \blk00000003/sig00000822 , \blk00000003/sig00000823 , \blk00000003/sig00000824 , +\blk00000003/sig00000825 , \blk00000003/sig00000826 , \blk00000003/sig00000827 }), + .BCOUT({\NLW_blk00000003/blk00000109_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000109_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000109_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .C({\NLW_blk00000003/blk00000109_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000109_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000828 , \blk00000003/sig00000829 , \blk00000003/sig0000082a , +\blk00000003/sig0000082b , \blk00000003/sig0000082c , \blk00000003/sig0000082d , \blk00000003/sig0000082e , \blk00000003/sig0000082f , +\blk00000003/sig00000830 , \blk00000003/sig00000831 , \blk00000003/sig00000832 , \blk00000003/sig00000833 , \blk00000003/sig00000834 , +\blk00000003/sig00000835 , \blk00000003/sig00000836 , \blk00000003/sig00000837 }), + .PCOUT({\blk00000003/sig00000838 , \blk00000003/sig00000839 , \blk00000003/sig0000083a , \blk00000003/sig0000083b , \blk00000003/sig0000083c , +\blk00000003/sig0000083d , \blk00000003/sig0000083e , \blk00000003/sig0000083f , \blk00000003/sig00000840 , \blk00000003/sig00000841 , +\blk00000003/sig00000842 , \blk00000003/sig00000843 , \blk00000003/sig00000844 , \blk00000003/sig00000845 , \blk00000003/sig00000846 , +\blk00000003/sig00000847 , \blk00000003/sig00000848 , \blk00000003/sig00000849 , \blk00000003/sig0000084a , \blk00000003/sig0000084b , +\blk00000003/sig0000084c , \blk00000003/sig0000084d , \blk00000003/sig0000084e , \blk00000003/sig0000084f , \blk00000003/sig00000850 , +\blk00000003/sig00000851 , \blk00000003/sig00000852 , \blk00000003/sig00000853 , \blk00000003/sig00000854 , \blk00000003/sig00000855 , +\blk00000003/sig00000856 , \blk00000003/sig00000857 , \blk00000003/sig00000858 , \blk00000003/sig00000859 , \blk00000003/sig0000085a , +\blk00000003/sig0000085b , \blk00000003/sig0000085c , \blk00000003/sig0000085d , \blk00000003/sig0000085e , \blk00000003/sig0000085f , +\blk00000003/sig00000860 , \blk00000003/sig00000861 , \blk00000003/sig00000862 , \blk00000003/sig00000863 , \blk00000003/sig00000864 , +\blk00000003/sig00000865 , \blk00000003/sig00000866 , \blk00000003/sig00000867 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000109_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000109_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000109_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000108 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000108_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000108_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007c8 , \blk00000003/sig000007c9 , \blk00000003/sig000007ca , +\blk00000003/sig000007cb , \blk00000003/sig000007cc , \blk00000003/sig000007cd , \blk00000003/sig000007ce , \blk00000003/sig000007cf , +\blk00000003/sig000007d0 , \blk00000003/sig000007d1 , \blk00000003/sig000007d2 , \blk00000003/sig000007d3 , \blk00000003/sig000007d4 , +\blk00000003/sig000007d5 , \blk00000003/sig000007d6 , \blk00000003/sig000007d7 }), + .BCOUT({\NLW_blk00000003/blk00000108_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000108_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000108_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .C({\NLW_blk00000003/blk00000108_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000108_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007d8 , \blk00000003/sig000007d9 , \blk00000003/sig000007da , +\blk00000003/sig000007db , \blk00000003/sig000007dc , \blk00000003/sig000007dd , \blk00000003/sig000007de , \blk00000003/sig000007df , +\blk00000003/sig000007e0 , \blk00000003/sig000007e1 , \blk00000003/sig000007e2 , \blk00000003/sig000007e3 , \blk00000003/sig000007e4 , +\blk00000003/sig000007e5 , \blk00000003/sig000007e6 , \blk00000003/sig000007e7 }), + .PCOUT({\blk00000003/sig000007e8 , \blk00000003/sig000007e9 , \blk00000003/sig000007ea , \blk00000003/sig000007eb , \blk00000003/sig000007ec , +\blk00000003/sig000007ed , \blk00000003/sig000007ee , \blk00000003/sig000007ef , \blk00000003/sig000007f0 , \blk00000003/sig000007f1 , +\blk00000003/sig000007f2 , \blk00000003/sig000007f3 , \blk00000003/sig000007f4 , \blk00000003/sig000007f5 , \blk00000003/sig000007f6 , +\blk00000003/sig000007f7 , \blk00000003/sig000007f8 , \blk00000003/sig000007f9 , \blk00000003/sig000007fa , \blk00000003/sig000007fb , +\blk00000003/sig000007fc , \blk00000003/sig000007fd , \blk00000003/sig000007fe , \blk00000003/sig000007ff , \blk00000003/sig00000800 , +\blk00000003/sig00000801 , \blk00000003/sig00000802 , \blk00000003/sig00000803 , \blk00000003/sig00000804 , \blk00000003/sig00000805 , +\blk00000003/sig00000806 , \blk00000003/sig00000807 , \blk00000003/sig00000808 , \blk00000003/sig00000809 , \blk00000003/sig0000080a , +\blk00000003/sig0000080b , \blk00000003/sig0000080c , \blk00000003/sig0000080d , \blk00000003/sig0000080e , \blk00000003/sig0000080f , +\blk00000003/sig00000810 , \blk00000003/sig00000811 , \blk00000003/sig00000812 , \blk00000003/sig00000813 , \blk00000003/sig00000814 , +\blk00000003/sig00000815 , \blk00000003/sig00000816 , \blk00000003/sig00000817 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000108_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000108_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000108_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000107 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000107_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000107_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000778 , \blk00000003/sig00000779 , \blk00000003/sig0000077a , +\blk00000003/sig0000077b , \blk00000003/sig0000077c , \blk00000003/sig0000077d , \blk00000003/sig0000077e , \blk00000003/sig0000077f , +\blk00000003/sig00000780 , \blk00000003/sig00000781 , \blk00000003/sig00000782 , \blk00000003/sig00000783 , \blk00000003/sig00000784 , +\blk00000003/sig00000785 , \blk00000003/sig00000786 , \blk00000003/sig00000787 }), + .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000788 , \blk00000003/sig00000789 , \blk00000003/sig0000078a , \blk00000003/sig0000078b , \blk00000003/sig0000078c , +\blk00000003/sig0000078d , \blk00000003/sig0000078e , \blk00000003/sig0000078f , \blk00000003/sig00000790 , \blk00000003/sig00000791 , +\blk00000003/sig00000792 , \blk00000003/sig00000793 , \blk00000003/sig00000794 , \blk00000003/sig00000795 , \blk00000003/sig00000796 , +\blk00000003/sig00000797 , \blk00000003/sig00000798 , \blk00000003/sig00000799 , \blk00000003/sig0000079a , \blk00000003/sig0000079b , +\blk00000003/sig0000079c , \blk00000003/sig0000079d , \blk00000003/sig0000079e , \blk00000003/sig0000079f , \blk00000003/sig000007a0 , +\blk00000003/sig000007a1 , \blk00000003/sig000007a2 , \blk00000003/sig000007a3 , \blk00000003/sig000007a4 , \blk00000003/sig000007a5 , +\blk00000003/sig000007a6 , \blk00000003/sig000007a7 , \blk00000003/sig000007a8 , \blk00000003/sig000007a9 , \blk00000003/sig000007aa , +\blk00000003/sig000007ab , \blk00000003/sig000007ac , \blk00000003/sig000007ad , \blk00000003/sig000007ae , \blk00000003/sig000007af , +\blk00000003/sig000007b0 , \blk00000003/sig000007b1 , \blk00000003/sig000007b2 , \blk00000003/sig000007b3 , \blk00000003/sig000007b4 , +\blk00000003/sig000007b5 , \blk00000003/sig000007b6 , \blk00000003/sig000007b7 }), + .C({\NLW_blk00000003/blk00000107_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000007b8 , \blk00000003/sig000007b9 , \blk00000003/sig000007ba , +\blk00000003/sig000007bb , \blk00000003/sig000007bc , \blk00000003/sig000007bd , \blk00000003/sig000007be , \blk00000003/sig000007bf , +\blk00000003/sig000007c0 , \blk00000003/sig000007c1 , \blk00000003/sig000007c2 , \blk00000003/sig000007c3 , \blk00000003/sig000007c4 , +\blk00000003/sig000007c5 , \blk00000003/sig000007c6 , \blk00000003/sig000007c7 }), + .PCOUT({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000107_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000107_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000106 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000106_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000106_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000728 , \blk00000003/sig00000729 , \blk00000003/sig0000072a , +\blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d , \blk00000003/sig0000072e , \blk00000003/sig0000072f , +\blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 , \blk00000003/sig00000733 , \blk00000003/sig00000734 , +\blk00000003/sig00000735 , \blk00000003/sig00000736 , \blk00000003/sig00000737 }), + .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000738 , \blk00000003/sig00000739 , \blk00000003/sig0000073a , \blk00000003/sig0000073b , \blk00000003/sig0000073c , +\blk00000003/sig0000073d , \blk00000003/sig0000073e , \blk00000003/sig0000073f , \blk00000003/sig00000740 , \blk00000003/sig00000741 , +\blk00000003/sig00000742 , \blk00000003/sig00000743 , \blk00000003/sig00000744 , \blk00000003/sig00000745 , \blk00000003/sig00000746 , +\blk00000003/sig00000747 , \blk00000003/sig00000748 , \blk00000003/sig00000749 , \blk00000003/sig0000074a , \blk00000003/sig0000074b , +\blk00000003/sig0000074c , \blk00000003/sig0000074d , \blk00000003/sig0000074e , \blk00000003/sig0000074f , \blk00000003/sig00000750 , +\blk00000003/sig00000751 , \blk00000003/sig00000752 , \blk00000003/sig00000753 , \blk00000003/sig00000754 , \blk00000003/sig00000755 , +\blk00000003/sig00000756 , \blk00000003/sig00000757 , \blk00000003/sig00000758 , \blk00000003/sig00000759 , \blk00000003/sig0000075a , +\blk00000003/sig0000075b , \blk00000003/sig0000075c , \blk00000003/sig0000075d , \blk00000003/sig0000075e , \blk00000003/sig0000075f , +\blk00000003/sig00000760 , \blk00000003/sig00000761 , \blk00000003/sig00000762 , \blk00000003/sig00000763 , \blk00000003/sig00000764 , +\blk00000003/sig00000765 , \blk00000003/sig00000766 , \blk00000003/sig00000767 }), + .C({\NLW_blk00000003/blk00000106_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000768 , \blk00000003/sig00000769 , \blk00000003/sig0000076a , +\blk00000003/sig0000076b , \blk00000003/sig0000076c , \blk00000003/sig0000076d , \blk00000003/sig0000076e , \blk00000003/sig0000076f , +\blk00000003/sig00000770 , \blk00000003/sig00000771 , \blk00000003/sig00000772 , \blk00000003/sig00000773 , \blk00000003/sig00000774 , +\blk00000003/sig00000775 , \blk00000003/sig00000776 , \blk00000003/sig00000777 }), + .PCOUT({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk00000106_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000106_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000105 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000105_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000105_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , \blk00000003/sig00000718 , +\blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a , \blk00000003/sig0000071b , \blk00000003/sig0000071c , +\blk00000003/sig0000071d , \blk00000003/sig0000071e , \blk00000003/sig0000071f }), + .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .C({\NLW_blk00000003/blk00000105_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , \blk00000003/sig00000720 , +\blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 , \blk00000003/sig00000724 , +\blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 }), + .PCOUT({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000105_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000105_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000104 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000104_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000104_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , \blk00000003/sig000006d8 , +\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc , +\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df }), + .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .C({\NLW_blk00000003/blk00000104_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , \blk00000003/sig000006e0 , +\blk00000003/sig000006e0 , \blk00000003/sig000006e1 , \blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , +\blk00000003/sig000006e5 , \blk00000003/sig000006e6 , \blk00000003/sig000006e7 }), + .PCOUT({\blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb , \blk00000003/sig000006ec , +\blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 , \blk00000003/sig000006f1 , +\blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 , \blk00000003/sig000006f6 , +\blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa , \blk00000003/sig000006fb , +\blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff , \blk00000003/sig00000700 , +\blk00000003/sig00000701 , \blk00000003/sig00000702 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , +\blk00000003/sig00000706 , \blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , +\blk00000003/sig0000070b , \blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , +\blk00000003/sig00000710 , \blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , +\blk00000003/sig00000715 , \blk00000003/sig00000716 , \blk00000003/sig00000717 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000104_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000104_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000103 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000103_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000103_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , \blk00000003/sig00000698 , +\blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a , \blk00000003/sig0000069b , \blk00000003/sig0000069c , +\blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f }), + .BCOUT({\NLW_blk00000003/blk00000103_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000103_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000103_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .C({\NLW_blk00000003/blk00000103_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000103_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , \blk00000003/sig000006a0 , +\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , +\blk00000003/sig000006a5 , \blk00000003/sig000006a6 , \blk00000003/sig000006a7 }), + .PCOUT({\blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab , \blk00000003/sig000006ac , +\blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 , \blk00000003/sig000006b1 , +\blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 , \blk00000003/sig000006b6 , +\blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba , \blk00000003/sig000006bb , +\blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be , \blk00000003/sig000006bf , \blk00000003/sig000006c0 , +\blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 , \blk00000003/sig000006c4 , \blk00000003/sig000006c5 , +\blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 , \blk00000003/sig000006c9 , \blk00000003/sig000006ca , +\blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd , \blk00000003/sig000006ce , \blk00000003/sig000006cf , +\blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 , \blk00000003/sig000006d3 , \blk00000003/sig000006d4 , +\blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk00000103_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000103_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000103_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000102 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000102_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000102_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , \blk00000003/sig00000658 , +\blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , +\blk00000003/sig0000065d , \blk00000003/sig0000065e , \blk00000003/sig0000065f }), + .BCOUT({\NLW_blk00000003/blk00000102_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000102_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000102_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .C({\NLW_blk00000003/blk00000102_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000102_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , \blk00000003/sig00000660 , +\blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , \blk00000003/sig00000664 , +\blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 }), + .PCOUT({\blk00000003/sig00000668 , \blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , +\blk00000003/sig0000066d , \blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , +\blk00000003/sig00000672 , \blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , +\blk00000003/sig00000677 , \blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , +\blk00000003/sig0000067c , \blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , +\blk00000003/sig00000681 , \blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , +\blk00000003/sig00000686 , \blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , +\blk00000003/sig0000068b , \blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , +\blk00000003/sig00000690 , \blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , +\blk00000003/sig00000695 , \blk00000003/sig00000696 , \blk00000003/sig00000697 }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk00000102_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000102_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000102_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000101 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000101_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000101_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , \blk00000003/sig00000636 , +\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a , +\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d }), + .BCOUT({\NLW_blk00000003/blk00000101_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000101_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000101_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .C({\NLW_blk00000003/blk00000101_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000101_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , \blk00000003/sig0000063e , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , +\blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 }), + .PCOUT({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .A({\blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , +\blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , +\blk00000003/sig00000650 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , +\blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 }), + .M({\NLW_blk00000003/blk00000101_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000101_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000101_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000100 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000100_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000100_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , \blk00000003/sig000005e4 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb }), + .BCOUT({\NLW_blk00000003/blk00000100_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000100_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000100_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .C({\NLW_blk00000003/blk00000100_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000100_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , \blk00000003/sig000005ec , +\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 , +\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 }), + .PCOUT({\blk00000003/sig000005f4 , \blk00000003/sig000005f5 , \blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , +\blk00000003/sig000005f9 , \blk00000003/sig000005fa , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , +\blk00000003/sig000005fe , \blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , +\blk00000003/sig00000603 , \blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , +\blk00000003/sig00000608 , \blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , +\blk00000003/sig0000060d , \blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , +\blk00000003/sig00000612 , \blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , +\blk00000003/sig00000617 , \blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , +\blk00000003/sig0000061c , \blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , +\blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 }), + .A({\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , +\blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 }), + .M({\NLW_blk00000003/blk00000100_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000100_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000100_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000ff ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000ff_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000ff_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , \blk00000003/sig00000592 , +\blk00000003/sig00000592 , \blk00000003/sig00000593 , \blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , +\blk00000003/sig00000597 , \blk00000003/sig00000598 , \blk00000003/sig00000599 }), + .BCOUT({\NLW_blk00000003/blk000000ff_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ff_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .C({\NLW_blk00000003/blk000000ff_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000ff_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , \blk00000003/sig0000059a , +\blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , \blk00000003/sig0000059e , +\blk00000003/sig0000059f , \blk00000003/sig000005a0 , \blk00000003/sig000005a1 }), + .PCOUT({\blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , \blk00000003/sig000005a6 , +\blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , \blk00000003/sig000005ab , +\blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , \blk00000003/sig000005b0 , +\blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , +\blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , +\blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , +\blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , +\blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , +\blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce , +\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 }), + .A({\blk00000003/sig000005d2 , \blk00000003/sig000005d3 , \blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , +\blk00000003/sig000005d7 , \blk00000003/sig000005d8 , \blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , +\blk00000003/sig000005dc , \blk00000003/sig000005dd , \blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , +\blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 }), + .M({\NLW_blk00000003/blk000000ff_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000ff_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000ff_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fe ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fe_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fe_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , \blk00000003/sig00000540 , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 }), + .BCOUT({\NLW_blk00000003/blk000000fe_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fe_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .C({\NLW_blk00000003/blk000000fe_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fe_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , \blk00000003/sig00000548 , +\blk00000003/sig00000548 , \blk00000003/sig00000549 , \blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , +\blk00000003/sig0000054d , \blk00000003/sig0000054e , \blk00000003/sig0000054f }), + .PCOUT({\blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , \blk00000003/sig00000554 , +\blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , +\blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 , \blk00000003/sig00000567 , \blk00000003/sig00000568 , +\blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , \blk00000003/sig0000056c , \blk00000003/sig0000056d , +\blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , +\blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , +\blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , +\blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f }), + .A({\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , +\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 , +\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e , +\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 }), + .M({\NLW_blk00000003/blk000000fe_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fe_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fe_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fd ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fd_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fd_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , \blk00000003/sig00000500 , +\blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 }), + .BCOUT({\NLW_blk00000003/blk000000fd_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fd_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000508 , \blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , +\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , +\blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , +\blk00000003/sig00000526 , \blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , +\blk00000003/sig0000052b , \blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , +\blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , +\blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 }), + .C({\NLW_blk00000003/blk000000fd_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fd_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , \blk00000003/sig00000538 , +\blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , +\blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f }), + .PCOUT({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fd_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fd_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fd_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fc ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fc_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fc_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , \blk00000003/sig000004ae , +\blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , +\blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 }), + .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , +\blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , +\blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , +\blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , +\blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , +\blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 }), + .C({\NLW_blk00000003/blk000000fc_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , \blk00000003/sig000004e6 , +\blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea , +\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed }), + .PCOUT({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .A({\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff }), + .M({\NLW_blk00000003/blk000000fc_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fc_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fb ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fb_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fb_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d }), + .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fb_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , +\blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , +\blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a , +\blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d }), + .PCOUT({\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , +\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , +\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , +\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , +\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 , +\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b , +\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , +\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , +\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , +\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fb_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fb_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000fa ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000fa_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000fa_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d }), + .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000fa_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , +\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , +\blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , +\blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d }), + .PCOUT({\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , +\blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , +\blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c , +\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 , +\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 , +\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b , +\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , +\blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , +\blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , +\blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000fa_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000fa_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f9 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f9_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f9_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , \blk00000003/sig000003ce , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 }), + .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f9_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , \blk00000003/sig000003d6 , +\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , +\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }), + .PCOUT({\blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , +\blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , +\blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 , \blk00000003/sig000003f6 , +\blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , \blk00000003/sig000003fa , \blk00000003/sig000003fb , +\blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , +\blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , +\blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , +\blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f9_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f9_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk000000f8 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk000000f8_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk000000f8_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , \blk00000003/sig0000037c , +\blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , +\blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }), + .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk000000f8_C<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_C<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , \blk00000003/sig00000384 , +\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , +\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b }), + .PCOUT({\blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , +\blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , +\blk00000003/sig00000396 , \blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , +\blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , +\blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , +\blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , +\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , +\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb }), + .A({\blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , +\blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 , +\blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , +\blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd }), + .M({\NLW_blk00000003/blk000000f8_M<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<34>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<31>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<28>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<25>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<22>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<19>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<16>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<13>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<10>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<7>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<4>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_M<1>_UNCONNECTED , +\NLW_blk00000003/blk000000f8_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000037b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000379 ), + .Q(\blk00000003/sig0000037a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000378 ), + .Q(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig00000374 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000374 ), + .DI(\blk00000003/sig00000375 ), + .S(\blk00000003/sig00000376 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + FDE \blk00000003/blk000000f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000372 ), + .Q(\blk00000003/sig00000373 ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig0000036e ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + MUXCY_D \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036e ), + .DI(\blk00000003/sig0000036f ), + .S(\blk00000003/sig00000370 ), + .O(\NLW_blk00000003/blk000000f0_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f0_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000ef ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000036d ), + .O(\blk00000003/sig0000036e ) + ); + XORCY \blk00000003/blk000000ee ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000036b ), + .O(\blk00000003/sig0000036c ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000036a ), + .S(\blk00000003/sig0000036b ), + .O(\NLW_blk00000003/blk000000ed_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000369 ), + .S(\blk00000003/sig00000363 ), + .LO(\blk00000003/sig00000365 ) + ); + MUXCY_D \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000365 ), + .DI(\blk00000003/sig00000368 ), + .S(\blk00000003/sig00000366 ), + .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000365 ), + .LI(\blk00000003/sig00000366 ), + .O(\blk00000003/sig00000367 ) + ); + XORCY \blk00000003/blk000000e9 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000364 ) + ); + MUXCY_L \blk00000003/blk000000e8 ( + .CI(\blk00000003/sig0000035c ), + .DI(\blk00000003/sig000002ba ), + .S(\blk00000003/sig0000035d ), + .LO(\blk00000003/sig0000035f ) + ); + MUXCY_D \blk00000003/blk000000e7 ( + .CI(\blk00000003/sig0000035f ), + .DI(\blk00000003/sig000002b9 ), + .S(\blk00000003/sig00000360 ), + .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035c ) + ); + XORCY \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig0000035f ), + .LI(\blk00000003/sig00000360 ), + .O(\blk00000003/sig00000361 ) + ); + XORCY \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035c ), + .LI(\blk00000003/sig0000035d ), + .O(\blk00000003/sig0000035e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034d ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000034c ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ef ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig0000035a ), + .S(\blk00000003/sig0000035b ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig00000358 ), + .S(\blk00000003/sig00000359 ), + .O(\blk00000003/sig00000355 ), + .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000df ( + .CI(\blk00000003/sig00000355 ), + .DI(\blk00000003/sig0000034b ), + .S(\blk00000003/sig00000356 ), + .O(\blk00000003/sig00000352 ), + .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000de ( + .CI(\blk00000003/sig00000352 ), + .DI(\blk00000003/sig00000353 ), + .S(\blk00000003/sig00000354 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000de_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000031e ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig000002ec ), + .S(\blk00000003/sig0000034f ), + .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ), + .LO(\blk00000003/sig0000034c ) + ); + XORCY \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034c ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000034d ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033b ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000034b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029f ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig0000029f ), + .DI(\blk00000003/sig00000349 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002a0 ), + .S(\blk00000003/sig00000348 ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000342 ), + .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d5 ( + .CI(\blk00000003/sig00000342 ), + .DI(\blk00000003/sig00000343 ), + .S(\blk00000003/sig00000344 ), + .O(\blk00000003/sig00000340 ), + .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d4 ( + .CI(\blk00000003/sig00000340 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig00000341 ), + .O(\blk00000003/sig0000033c ), + .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d3 ( + .CI(\blk00000003/sig0000033e ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig0000033f ), + .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ), + .LO(\blk00000003/sig0000033a ) + ); + MUXCY_D \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033c ), + .DI(\blk00000003/sig00000313 ), + .S(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ), + .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033a ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000033b ) + ); + FDE \blk00000003/blk000000d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000338 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000324 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000333 ) + ); + XORCY \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000333 ), + .LI(\blk00000003/sig00000335 ), + .O(\blk00000003/sig00000336 ) + ); + MUXCY_D \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000333 ), + .DI(\blk00000003/sig00000334 ), + .S(\blk00000003/sig00000335 ), + .O(\NLW_blk00000003/blk000000cc_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED ) + ); + MUXCY_L \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000326 ), + .DI(\blk00000003/sig00000332 ), + .S(\blk00000003/sig00000327 ), + .LO(\blk00000003/sig0000032c ) + ); + MUXCY_L \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032c ), + .DI(\blk00000003/sig00000331 ), + .S(\blk00000003/sig0000032d ), + .LO(\blk00000003/sig00000329 ) + ); + MUXCY_D \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000329 ), + .DI(\blk00000003/sig00000330 ), + .S(\blk00000003/sig0000032a ), + .O(\NLW_blk00000003/blk000000c9_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .DI(NlwRenamedSig_OI_rfd), + .S(\blk00000003/sig0000032f ), + .O(\blk00000003/sig00000326 ) + ); + XORCY \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig0000032c ), + .LI(\blk00000003/sig0000032d ), + .O(\blk00000003/sig0000032e ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000329 ), + .LI(\blk00000003/sig0000032a ), + .O(\blk00000003/sig0000032b ) + ); + XORCY \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000326 ), + .LI(\blk00000003/sig00000327 ), + .O(\blk00000003/sig00000328 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c4 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000325 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c3 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig00000324 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig00000318 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .Q(\blk00000003/sig0000030b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000321 ), + .Q(\blk00000003/sig00000322 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000320 ), + .Q(\blk00000003/sig00000309 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .Q(\blk00000003/sig00000316 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031d ), + .Q(\blk00000003/sig0000031e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig0000031c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000319 ), + .Q(\blk00000003/sig0000031a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000314 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ee ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030e ), + .Q(\blk00000003/sig00000312 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b5_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000305 ), + .R(coef_ld), + .Q(\blk00000003/sig00000302 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b3_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ef ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000311 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000310 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030b ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030a ) + ); + XORCY \blk00000003/blk000000ac ( + .CI(\blk00000003/sig00000307 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000308 ) + ); + MUXCY \blk00000003/blk000000ab ( + .CI(\blk00000003/sig00000307 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002fd ) + ); + MUXCY_D \blk00000003/blk000000aa ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000306 ), + .O(\blk00000003/sig00000307 ), + .LO(\NLW_blk00000003/blk000000aa_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000303 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000305 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000303 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000304 ), + .O(\blk00000003/sig00000301 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig00000301 ), + .DI(\blk00000003/sig00000302 ), + .S(coef_we), + .O(\blk00000003/sig00000303 ), + .LO(\NLW_blk00000003/blk000000a6_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002ff ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a4 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a4_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000002fd ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fe ), + .O(\blk00000003/sig000002ff ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a2 ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f7 ), + .LO(\NLW_blk00000003/blk000000a2_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk000000a0_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000002f7 ), + .DI(\blk00000003/sig000002f8 ), + .S(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig000002f5 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY_D \blk00000003/blk0000009d ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000002f4 ), + .S(\blk00000003/sig000002f5 ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000009d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002ed ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002f1 ), + .DI(\blk00000003/sig000002f2 ), + .S(\blk00000003/sig000002f3 ), + .O(\NLW_blk00000003/blk0000009b_O_UNCONNECTED ), + .LO(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ee ), + .DI(\blk00000003/sig000002ef ), + .S(\blk00000003/sig000002f0 ), + .O(\blk00000003/sig000002f1 ), + .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000099 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ee ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b7 ), + .R(sclr), + .Q(\blk00000003/sig000002b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(\blk00000003/sig000002ae ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b3 ), + .R(sclr), + .Q(\blk00000003/sig000002b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002af ), + .R(sclr), + .Q(\blk00000003/sig000002b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ad ), + .R(\blk00000003/sig000002ae ), + .Q(rdy) + ); + FDRE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ab ), + .R(sclr), + .Q(\blk00000003/sig000002ac ) + ); + FDSE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a9 ), + .S(sclr), + .Q(\blk00000003/sig000002aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029b ), + .R(sclr), + .Q(\blk00000003/sig000002a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a7 ), + .R(sclr), + .Q(\blk00000003/sig0000029c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a5 ), + .R(sclr), + .Q(\blk00000003/sig000002a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a3 ), + .R(sclr), + .Q(\blk00000003/sig000002a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000027_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a1 ), + .R(sclr), + .Q(\blk00000003/sig000002a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig000002a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000176 ), + .R(sclr), + .Q(\blk00000003/sig00000173 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000170 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000023_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000171 ), + .S(sclr), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk00000021 ( + .CI(\blk00000003/sig0000029c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000029d ), + .O(\blk00000003/sig0000029a ), + .LO(\NLW_blk00000003/blk00000021_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000020 ( + .CI(\blk00000003/sig0000029a ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\NLW_blk00000003/blk00000020_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000001f ( + .CI(\blk00000003/sig0000029a ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029b ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , +\blk00000003/sig0000024d , \blk00000003/sig0000024e , \blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 , +\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 }), + .BCOUT({\NLW_blk00000003/blk0000001e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000025a , \blk00000003/sig0000025b , \blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , +\blk00000003/sig0000025f , \blk00000003/sig00000260 , \blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , +\blk00000003/sig00000264 , \blk00000003/sig00000265 , \blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , +\blk00000003/sig00000269 , \blk00000003/sig0000026a , \blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , +\blk00000003/sig0000026e , \blk00000003/sig0000026f , \blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , +\blk00000003/sig00000273 , \blk00000003/sig00000274 , \blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , +\blk00000003/sig00000278 , \blk00000003/sig00000279 , \blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , +\blk00000003/sig0000027d , \blk00000003/sig0000027e , \blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 , +\blk00000003/sig00000282 , \blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , +\blk00000003/sig00000287 , \blk00000003/sig00000288 , \blk00000003/sig00000289 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_P<40>_UNCONNECTED , +\blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , +\blk00000003/sig00000157 , \blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , +\blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , +\blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , +\blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig0000028a , +\blk00000003/sig0000028b , \blk00000003/sig0000028c , \blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , +\blk00000003/sig00000290 , \blk00000003/sig00000291 , \blk00000003/sig00000292 , \blk00000003/sig00000293 , \blk00000003/sig00000294 , +\blk00000003/sig00000295 , \blk00000003/sig00000296 , \blk00000003/sig00000297 , \blk00000003/sig00000298 , \blk00000003/sig00000299 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001e_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , \blk00000003/sig00000212 , +\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 }), + .BCOUT({\NLW_blk00000003/blk0000001d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000021a , \blk00000003/sig0000021b , \blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , +\blk00000003/sig0000021f , \blk00000003/sig00000220 , \blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , +\blk00000003/sig00000224 , \blk00000003/sig00000225 , \blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , +\blk00000003/sig00000229 , \blk00000003/sig0000022a , \blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , +\blk00000003/sig0000022e , \blk00000003/sig0000022f , \blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , +\blk00000003/sig00000233 , \blk00000003/sig00000234 , \blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , +\blk00000003/sig00000238 , \blk00000003/sig00000239 , \blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , +\blk00000003/sig0000023d , \blk00000003/sig0000023e , \blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 , +\blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , \blk00000003/sig00000245 , \blk00000003/sig00000246 , +\blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_P<31>_UNCONNECTED , +\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , +\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , +\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , +\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , +\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , +\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , +\blk00000003/sig00000132 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001d_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001d_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , +\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 , +\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 }), + .BCOUT({\NLW_blk00000003/blk0000001c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001d2 , \blk00000003/sig000001d3 , \blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , +\blk00000003/sig000001d7 , \blk00000003/sig000001d8 , \blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , +\blk00000003/sig000001dc , \blk00000003/sig000001dd , \blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , +\blk00000003/sig000001e1 , \blk00000003/sig000001e2 , \blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , +\blk00000003/sig000001e6 , \blk00000003/sig000001e7 , \blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , +\blk00000003/sig000001eb , \blk00000003/sig000001ec , \blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , +\blk00000003/sig000001f0 , \blk00000003/sig000001f1 , \blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , +\blk00000003/sig000001f5 , \blk00000003/sig000001f6 , \blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 , +\blk00000003/sig000001fa , \blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , +\blk00000003/sig000001ff , \blk00000003/sig00000200 , \blk00000003/sig00000201 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_P<40>_UNCONNECTED , +\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , +\blk00000003/sig00000101 , \blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , +\blk00000003/sig000000b0 , \blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , +\blk00000003/sig000000b5 , \blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , +\blk00000003/sig000000ba , \blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig00000202 , +\blk00000003/sig00000203 , \blk00000003/sig00000204 , \blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , +\blk00000003/sig00000208 , \blk00000003/sig00000209 , \blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , +\blk00000003/sig0000020d , \blk00000003/sig0000020e , \blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001c_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001c_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000001b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000001b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000001b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , \blk00000003/sig00000178 , +\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f }), + .BCOUT({\NLW_blk00000003/blk0000001b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , +\blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , +\blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , +\blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , +\blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , +\blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , +\blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , +\blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , +\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk0000001b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_P<31>_UNCONNECTED , +\blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , +\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , +\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , +\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , +\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , +\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , +\blk00000003/sig000000dc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000165 , \blk00000003/sig00000167 , \blk00000003/sig00000169 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk0000001b_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000001b_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , +\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , +\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , +\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 }), + .M({\NLW_blk00000003/blk0000001b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000001b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000001b_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000177 ), + .O(\blk00000003/sig00000172 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000175 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000176 ) + ); + MUXCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000175 ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000016a ) + ); + MUXCY_D \blk00000003/blk00000017 ( + .CI(\blk00000003/sig00000172 ), + .DI(\blk00000003/sig00000173 ), + .S(\blk00000003/sig00000174 ), + .O(\blk00000003/sig00000175 ), + .LO(\NLW_blk00000003/blk00000017_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000016 ( + .CI(\blk00000003/sig00000170 ), + .LI(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000171 ) + ); + MUXCY_D \blk00000003/blk00000015 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig0000016e ), + .S(\blk00000003/sig0000016f ), + .O(\NLW_blk00000003/blk00000015_O_UNCONNECTED ), + .LO(\blk00000003/sig00000170 ) + ); + MUXCY_D \blk00000003/blk00000014 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000013 ( + .C(clk), + .D(\blk00000003/sig00000168 ), + .Q(\blk00000003/sig00000169 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000012 ( + .C(clk), + .D(\blk00000003/sig00000166 ), + .Q(\blk00000003/sig00000167 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk00000011 ( + .C(clk), + .D(\blk00000003/sig00000164 ), + .Q(\blk00000003/sig00000165 ) + ); + XORCY \blk00000003/blk00000010 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000162 ), + .O(\blk00000003/sig00000163 ) + ); + MUXCY_D \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000161 ), + .S(\blk00000003/sig00000162 ), + .O(\NLW_blk00000003/blk0000000f_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig0000015c ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000160 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig0000015e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015e ), + .R(sclr), + .Q(\blk00000003/sig0000015f ) + ); + MUXCY_D \blk00000003/blk0000000c ( + .CI(NlwRenamedSig_OI_rfd), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015a ), + .LO(\NLW_blk00000003/blk0000000c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000b ( + .CI(\blk00000003/sig0000015b ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000158 ) + ); + MUXCY \blk00000003/blk0000000a ( + .CI(\blk00000003/sig0000015b ), + .DI(\blk00000003/sig00000049 ), + .S(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000015c ) + ); + MUXCY_D \blk00000003/blk00000009 ( + .CI(\blk00000003/sig0000015a ), + .DI(\blk00000003/sig00000159 ), + .S(nd), + .O(\blk00000003/sig0000015b ), + .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000008 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000158 ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , +\blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , +\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , +\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , +\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , +\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , +\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , +\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , +\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , +\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , +\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , +\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , +\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , +\blk00000003/sig00000151 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , +\blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , +\blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ac , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , +\blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000be , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , +\blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , +\blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , +\blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , +\blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , +\blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , +\blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , +\blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , +\blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , +\blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , +\blk00000003/sig000000f1 , \blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , +\blk00000003/sig000000f6 , \blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , +\blk00000003/sig000000fb }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, +NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd}), + .D({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , +\blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , +\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(NlwRenamedSig_OI_rfd) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000035/blk00000097 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000035/sig00000f29 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000096 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000096_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f27 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000095 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f26 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000094 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f28 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000093 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000092 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000091 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000090 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000008a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000089 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000088 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000087 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000086 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000085 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000084 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f15 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000083 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000082 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000081 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f12 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000080 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f11 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f10 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000007a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000079 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000078 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f09 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000077 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f08 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000076 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f0a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000075 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f06 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000074 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f05 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000073 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f07 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000072 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f03 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000071 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f02 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000070 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f04 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006f ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f00 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006e ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000eff ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006d ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000f01 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006c ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006b ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk0000006a ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efe ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000069 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000068 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000ef9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000035/blk00000067 ( + .A0(\blk00000003/sig000002ba ), + .A1(\blk00000003/sig000002b9 ), + .A2(\blk00000003/sig000002b8 ), + .A3(\blk00000003/blk00000035/sig00000ef8 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002aa ), + .DPRA1(\blk00000003/sig000002ac ), + .DPRA2(\blk00000003/sig000002b4 ), + .DPRA3(\blk00000003/blk00000035/sig00000ef8 ), + .WCLK(clk), + .WE(\blk00000003/blk00000035/sig00000f29 ), + .SPO(\NLW_blk00000003/blk00000035/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000035/sig00000efb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000066 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f28 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f27 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f26 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f25 ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f24 ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f23 ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f22 ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f21 ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f20 ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1f ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1e ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1d ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1c ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1b ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f1a ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f19 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f18 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f17 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f16 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f15 ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f14 ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f13 ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f12 ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f11 ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f10 ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0f ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0e ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0d ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0c ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0b ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f0a ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f09 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f08 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f07 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f06 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f05 ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f04 ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f03 ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f02 ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f01 ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000f00 ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000eff ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efe ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efd ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efc ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efb ), + .Q(\blk00000003/sig000002e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000efa ), + .Q(\blk00000003/sig000002e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000035/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000035/sig00000ef9 ), + .Q(\blk00000003/sig000002ea ) + ); + GND \blk00000003/blk00000035/blk00000036 ( + .G(\blk00000003/blk00000035/sig00000ef8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000013d/blk0000015f ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk0000013d/sig00000f5f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/blk0000013d/sig00000f5e ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/blk0000013d/sig00000f5d ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/blk0000013d/sig00000f5c ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/blk0000013d/sig00000f5b ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000015a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/blk0000013d/sig00000f5a ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000015a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000159 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/blk0000013d/sig00000f59 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000159_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000158 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/blk0000013d/sig00000f58 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000158_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000157 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/blk0000013d/sig00000f57 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000157_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000156 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/blk0000013d/sig00000f56 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000156_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000155 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/blk0000013d/sig00000f55 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000155_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000154 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/blk0000013d/sig00000f54 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000154_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000153 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/blk0000013d/sig00000f53 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000153_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000152 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/blk0000013d/sig00000f52 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000152_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000151 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/blk0000013d/sig00000f51 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk00000150 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/blk0000013d/sig00000f50 ), + .Q15(\NLW_blk00000003/blk0000013d/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000013d/blk0000014f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk0000013d/sig00000f4e ), + .A2(\blk00000003/blk0000013d/sig00000f4e ), + .A3(\blk00000003/blk0000013d/sig00000f4e ), + .CE(\blk00000003/blk0000013d/sig00000f5f ), + .CLK(clk), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/blk0000013d/sig00000f4f ), + .Q15(\NLW_blk00000003/blk0000013d/blk0000014f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5e ), + .Q(\blk00000003/sig00000768 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5d ), + .Q(\blk00000003/sig00000769 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5c ), + .Q(\blk00000003/sig0000076a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5b ), + .Q(\blk00000003/sig0000076b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000014a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f5a ), + .Q(\blk00000003/sig0000076c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000149 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f59 ), + .Q(\blk00000003/sig0000076d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000148 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f58 ), + .Q(\blk00000003/sig0000076e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000147 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f57 ), + .Q(\blk00000003/sig0000076f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000146 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f56 ), + .Q(\blk00000003/sig00000770 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000145 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f55 ), + .Q(\blk00000003/sig00000771 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000144 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f54 ), + .Q(\blk00000003/sig00000772 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000143 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f53 ), + .Q(\blk00000003/sig00000773 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000142 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f52 ), + .Q(\blk00000003/sig00000774 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f51 ), + .Q(\blk00000003/sig00000775 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f50 ), + .Q(\blk00000003/sig00000776 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000013d/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000013d/sig00000f4f ), + .Q(\blk00000003/sig00000777 ) + ); + GND \blk00000003/blk0000013d/blk0000013e ( + .G(\blk00000003/blk0000013d/sig00000f4e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000160/blk00000182 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000160/sig00000f95 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000181 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a17 ), + .Q(\blk00000003/blk00000160/sig00000f94 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000181_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000180 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a18 ), + .Q(\blk00000003/blk00000160/sig00000f93 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000180_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a19 ), + .Q(\blk00000003/blk00000160/sig00000f92 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1a ), + .Q(\blk00000003/blk00000160/sig00000f91 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1b ), + .Q(\blk00000003/blk00000160/sig00000f90 ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1c ), + .Q(\blk00000003/blk00000160/sig00000f8f ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017b ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1d ), + .Q(\blk00000003/blk00000160/sig00000f8e ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk0000017a ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1e ), + .Q(\blk00000003/blk00000160/sig00000f8d ), + .Q15(\NLW_blk00000003/blk00000160/blk0000017a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000179 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a1f ), + .Q(\blk00000003/blk00000160/sig00000f8c ), + .Q15(\NLW_blk00000003/blk00000160/blk00000179_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000178 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a20 ), + .Q(\blk00000003/blk00000160/sig00000f8b ), + .Q15(\NLW_blk00000003/blk00000160/blk00000178_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000177 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a21 ), + .Q(\blk00000003/blk00000160/sig00000f8a ), + .Q15(\NLW_blk00000003/blk00000160/blk00000177_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000176 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a22 ), + .Q(\blk00000003/blk00000160/sig00000f89 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000176_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000175 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a23 ), + .Q(\blk00000003/blk00000160/sig00000f88 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000175_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000174 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a24 ), + .Q(\blk00000003/blk00000160/sig00000f87 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000173 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a25 ), + .Q(\blk00000003/blk00000160/sig00000f86 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000160/blk00000172 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000160/sig00000f84 ), + .A2(\blk00000003/blk00000160/sig00000f84 ), + .A3(\blk00000003/blk00000160/sig00000f84 ), + .CE(\blk00000003/blk00000160/sig00000f95 ), + .CLK(clk), + .D(\blk00000003/sig00000a26 ), + .Q(\blk00000003/blk00000160/sig00000f85 ), + .Q15(\NLW_blk00000003/blk00000160/blk00000172_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000171 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f94 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000170 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f93 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f92 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f91 ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f90 ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8f ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8e ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk0000016a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8d ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000169 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8c ), + .Q(\blk00000003/sig00000730 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000168 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8b ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000167 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f8a ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000166 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f89 ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000165 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f88 ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f87 ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f86 ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000160/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000160/sig00000f85 ), + .Q(\blk00000003/sig00000737 ) + ); + GND \blk00000003/blk00000160/blk00000161 ( + .G(\blk00000003/blk00000160/sig00000f84 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000183/blk000001a5 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk00000183/sig00000fcb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d8 ), + .Q(\blk00000003/blk00000183/sig00000fca ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009d9 ), + .Q(\blk00000003/blk00000183/sig00000fc9 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a2 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009da ), + .Q(\blk00000003/blk00000183/sig00000fc8 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a1 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009db ), + .Q(\blk00000003/blk00000183/sig00000fc7 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk000001a0 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dc ), + .Q(\blk00000003/blk00000183/sig00000fc6 ), + .Q15(\NLW_blk00000003/blk00000183/blk000001a0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019f ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009dd ), + .Q(\blk00000003/blk00000183/sig00000fc5 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019e ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009de ), + .Q(\blk00000003/blk00000183/sig00000fc4 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019d ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009df ), + .Q(\blk00000003/blk00000183/sig00000fc3 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019c ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e0 ), + .Q(\blk00000003/blk00000183/sig00000fc2 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019b ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e1 ), + .Q(\blk00000003/blk00000183/sig00000fc1 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk0000019a ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e2 ), + .Q(\blk00000003/blk00000183/sig00000fc0 ), + .Q15(\NLW_blk00000003/blk00000183/blk0000019a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000199 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e3 ), + .Q(\blk00000003/blk00000183/sig00000fbf ), + .Q15(\NLW_blk00000003/blk00000183/blk00000199_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000198 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e4 ), + .Q(\blk00000003/blk00000183/sig00000fbe ), + .Q15(\NLW_blk00000003/blk00000183/blk00000198_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000197 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e5 ), + .Q(\blk00000003/blk00000183/sig00000fbd ), + .Q15(\NLW_blk00000003/blk00000183/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000196 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e6 ), + .Q(\blk00000003/blk00000183/sig00000fbc ), + .Q15(\NLW_blk00000003/blk00000183/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000183/blk00000195 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk00000183/sig00000fba ), + .A2(\blk00000003/blk00000183/sig00000fba ), + .A3(\blk00000003/blk00000183/sig00000fba ), + .CE(\blk00000003/blk00000183/sig00000fcb ), + .CLK(clk), + .D(\blk00000003/sig000009e7 ), + .Q(\blk00000003/blk00000183/sig00000fbb ), + .Q15(\NLW_blk00000003/blk00000183/blk00000195_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000194 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fca ), + .Q(\blk00000003/sig000007b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000193 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc9 ), + .Q(\blk00000003/sig000007b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000192 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc8 ), + .Q(\blk00000003/sig000007ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000191 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc7 ), + .Q(\blk00000003/sig000007bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000190 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc6 ), + .Q(\blk00000003/sig000007bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc5 ), + .Q(\blk00000003/sig000007bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc4 ), + .Q(\blk00000003/sig000007be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc3 ), + .Q(\blk00000003/sig000007bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc2 ), + .Q(\blk00000003/sig000007c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc1 ), + .Q(\blk00000003/sig000007c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk0000018a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fc0 ), + .Q(\blk00000003/sig000007c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000189 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbf ), + .Q(\blk00000003/sig000007c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000188 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbe ), + .Q(\blk00000003/sig000007c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbd ), + .Q(\blk00000003/sig000007c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbc ), + .Q(\blk00000003/sig000007c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000183/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000183/sig00000fbb ), + .Q(\blk00000003/sig000007c7 ) + ); + GND \blk00000003/blk00000183/blk00000184 ( + .G(\blk00000003/blk00000183/sig00000fba ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001a6/blk000001c8 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000001a6/sig00001001 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a27 ), + .Q(\blk00000003/blk000001a6/sig00001000 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a28 ), + .Q(\blk00000003/blk000001a6/sig00000fff ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c5 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a29 ), + .Q(\blk00000003/blk000001a6/sig00000ffe ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c4 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2a ), + .Q(\blk00000003/blk000001a6/sig00000ffd ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c3 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2b ), + .Q(\blk00000003/blk000001a6/sig00000ffc ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c2 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2c ), + .Q(\blk00000003/blk000001a6/sig00000ffb ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c1 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2d ), + .Q(\blk00000003/blk000001a6/sig00000ffa ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001c0 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2e ), + .Q(\blk00000003/blk000001a6/sig00000ff9 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001c0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bf ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a2f ), + .Q(\blk00000003/blk000001a6/sig00000ff8 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001be ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a30 ), + .Q(\blk00000003/blk000001a6/sig00000ff7 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bd ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a31 ), + .Q(\blk00000003/blk000001a6/sig00000ff6 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bc ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a32 ), + .Q(\blk00000003/blk000001a6/sig00000ff5 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001bb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a33 ), + .Q(\blk00000003/blk000001a6/sig00000ff4 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001ba ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a34 ), + .Q(\blk00000003/blk000001a6/sig00000ff3 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a35 ), + .Q(\blk00000003/blk000001a6/sig00000ff2 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001a6/blk000001b8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000001a6/sig00000ff0 ), + .A2(\blk00000003/blk000001a6/sig00000ff0 ), + .A3(\blk00000003/blk000001a6/sig00000ff0 ), + .CE(\blk00000003/blk000001a6/sig00001001 ), + .CLK(clk), + .D(\blk00000003/sig00000a36 ), + .Q(\blk00000003/blk000001a6/sig00000ff1 ), + .Q15(\NLW_blk00000003/blk000001a6/blk000001b8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00001000 ), + .Q(\blk00000003/sig00000778 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000fff ), + .Q(\blk00000003/sig00000779 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffe ), + .Q(\blk00000003/sig0000077a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffd ), + .Q(\blk00000003/sig0000077b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffc ), + .Q(\blk00000003/sig0000077c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffb ), + .Q(\blk00000003/sig0000077d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ffa ), + .Q(\blk00000003/sig0000077e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff9 ), + .Q(\blk00000003/sig0000077f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff8 ), + .Q(\blk00000003/sig00000780 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff7 ), + .Q(\blk00000003/sig00000781 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff6 ), + .Q(\blk00000003/sig00000782 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff5 ), + .Q(\blk00000003/sig00000783 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff4 ), + .Q(\blk00000003/sig00000784 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff3 ), + .Q(\blk00000003/sig00000785 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff2 ), + .Q(\blk00000003/sig00000786 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001a6/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001a6/sig00000ff1 ), + .Q(\blk00000003/sig00000787 ) + ); + GND \blk00000003/blk000001a6/blk000001a7 ( + .G(\blk00000003/blk000001a6/sig00000ff0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001c9/blk000001eb ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk000001c9/sig00001037 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001ea ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/blk000001c9/sig00001036 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e9 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/blk000001c9/sig00001035 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e8 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/blk000001c9/sig00001034 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e7 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/blk000001c9/sig00001033 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e6 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/blk000001c9/sig00001032 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e5 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/blk000001c9/sig00001031 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e4 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/blk000001c9/sig00001030 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e3 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/blk000001c9/sig0000102f ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e2 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/blk000001c9/sig0000102e ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e1 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/blk000001c9/sig0000102d ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001e0 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/blk000001c9/sig0000102c ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001df ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/blk000001c9/sig0000102b ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001de ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/blk000001c9/sig0000102a ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dd ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/blk000001c9/sig00001029 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001dc ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/blk000001c9/sig00001028 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001c9/blk000001db ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk000001c9/sig00001026 ), + .A2(\blk00000003/blk000001c9/sig00001026 ), + .A3(\blk00000003/blk000001c9/sig00001026 ), + .CE(\blk00000003/blk000001c9/sig00001037 ), + .CLK(clk), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/blk000001c9/sig00001027 ), + .Q15(\NLW_blk00000003/blk000001c9/blk000001db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001036 ), + .Q(\blk00000003/sig000008c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001035 ), + .Q(\blk00000003/sig000008c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001034 ), + .Q(\blk00000003/sig000008ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001033 ), + .Q(\blk00000003/sig000008cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001032 ), + .Q(\blk00000003/sig000008cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001031 ), + .Q(\blk00000003/sig000008cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001030 ), + .Q(\blk00000003/sig000008ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102f ), + .Q(\blk00000003/sig000008cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102e ), + .Q(\blk00000003/sig000008d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102d ), + .Q(\blk00000003/sig000008d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102c ), + .Q(\blk00000003/sig000008d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102b ), + .Q(\blk00000003/sig000008d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig0000102a ), + .Q(\blk00000003/sig000008d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001029 ), + .Q(\blk00000003/sig000008d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001028 ), + .Q(\blk00000003/sig000008d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001c9/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001c9/sig00001027 ), + .Q(\blk00000003/sig000008d7 ) + ); + GND \blk00000003/blk000001c9/blk000001ca ( + .G(\blk00000003/blk000001c9/sig00001026 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001ec/blk0000020e ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk000001ec/sig0000106d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a37 ), + .Q(\blk00000003/blk000001ec/sig0000106c ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a38 ), + .Q(\blk00000003/blk000001ec/sig0000106b ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a39 ), + .Q(\blk00000003/blk000001ec/sig0000106a ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk0000020a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3a ), + .Q(\blk00000003/blk000001ec/sig00001069 ), + .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000209 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3b ), + .Q(\blk00000003/blk000001ec/sig00001068 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000208 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3c ), + .Q(\blk00000003/blk000001ec/sig00001067 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000207 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3d ), + .Q(\blk00000003/blk000001ec/sig00001066 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000206 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3e ), + .Q(\blk00000003/blk000001ec/sig00001065 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000205 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a3f ), + .Q(\blk00000003/blk000001ec/sig00001064 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000205_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000204 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a40 ), + .Q(\blk00000003/blk000001ec/sig00001063 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000204_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000203 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a41 ), + .Q(\blk00000003/blk000001ec/sig00001062 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000203_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000202 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a42 ), + .Q(\blk00000003/blk000001ec/sig00001061 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000202_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000201 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a43 ), + .Q(\blk00000003/blk000001ec/sig00001060 ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000201_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk00000200 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a44 ), + .Q(\blk00000003/blk000001ec/sig0000105f ), + .Q15(\NLW_blk00000003/blk000001ec/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001ff ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a45 ), + .Q(\blk00000003/blk000001ec/sig0000105e ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001ec/blk000001fe ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk000001ec/sig0000105c ), + .A2(\blk00000003/blk000001ec/sig0000105c ), + .A3(\blk00000003/blk000001ec/sig0000105c ), + .CE(\blk00000003/blk000001ec/sig0000106d ), + .CLK(clk), + .D(\blk00000003/sig00000a46 ), + .Q(\blk00000003/blk000001ec/sig0000105d ), + .Q15(\NLW_blk00000003/blk000001ec/blk000001fe_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106c ), + .Q(\blk00000003/sig000008b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106b ), + .Q(\blk00000003/sig000008b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000106a ), + .Q(\blk00000003/sig000008ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001069 ), + .Q(\blk00000003/sig000008bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001068 ), + .Q(\blk00000003/sig000008bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001067 ), + .Q(\blk00000003/sig000008bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001066 ), + .Q(\blk00000003/sig000008be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001065 ), + .Q(\blk00000003/sig000008bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001064 ), + .Q(\blk00000003/sig000008c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001063 ), + .Q(\blk00000003/sig000008c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001062 ), + .Q(\blk00000003/sig000008c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001061 ), + .Q(\blk00000003/sig000008c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig00001060 ), + .Q(\blk00000003/sig000008c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105f ), + .Q(\blk00000003/sig000008c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105e ), + .Q(\blk00000003/sig000008c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001ec/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001ec/sig0000105d ), + .Q(\blk00000003/sig000008c7 ) + ); + GND \blk00000003/blk000001ec/blk000001ed ( + .G(\blk00000003/blk000001ec/sig0000105c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000020f/blk00000231 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000020f/sig000010a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000230 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000988 ), + .Q(\blk00000003/blk0000020f/sig000010a2 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000230_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000989 ), + .Q(\blk00000003/blk0000020f/sig000010a1 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022e ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098a ), + .Q(\blk00000003/blk0000020f/sig000010a0 ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022d ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098b ), + .Q(\blk00000003/blk0000020f/sig0000109f ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098c ), + .Q(\blk00000003/blk0000020f/sig0000109e ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098d ), + .Q(\blk00000003/blk0000020f/sig0000109d ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk0000022a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098e ), + .Q(\blk00000003/blk0000020f/sig0000109c ), + .Q15(\NLW_blk00000003/blk0000020f/blk0000022a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000229 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig0000098f ), + .Q(\blk00000003/blk0000020f/sig0000109b ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000229_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000228 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000990 ), + .Q(\blk00000003/blk0000020f/sig0000109a ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000228_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000227 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000991 ), + .Q(\blk00000003/blk0000020f/sig00001099 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000227_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000226 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000992 ), + .Q(\blk00000003/blk0000020f/sig00001098 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000226_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000225 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000993 ), + .Q(\blk00000003/blk0000020f/sig00001097 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000225_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000224 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000994 ), + .Q(\blk00000003/blk0000020f/sig00001096 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000224_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000223 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000995 ), + .Q(\blk00000003/blk0000020f/sig00001095 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000222 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000996 ), + .Q(\blk00000003/blk0000020f/sig00001094 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000020f/blk00000221 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000020f/sig00001092 ), + .A2(\blk00000003/blk0000020f/sig00001092 ), + .A3(\blk00000003/blk0000020f/sig00001092 ), + .CE(\blk00000003/blk0000020f/sig000010a3 ), + .CLK(clk), + .D(\blk00000003/sig00000997 ), + .Q(\blk00000003/blk0000020f/sig00001093 ), + .Q15(\NLW_blk00000003/blk0000020f/blk00000221_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000220 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a2 ), + .Q(\blk00000003/sig000009d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a1 ), + .Q(\blk00000003/sig000009d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig000010a0 ), + .Q(\blk00000003/sig000009da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109f ), + .Q(\blk00000003/sig000009db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109e ), + .Q(\blk00000003/sig000009dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109d ), + .Q(\blk00000003/sig000009dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk0000021a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109c ), + .Q(\blk00000003/sig000009de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000219 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109b ), + .Q(\blk00000003/sig000009df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000218 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig0000109a ), + .Q(\blk00000003/sig000009e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000217 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001099 ), + .Q(\blk00000003/sig000009e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000216 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001098 ), + .Q(\blk00000003/sig000009e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000215 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001097 ), + .Q(\blk00000003/sig000009e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000214 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001096 ), + .Q(\blk00000003/sig000009e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001095 ), + .Q(\blk00000003/sig000009e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001094 ), + .Q(\blk00000003/sig000009e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000020f/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000020f/sig00001093 ), + .Q(\blk00000003/sig000009e7 ) + ); + GND \blk00000003/blk0000020f/blk00000210 ( + .G(\blk00000003/blk0000020f/sig00001092 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000232/blk00000254 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000232/sig000010d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000253 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a47 ), + .Q(\blk00000003/blk00000232/sig000010d8 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000253_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000252 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a48 ), + .Q(\blk00000003/blk00000232/sig000010d7 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000252_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000251 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a49 ), + .Q(\blk00000003/blk00000232/sig000010d6 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000251_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000250 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4a ), + .Q(\blk00000003/blk00000232/sig000010d5 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000250_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4b ), + .Q(\blk00000003/blk00000232/sig000010d4 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4c ), + .Q(\blk00000003/blk00000232/sig000010d3 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4d ), + .Q(\blk00000003/blk00000232/sig000010d2 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4e ), + .Q(\blk00000003/blk00000232/sig000010d1 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a4f ), + .Q(\blk00000003/blk00000232/sig000010d0 ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk0000024a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a50 ), + .Q(\blk00000003/blk00000232/sig000010cf ), + .Q15(\NLW_blk00000003/blk00000232/blk0000024a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000249 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a51 ), + .Q(\blk00000003/blk00000232/sig000010ce ), + .Q15(\NLW_blk00000003/blk00000232/blk00000249_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000248 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a52 ), + .Q(\blk00000003/blk00000232/sig000010cd ), + .Q15(\NLW_blk00000003/blk00000232/blk00000248_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000247 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a53 ), + .Q(\blk00000003/blk00000232/sig000010cc ), + .Q15(\NLW_blk00000003/blk00000232/blk00000247_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000246 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a54 ), + .Q(\blk00000003/blk00000232/sig000010cb ), + .Q15(\NLW_blk00000003/blk00000232/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000245 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a55 ), + .Q(\blk00000003/blk00000232/sig000010ca ), + .Q15(\NLW_blk00000003/blk00000232/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000232/blk00000244 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000232/sig000010c8 ), + .A2(\blk00000003/blk00000232/sig000010c8 ), + .A3(\blk00000003/blk00000232/sig000010c8 ), + .CE(\blk00000003/blk00000232/sig000010d9 ), + .CLK(clk), + .D(\blk00000003/sig00000a56 ), + .Q(\blk00000003/blk00000232/sig000010c9 ), + .Q15(\NLW_blk00000003/blk00000232/blk00000244_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000243 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d8 ), + .Q(\blk00000003/sig000009c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000242 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d7 ), + .Q(\blk00000003/sig000009c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000241 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d6 ), + .Q(\blk00000003/sig000009ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000240 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d5 ), + .Q(\blk00000003/sig000009cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d4 ), + .Q(\blk00000003/sig000009cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d3 ), + .Q(\blk00000003/sig000009cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d2 ), + .Q(\blk00000003/sig000009ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d1 ), + .Q(\blk00000003/sig000009cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010d0 ), + .Q(\blk00000003/sig000009d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk0000023a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cf ), + .Q(\blk00000003/sig000009d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000239 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ce ), + .Q(\blk00000003/sig000009d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000238 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cd ), + .Q(\blk00000003/sig000009d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000237 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cc ), + .Q(\blk00000003/sig000009d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010cb ), + .Q(\blk00000003/sig000009d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010ca ), + .Q(\blk00000003/sig000009d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000232/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000232/sig000010c9 ), + .Q(\blk00000003/sig000009d7 ) + ); + GND \blk00000003/blk00000232/blk00000233 ( + .G(\blk00000003/blk00000232/sig000010c8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000255/blk00000277 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000255/sig0000110f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000276 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000828 ), + .Q(\blk00000003/blk00000255/sig0000110e ), + .Q15(\NLW_blk00000003/blk00000255/blk00000276_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000275 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000829 ), + .Q(\blk00000003/blk00000255/sig0000110d ), + .Q15(\NLW_blk00000003/blk00000255/blk00000275_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000274 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082a ), + .Q(\blk00000003/blk00000255/sig0000110c ), + .Q15(\NLW_blk00000003/blk00000255/blk00000274_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000273 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082b ), + .Q(\blk00000003/blk00000255/sig0000110b ), + .Q15(\NLW_blk00000003/blk00000255/blk00000273_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000272 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082c ), + .Q(\blk00000003/blk00000255/sig0000110a ), + .Q15(\NLW_blk00000003/blk00000255/blk00000272_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000271 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082d ), + .Q(\blk00000003/blk00000255/sig00001109 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000271_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000270 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082e ), + .Q(\blk00000003/blk00000255/sig00001108 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000270_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig0000082f ), + .Q(\blk00000003/blk00000255/sig00001107 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000830 ), + .Q(\blk00000003/blk00000255/sig00001106 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000831 ), + .Q(\blk00000003/blk00000255/sig00001105 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000832 ), + .Q(\blk00000003/blk00000255/sig00001104 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000833 ), + .Q(\blk00000003/blk00000255/sig00001103 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk0000026a ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000834 ), + .Q(\blk00000003/blk00000255/sig00001102 ), + .Q15(\NLW_blk00000003/blk00000255/blk0000026a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000269 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000835 ), + .Q(\blk00000003/blk00000255/sig00001101 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000268 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000836 ), + .Q(\blk00000003/blk00000255/sig00001100 ), + .Q15(\NLW_blk00000003/blk00000255/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000255/blk00000267 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000255/sig000010fe ), + .A2(\blk00000003/blk00000255/sig000010fe ), + .A3(\blk00000003/blk00000255/sig000010fe ), + .CE(\blk00000003/blk00000255/sig0000110f ), + .CLK(clk), + .D(\blk00000003/sig00000837 ), + .Q(\blk00000003/blk00000255/sig000010ff ), + .Q15(\NLW_blk00000003/blk00000255/blk00000267_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000266 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110e ), + .Q(\blk00000003/sig00000878 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000265 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110d ), + .Q(\blk00000003/sig00000879 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000264 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110c ), + .Q(\blk00000003/sig0000087a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000263 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110b ), + .Q(\blk00000003/sig0000087b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000262 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig0000110a ), + .Q(\blk00000003/sig0000087c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000261 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001109 ), + .Q(\blk00000003/sig0000087d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000260 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001108 ), + .Q(\blk00000003/sig0000087e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001107 ), + .Q(\blk00000003/sig0000087f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001106 ), + .Q(\blk00000003/sig00000880 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001105 ), + .Q(\blk00000003/sig00000881 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001104 ), + .Q(\blk00000003/sig00000882 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001103 ), + .Q(\blk00000003/sig00000883 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk0000025a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001102 ), + .Q(\blk00000003/sig00000884 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001101 ), + .Q(\blk00000003/sig00000885 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig00001100 ), + .Q(\blk00000003/sig00000886 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000255/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000255/sig000010ff ), + .Q(\blk00000003/sig00000887 ) + ); + GND \blk00000003/blk00000255/blk00000256 ( + .G(\blk00000003/blk00000255/sig000010fe ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000278/blk0000029a ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000278/sig00001145 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000299 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a57 ), + .Q(\blk00000003/blk00000278/sig00001144 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000299_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000298 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a58 ), + .Q(\blk00000003/blk00000278/sig00001143 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000298_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000297 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a59 ), + .Q(\blk00000003/blk00000278/sig00001142 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000297_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000296 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5a ), + .Q(\blk00000003/blk00000278/sig00001141 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000296_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000295 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5b ), + .Q(\blk00000003/blk00000278/sig00001140 ), + .Q15(\NLW_blk00000003/blk00000278/blk00000295_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000294 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5c ), + .Q(\blk00000003/blk00000278/sig0000113f ), + .Q15(\NLW_blk00000003/blk00000278/blk00000294_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000293 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5d ), + .Q(\blk00000003/blk00000278/sig0000113e ), + .Q15(\NLW_blk00000003/blk00000278/blk00000293_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000292 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5e ), + .Q(\blk00000003/blk00000278/sig0000113d ), + .Q15(\NLW_blk00000003/blk00000278/blk00000292_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000291 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a5f ), + .Q(\blk00000003/blk00000278/sig0000113c ), + .Q15(\NLW_blk00000003/blk00000278/blk00000291_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk00000290 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a60 ), + .Q(\blk00000003/blk00000278/sig0000113b ), + .Q15(\NLW_blk00000003/blk00000278/blk00000290_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a61 ), + .Q(\blk00000003/blk00000278/sig0000113a ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a62 ), + .Q(\blk00000003/blk00000278/sig00001139 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028d ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a63 ), + .Q(\blk00000003/blk00000278/sig00001138 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028c ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a64 ), + .Q(\blk00000003/blk00000278/sig00001137 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028b ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a65 ), + .Q(\blk00000003/blk00000278/sig00001136 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000278/blk0000028a ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000278/sig00001134 ), + .A2(\blk00000003/blk00000278/sig00001134 ), + .A3(\blk00000003/blk00000278/sig00001134 ), + .CE(\blk00000003/blk00000278/sig00001145 ), + .CLK(clk), + .D(\blk00000003/sig00000a66 ), + .Q(\blk00000003/blk00000278/sig00001135 ), + .Q15(\NLW_blk00000003/blk00000278/blk0000028a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000289 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001144 ), + .Q(\blk00000003/sig00000868 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000288 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001143 ), + .Q(\blk00000003/sig00000869 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000287 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001142 ), + .Q(\blk00000003/sig0000086a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000286 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001141 ), + .Q(\blk00000003/sig0000086b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000285 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001140 ), + .Q(\blk00000003/sig0000086c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000284 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113f ), + .Q(\blk00000003/sig0000086d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000283 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113e ), + .Q(\blk00000003/sig0000086e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000282 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113d ), + .Q(\blk00000003/sig0000086f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000281 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113c ), + .Q(\blk00000003/sig00000870 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk00000280 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113b ), + .Q(\blk00000003/sig00000871 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig0000113a ), + .Q(\blk00000003/sig00000872 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001139 ), + .Q(\blk00000003/sig00000873 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001138 ), + .Q(\blk00000003/sig00000874 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001137 ), + .Q(\blk00000003/sig00000875 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001136 ), + .Q(\blk00000003/sig00000876 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000278/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000278/sig00001135 ), + .Q(\blk00000003/sig00000877 ) + ); + GND \blk00000003/blk00000278/blk00000279 ( + .G(\blk00000003/blk00000278/sig00001134 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000029b/blk000002bd ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk0000029b/sig0000117b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bc ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/blk0000029b/sig0000117a ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002bb ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/blk0000029b/sig00001179 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ba ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/blk0000029b/sig00001178 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b9 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/blk0000029b/sig00001177 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b8 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/blk0000029b/sig00001176 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b7 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093d ), + .Q(\blk00000003/blk0000029b/sig00001175 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b6 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093e ), + .Q(\blk00000003/blk0000029b/sig00001174 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b5 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig0000093f ), + .Q(\blk00000003/blk0000029b/sig00001173 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b4 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000940 ), + .Q(\blk00000003/blk0000029b/sig00001172 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b3 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000941 ), + .Q(\blk00000003/blk0000029b/sig00001171 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b2 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000942 ), + .Q(\blk00000003/blk0000029b/sig00001170 ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b1 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000943 ), + .Q(\blk00000003/blk0000029b/sig0000116f ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002b0 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000944 ), + .Q(\blk00000003/blk0000029b/sig0000116e ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002af ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000945 ), + .Q(\blk00000003/blk0000029b/sig0000116d ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ae ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000946 ), + .Q(\blk00000003/blk0000029b/sig0000116c ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000029b/blk000002ad ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk0000029b/sig0000116a ), + .A2(\blk00000003/blk0000029b/sig0000116a ), + .A3(\blk00000003/blk0000029b/sig0000116a ), + .CE(\blk00000003/blk0000029b/sig0000117b ), + .CLK(clk), + .D(\blk00000003/sig00000947 ), + .Q(\blk00000003/blk0000029b/sig0000116b ), + .Q15(\NLW_blk00000003/blk0000029b/blk000002ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000117a ), + .Q(\blk00000003/sig00000988 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001179 ), + .Q(\blk00000003/sig00000989 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001178 ), + .Q(\blk00000003/sig0000098a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001177 ), + .Q(\blk00000003/sig0000098b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001176 ), + .Q(\blk00000003/sig0000098c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001175 ), + .Q(\blk00000003/sig0000098d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001174 ), + .Q(\blk00000003/sig0000098e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001173 ), + .Q(\blk00000003/sig0000098f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001172 ), + .Q(\blk00000003/sig00000990 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001171 ), + .Q(\blk00000003/sig00000991 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig00001170 ), + .Q(\blk00000003/sig00000992 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116f ), + .Q(\blk00000003/sig00000993 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk000002a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116e ), + .Q(\blk00000003/sig00000994 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116d ), + .Q(\blk00000003/sig00000995 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116c ), + .Q(\blk00000003/sig00000996 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000029b/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000029b/sig0000116b ), + .Q(\blk00000003/sig00000997 ) + ); + GND \blk00000003/blk0000029b/blk0000029c ( + .G(\blk00000003/blk0000029b/sig0000116a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002be/blk000002e0 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk000002be/sig000011b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002df ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a67 ), + .Q(\blk00000003/blk000002be/sig000011b0 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002de ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a68 ), + .Q(\blk00000003/blk000002be/sig000011af ), + .Q15(\NLW_blk00000003/blk000002be/blk000002de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dd ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a69 ), + .Q(\blk00000003/blk000002be/sig000011ae ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002dc ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6a ), + .Q(\blk00000003/blk000002be/sig000011ad ), + .Q15(\NLW_blk00000003/blk000002be/blk000002dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002db ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6b ), + .Q(\blk00000003/blk000002be/sig000011ac ), + .Q15(\NLW_blk00000003/blk000002be/blk000002db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002da ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6c ), + .Q(\blk00000003/blk000002be/sig000011ab ), + .Q15(\NLW_blk00000003/blk000002be/blk000002da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6d ), + .Q(\blk00000003/blk000002be/sig000011aa ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6e ), + .Q(\blk00000003/blk000002be/sig000011a9 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a6f ), + .Q(\blk00000003/blk000002be/sig000011a8 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a70 ), + .Q(\blk00000003/blk000002be/sig000011a7 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a71 ), + .Q(\blk00000003/blk000002be/sig000011a6 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a72 ), + .Q(\blk00000003/blk000002be/sig000011a5 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d3 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a73 ), + .Q(\blk00000003/blk000002be/sig000011a4 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d2 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a74 ), + .Q(\blk00000003/blk000002be/sig000011a3 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d1 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a75 ), + .Q(\blk00000003/blk000002be/sig000011a2 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002be/blk000002d0 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk000002be/sig000011a0 ), + .A2(\blk00000003/blk000002be/sig000011a0 ), + .A3(\blk00000003/blk000002be/sig000011a0 ), + .CE(\blk00000003/blk000002be/sig000011b1 ), + .CLK(clk), + .D(\blk00000003/sig00000a76 ), + .Q(\blk00000003/blk000002be/sig000011a1 ), + .Q15(\NLW_blk00000003/blk000002be/blk000002d0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011b0 ), + .Q(\blk00000003/sig00000978 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011af ), + .Q(\blk00000003/sig00000979 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ae ), + .Q(\blk00000003/sig0000097a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ad ), + .Q(\blk00000003/sig0000097b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ac ), + .Q(\blk00000003/sig0000097c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011ab ), + .Q(\blk00000003/sig0000097d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011aa ), + .Q(\blk00000003/sig0000097e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a9 ), + .Q(\blk00000003/sig0000097f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a8 ), + .Q(\blk00000003/sig00000980 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a7 ), + .Q(\blk00000003/sig00000981 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a6 ), + .Q(\blk00000003/sig00000982 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a5 ), + .Q(\blk00000003/sig00000983 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a4 ), + .Q(\blk00000003/sig00000984 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a3 ), + .Q(\blk00000003/sig00000985 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a2 ), + .Q(\blk00000003/sig00000986 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002be/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002be/sig000011a1 ), + .Q(\blk00000003/sig00000987 ) + ); + GND \blk00000003/blk000002be/blk000002bf ( + .G(\blk00000003/blk000002be/sig000011a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002e1/blk00000303 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000002e1/sig000011e7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000302 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d8 ), + .Q(\blk00000003/blk000002e1/sig000011e6 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000302_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000301 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007d9 ), + .Q(\blk00000003/blk000002e1/sig000011e5 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000301_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk00000300 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007da ), + .Q(\blk00000003/blk000002e1/sig000011e4 ), + .Q15(\NLW_blk00000003/blk000002e1/blk00000300_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002ff ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007db ), + .Q(\blk00000003/blk000002e1/sig000011e3 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fe ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dc ), + .Q(\blk00000003/blk000002e1/sig000011e2 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007dd ), + .Q(\blk00000003/blk000002e1/sig000011e1 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007de ), + .Q(\blk00000003/blk000002e1/sig000011e0 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007df ), + .Q(\blk00000003/blk000002e1/sig000011df ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002fa ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e0 ), + .Q(\blk00000003/blk000002e1/sig000011de ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e1 ), + .Q(\blk00000003/blk000002e1/sig000011dd ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e2 ), + .Q(\blk00000003/blk000002e1/sig000011dc ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e3 ), + .Q(\blk00000003/blk000002e1/sig000011db ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f6 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e4 ), + .Q(\blk00000003/blk000002e1/sig000011da ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f5 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e5 ), + .Q(\blk00000003/blk000002e1/sig000011d9 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e6 ), + .Q(\blk00000003/blk000002e1/sig000011d8 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002e1/blk000002f3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000002e1/sig000011d6 ), + .A2(\blk00000003/blk000002e1/sig000011d6 ), + .A3(\blk00000003/blk000002e1/sig000011d6 ), + .CE(\blk00000003/blk000002e1/sig000011e7 ), + .CLK(clk), + .D(\blk00000003/sig000007e7 ), + .Q(\blk00000003/blk000002e1/sig000011d7 ), + .Q15(\NLW_blk00000003/blk000002e1/blk000002f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e6 ), + .Q(\blk00000003/sig00000828 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e5 ), + .Q(\blk00000003/sig00000829 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e4 ), + .Q(\blk00000003/sig0000082a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e3 ), + .Q(\blk00000003/sig0000082b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e2 ), + .Q(\blk00000003/sig0000082c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e1 ), + .Q(\blk00000003/sig0000082d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011e0 ), + .Q(\blk00000003/sig0000082e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011df ), + .Q(\blk00000003/sig0000082f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011de ), + .Q(\blk00000003/sig00000830 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dd ), + .Q(\blk00000003/sig00000831 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011dc ), + .Q(\blk00000003/sig00000832 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011db ), + .Q(\blk00000003/sig00000833 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011da ), + .Q(\blk00000003/sig00000834 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d9 ), + .Q(\blk00000003/sig00000835 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d8 ), + .Q(\blk00000003/sig00000836 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002e1/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002e1/sig000011d7 ), + .Q(\blk00000003/sig00000837 ) + ); + GND \blk00000003/blk000002e1/blk000002e2 ( + .G(\blk00000003/blk000002e1/sig000011d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000304/blk00000326 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk00000304/sig0000121d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000325 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a77 ), + .Q(\blk00000003/blk00000304/sig0000121c ), + .Q15(\NLW_blk00000003/blk00000304/blk00000325_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000324 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a78 ), + .Q(\blk00000003/blk00000304/sig0000121b ), + .Q15(\NLW_blk00000003/blk00000304/blk00000324_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000323 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a79 ), + .Q(\blk00000003/blk00000304/sig0000121a ), + .Q15(\NLW_blk00000003/blk00000304/blk00000323_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000322 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7a ), + .Q(\blk00000003/blk00000304/sig00001219 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000322_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000321 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7b ), + .Q(\blk00000003/blk00000304/sig00001218 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000321_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000320 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7c ), + .Q(\blk00000003/blk00000304/sig00001217 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000320_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7d ), + .Q(\blk00000003/blk00000304/sig00001216 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7e ), + .Q(\blk00000003/blk00000304/sig00001215 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a7f ), + .Q(\blk00000003/blk00000304/sig00001214 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a80 ), + .Q(\blk00000003/blk00000304/sig00001213 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a81 ), + .Q(\blk00000003/blk00000304/sig00001212 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk0000031a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a82 ), + .Q(\blk00000003/blk00000304/sig00001211 ), + .Q15(\NLW_blk00000003/blk00000304/blk0000031a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000319 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a83 ), + .Q(\blk00000003/blk00000304/sig00001210 ), + .Q15(\NLW_blk00000003/blk00000304/blk00000319_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000318 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a84 ), + .Q(\blk00000003/blk00000304/sig0000120f ), + .Q15(\NLW_blk00000003/blk00000304/blk00000318_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000317 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a85 ), + .Q(\blk00000003/blk00000304/sig0000120e ), + .Q15(\NLW_blk00000003/blk00000304/blk00000317_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000304/blk00000316 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk00000304/sig0000120c ), + .A2(\blk00000003/blk00000304/sig0000120c ), + .A3(\blk00000003/blk00000304/sig0000120c ), + .CE(\blk00000003/blk00000304/sig0000121d ), + .CLK(clk), + .D(\blk00000003/sig00000a86 ), + .Q(\blk00000003/blk00000304/sig0000120d ), + .Q15(\NLW_blk00000003/blk00000304/blk00000316_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121c ), + .Q(\blk00000003/sig00000818 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121b ), + .Q(\blk00000003/sig00000819 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000121a ), + .Q(\blk00000003/sig0000081a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001219 ), + .Q(\blk00000003/sig0000081b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001218 ), + .Q(\blk00000003/sig0000081c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001217 ), + .Q(\blk00000003/sig0000081d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001216 ), + .Q(\blk00000003/sig0000081e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001215 ), + .Q(\blk00000003/sig0000081f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001214 ), + .Q(\blk00000003/sig00000820 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001213 ), + .Q(\blk00000003/sig00000821 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001212 ), + .Q(\blk00000003/sig00000822 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk0000030a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001211 ), + .Q(\blk00000003/sig00000823 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000309 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig00001210 ), + .Q(\blk00000003/sig00000824 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000308 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120f ), + .Q(\blk00000003/sig00000825 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000307 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120e ), + .Q(\blk00000003/sig00000826 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000304/blk00000306 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000304/sig0000120d ), + .Q(\blk00000003/sig00000827 ) + ); + GND \blk00000003/blk00000304/blk00000305 ( + .G(\blk00000003/blk00000304/sig0000120c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000327/blk00000349 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk00000327/sig00001253 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000348 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/blk00000327/sig00001252 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000348_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000347 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/blk00000327/sig00001251 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000347_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000346 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/blk00000327/sig00001250 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000346_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000345 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/blk00000327/sig0000124f ), + .Q15(\NLW_blk00000003/blk00000327/blk00000345_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000344 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/blk00000327/sig0000124e ), + .Q15(\NLW_blk00000003/blk00000327/blk00000344_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000343 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/blk00000327/sig0000124d ), + .Q15(\NLW_blk00000003/blk00000327/blk00000343_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000342 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/blk00000327/sig0000124c ), + .Q15(\NLW_blk00000003/blk00000327/blk00000342_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000341 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/blk00000327/sig0000124b ), + .Q15(\NLW_blk00000003/blk00000327/blk00000341_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000340 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/blk00000327/sig0000124a ), + .Q15(\NLW_blk00000003/blk00000327/blk00000340_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033f ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/blk00000327/sig00001249 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033e ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/blk00000327/sig00001248 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033d ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/blk00000327/sig00001247 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033c ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/blk00000327/sig00001246 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033b ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/blk00000327/sig00001245 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk0000033a ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/blk00000327/sig00001244 ), + .Q15(\NLW_blk00000003/blk00000327/blk0000033a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000327/blk00000339 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk00000327/sig00001242 ), + .A2(\blk00000003/blk00000327/sig00001242 ), + .A3(\blk00000003/blk00000327/sig00001242 ), + .CE(\blk00000003/blk00000327/sig00001253 ), + .CLK(clk), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/blk00000327/sig00001243 ), + .Q15(\NLW_blk00000003/blk00000327/blk00000339_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000338 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001252 ), + .Q(\blk00000003/sig00000938 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000337 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001251 ), + .Q(\blk00000003/sig00000939 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000336 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001250 ), + .Q(\blk00000003/sig0000093a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000335 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124f ), + .Q(\blk00000003/sig0000093b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000334 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124e ), + .Q(\blk00000003/sig0000093c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000333 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124d ), + .Q(\blk00000003/sig0000093d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124c ), + .Q(\blk00000003/sig0000093e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124b ), + .Q(\blk00000003/sig0000093f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig0000124a ), + .Q(\blk00000003/sig00000940 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001249 ), + .Q(\blk00000003/sig00000941 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001248 ), + .Q(\blk00000003/sig00000942 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001247 ), + .Q(\blk00000003/sig00000943 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001246 ), + .Q(\blk00000003/sig00000944 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001245 ), + .Q(\blk00000003/sig00000945 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001244 ), + .Q(\blk00000003/sig00000946 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000327/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000327/sig00001243 ), + .Q(\blk00000003/sig00000947 ) + ); + GND \blk00000003/blk00000327/blk00000328 ( + .G(\blk00000003/blk00000327/sig00001242 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000034a/blk0000036c ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk0000034a/sig00001289 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036b ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a87 ), + .Q(\blk00000003/blk0000034a/sig00001288 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000036a ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a88 ), + .Q(\blk00000003/blk0000034a/sig00001287 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000036a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000369 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a89 ), + .Q(\blk00000003/blk0000034a/sig00001286 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000369_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000368 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8a ), + .Q(\blk00000003/blk0000034a/sig00001285 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000368_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000367 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8b ), + .Q(\blk00000003/blk0000034a/sig00001284 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000367_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000366 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8c ), + .Q(\blk00000003/blk0000034a/sig00001283 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000366_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000365 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8d ), + .Q(\blk00000003/blk0000034a/sig00001282 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000365_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000364 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8e ), + .Q(\blk00000003/blk0000034a/sig00001281 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000364_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000363 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a8f ), + .Q(\blk00000003/blk0000034a/sig00001280 ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000363_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000362 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a90 ), + .Q(\blk00000003/blk0000034a/sig0000127f ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000362_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000361 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a91 ), + .Q(\blk00000003/blk0000034a/sig0000127e ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000361_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk00000360 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a92 ), + .Q(\blk00000003/blk0000034a/sig0000127d ), + .Q15(\NLW_blk00000003/blk0000034a/blk00000360_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035f ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a93 ), + .Q(\blk00000003/blk0000034a/sig0000127c ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035e ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a94 ), + .Q(\blk00000003/blk0000034a/sig0000127b ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035d ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a95 ), + .Q(\blk00000003/blk0000034a/sig0000127a ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000034a/blk0000035c ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk0000034a/sig00001278 ), + .A2(\blk00000003/blk0000034a/sig00001278 ), + .A3(\blk00000003/blk0000034a/sig00001278 ), + .CE(\blk00000003/blk0000034a/sig00001289 ), + .CLK(clk), + .D(\blk00000003/sig00000a96 ), + .Q(\blk00000003/blk0000034a/sig00001279 ), + .Q15(\NLW_blk00000003/blk0000034a/blk0000035c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001288 ), + .Q(\blk00000003/sig00000928 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000035a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001287 ), + .Q(\blk00000003/sig00000929 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000359 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001286 ), + .Q(\blk00000003/sig0000092a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000358 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001285 ), + .Q(\blk00000003/sig0000092b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000357 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001284 ), + .Q(\blk00000003/sig0000092c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000356 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001283 ), + .Q(\blk00000003/sig0000092d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000355 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001282 ), + .Q(\blk00000003/sig0000092e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000354 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001281 ), + .Q(\blk00000003/sig0000092f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000353 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001280 ), + .Q(\blk00000003/sig00000930 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000352 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127f ), + .Q(\blk00000003/sig00000931 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000351 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127e ), + .Q(\blk00000003/sig00000932 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk00000350 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127d ), + .Q(\blk00000003/sig00000933 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127c ), + .Q(\blk00000003/sig00000934 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127b ), + .Q(\blk00000003/sig00000935 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig0000127a ), + .Q(\blk00000003/sig00000936 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a/blk0000034c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000034a/sig00001279 ), + .Q(\blk00000003/sig00000937 ) + ); + GND \blk00000003/blk0000034a/blk0000034b ( + .G(\blk00000003/blk0000034a/sig00001278 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000036d/blk0000038f ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000036d/sig000012bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041e ), + .Q(\blk00000003/blk0000036d/sig000012be ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000041f ), + .Q(\blk00000003/blk0000036d/sig000012bd ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000420 ), + .Q(\blk00000003/blk0000036d/sig000012bc ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000421 ), + .Q(\blk00000003/blk0000036d/sig000012bb ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000038a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000422 ), + .Q(\blk00000003/blk0000036d/sig000012ba ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000038a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000389 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000423 ), + .Q(\blk00000003/blk0000036d/sig000012b9 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000389_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000388 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000424 ), + .Q(\blk00000003/blk0000036d/sig000012b8 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000388_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000387 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000425 ), + .Q(\blk00000003/blk0000036d/sig000012b7 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000387_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000386 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000426 ), + .Q(\blk00000003/blk0000036d/sig000012b6 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000386_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000385 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000427 ), + .Q(\blk00000003/blk0000036d/sig000012b5 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000385_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000384 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000428 ), + .Q(\blk00000003/blk0000036d/sig000012b4 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000384_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000383 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig00000429 ), + .Q(\blk00000003/blk0000036d/sig000012b3 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000383_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000382 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042a ), + .Q(\blk00000003/blk0000036d/sig000012b2 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000382_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000381 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042b ), + .Q(\blk00000003/blk0000036d/sig000012b1 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000381_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk00000380 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042c ), + .Q(\blk00000003/blk0000036d/sig000012b0 ), + .Q15(\NLW_blk00000003/blk0000036d/blk00000380_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000036d/blk0000037f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000036d/sig000012ae ), + .A2(\blk00000003/blk0000036d/sig000012ae ), + .A3(\blk00000003/blk0000036d/sig000012ae ), + .CE(\blk00000003/blk0000036d/sig000012bf ), + .CLK(clk), + .D(\blk00000003/sig0000042d ), + .Q(\blk00000003/blk0000036d/sig000012af ), + .Q15(\NLW_blk00000003/blk0000036d/blk0000037f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012be ), + .Q(\blk00000003/sig000007d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bd ), + .Q(\blk00000003/sig000007d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bc ), + .Q(\blk00000003/sig000007da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012bb ), + .Q(\blk00000003/sig000007db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000037a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012ba ), + .Q(\blk00000003/sig000007dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000379 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b9 ), + .Q(\blk00000003/sig000007dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000378 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b8 ), + .Q(\blk00000003/sig000007de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000377 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b7 ), + .Q(\blk00000003/sig000007df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000376 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b6 ), + .Q(\blk00000003/sig000007e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000375 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b5 ), + .Q(\blk00000003/sig000007e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000374 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b4 ), + .Q(\blk00000003/sig000007e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000373 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b3 ), + .Q(\blk00000003/sig000007e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000372 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b2 ), + .Q(\blk00000003/sig000007e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000371 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b1 ), + .Q(\blk00000003/sig000007e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk00000370 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012b0 ), + .Q(\blk00000003/sig000007e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d/blk0000036f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000036d/sig000012af ), + .Q(\blk00000003/sig000007e7 ) + ); + GND \blk00000003/blk0000036d/blk0000036e ( + .G(\blk00000003/blk0000036d/sig000012ae ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000390/blk000003b2 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000390/sig000012f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a97 ), + .Q(\blk00000003/blk00000390/sig000012f4 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003b0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a98 ), + .Q(\blk00000003/blk00000390/sig000012f3 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003af ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a99 ), + .Q(\blk00000003/blk00000390/sig000012f2 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ae ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9a ), + .Q(\blk00000003/blk00000390/sig000012f1 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ad ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9b ), + .Q(\blk00000003/blk00000390/sig000012f0 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ac ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9c ), + .Q(\blk00000003/blk00000390/sig000012ef ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003ab ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9d ), + .Q(\blk00000003/blk00000390/sig000012ee ), + .Q15(\NLW_blk00000003/blk00000390/blk000003ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003aa ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9e ), + .Q(\blk00000003/blk00000390/sig000012ed ), + .Q15(\NLW_blk00000003/blk00000390/blk000003aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000a9f ), + .Q(\blk00000003/blk00000390/sig000012ec ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa0 ), + .Q(\blk00000003/blk00000390/sig000012eb ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa1 ), + .Q(\blk00000003/blk00000390/sig000012ea ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa2 ), + .Q(\blk00000003/blk00000390/sig000012e9 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa3 ), + .Q(\blk00000003/blk00000390/sig000012e8 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa4 ), + .Q(\blk00000003/blk00000390/sig000012e7 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa5 ), + .Q(\blk00000003/blk00000390/sig000012e6 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000390/blk000003a2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000390/sig000012e4 ), + .A2(\blk00000003/blk00000390/sig000012e4 ), + .A3(\blk00000003/blk00000390/sig000012e4 ), + .CE(\blk00000003/blk00000390/sig000012f5 ), + .CLK(clk), + .D(\blk00000003/sig00000aa6 ), + .Q(\blk00000003/blk00000390/sig000012e5 ), + .Q15(\NLW_blk00000003/blk00000390/blk000003a2_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f4 ), + .Q(\blk00000003/sig000007c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk000003a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f3 ), + .Q(\blk00000003/sig000007c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f2 ), + .Q(\blk00000003/sig000007ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f1 ), + .Q(\blk00000003/sig000007cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012f0 ), + .Q(\blk00000003/sig000007cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ef ), + .Q(\blk00000003/sig000007cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ee ), + .Q(\blk00000003/sig000007ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk0000039a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ed ), + .Q(\blk00000003/sig000007cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ec ), + .Q(\blk00000003/sig000007d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012eb ), + .Q(\blk00000003/sig000007d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012ea ), + .Q(\blk00000003/sig000007d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e9 ), + .Q(\blk00000003/sig000007d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e8 ), + .Q(\blk00000003/sig000007d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e7 ), + .Q(\blk00000003/sig000007d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e6 ), + .Q(\blk00000003/sig000007d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000390/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000390/sig000012e5 ), + .Q(\blk00000003/sig000007d7 ) + ); + GND \blk00000003/blk00000390/blk00000391 ( + .G(\blk00000003/blk00000390/sig000012e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003b3/blk000003d5 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000003b3/sig0000132b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d4 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046e ), + .Q(\blk00000003/blk000003b3/sig0000132a ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d3 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000046f ), + .Q(\blk00000003/blk000003b3/sig00001329 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d2 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000470 ), + .Q(\blk00000003/blk000003b3/sig00001328 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d1 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000471 ), + .Q(\blk00000003/blk000003b3/sig00001327 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003d0 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000472 ), + .Q(\blk00000003/blk000003b3/sig00001326 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cf ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000473 ), + .Q(\blk00000003/blk000003b3/sig00001325 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ce ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000474 ), + .Q(\blk00000003/blk000003b3/sig00001324 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cd ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000475 ), + .Q(\blk00000003/blk000003b3/sig00001323 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cc ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000476 ), + .Q(\blk00000003/blk000003b3/sig00001322 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003cb ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000477 ), + .Q(\blk00000003/blk000003b3/sig00001321 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003ca ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000478 ), + .Q(\blk00000003/blk000003b3/sig00001320 ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c9 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig00000479 ), + .Q(\blk00000003/blk000003b3/sig0000131f ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c8 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047a ), + .Q(\blk00000003/blk000003b3/sig0000131e ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c7 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047b ), + .Q(\blk00000003/blk000003b3/sig0000131d ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c6 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047c ), + .Q(\blk00000003/blk000003b3/sig0000131c ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003b3/blk000003c5 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000003b3/sig0000131a ), + .A2(\blk00000003/blk000003b3/sig0000131a ), + .A3(\blk00000003/blk000003b3/sig0000131a ), + .CE(\blk00000003/blk000003b3/sig0000132b ), + .CLK(clk), + .D(\blk00000003/sig0000047d ), + .Q(\blk00000003/blk000003b3/sig0000131b ), + .Q15(\NLW_blk00000003/blk000003b3/blk000003c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000132a ), + .Q(\blk00000003/sig000008e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001329 ), + .Q(\blk00000003/sig000008e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001328 ), + .Q(\blk00000003/sig000008ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001327 ), + .Q(\blk00000003/sig000008eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001326 ), + .Q(\blk00000003/sig000008ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001325 ), + .Q(\blk00000003/sig000008ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001324 ), + .Q(\blk00000003/sig000008ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001323 ), + .Q(\blk00000003/sig000008ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001322 ), + .Q(\blk00000003/sig000008f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001321 ), + .Q(\blk00000003/sig000008f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig00001320 ), + .Q(\blk00000003/sig000008f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131f ), + .Q(\blk00000003/sig000008f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131e ), + .Q(\blk00000003/sig000008f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131d ), + .Q(\blk00000003/sig000008f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131c ), + .Q(\blk00000003/sig000008f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003b3/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003b3/sig0000131b ), + .Q(\blk00000003/sig000008f7 ) + ); + GND \blk00000003/blk000003b3/blk000003b4 ( + .G(\blk00000003/blk000003b3/sig0000131a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d6/blk000003f8 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk000003d6/sig00001361 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f7 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa7 ), + .Q(\blk00000003/blk000003d6/sig00001360 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f6 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa8 ), + .Q(\blk00000003/blk000003d6/sig0000135f ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f5 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aa9 ), + .Q(\blk00000003/blk000003d6/sig0000135e ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f4 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaa ), + .Q(\blk00000003/blk000003d6/sig0000135d ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f3 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aab ), + .Q(\blk00000003/blk000003d6/sig0000135c ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f2 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aac ), + .Q(\blk00000003/blk000003d6/sig0000135b ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f1 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aad ), + .Q(\blk00000003/blk000003d6/sig0000135a ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003f0 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aae ), + .Q(\blk00000003/blk000003d6/sig00001359 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003f0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ef ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000aaf ), + .Q(\blk00000003/blk000003d6/sig00001358 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ef_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ee ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab0 ), + .Q(\blk00000003/blk000003d6/sig00001357 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ee_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ed ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab1 ), + .Q(\blk00000003/blk000003d6/sig00001356 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ec ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab2 ), + .Q(\blk00000003/blk000003d6/sig00001355 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003eb ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab3 ), + .Q(\blk00000003/blk000003d6/sig00001354 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003ea ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab4 ), + .Q(\blk00000003/blk000003d6/sig00001353 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e9 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab5 ), + .Q(\blk00000003/blk000003d6/sig00001352 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d6/blk000003e8 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk000003d6/sig00001350 ), + .A2(\blk00000003/blk000003d6/sig00001350 ), + .A3(\blk00000003/blk000003d6/sig00001350 ), + .CE(\blk00000003/blk000003d6/sig00001361 ), + .CLK(clk), + .D(\blk00000003/sig00000ab6 ), + .Q(\blk00000003/blk000003d6/sig00001351 ), + .Q15(\NLW_blk00000003/blk000003d6/blk000003e8_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001360 ), + .Q(\blk00000003/sig000008d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135f ), + .Q(\blk00000003/sig000008d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135e ), + .Q(\blk00000003/sig000008da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135d ), + .Q(\blk00000003/sig000008db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135c ), + .Q(\blk00000003/sig000008dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135b ), + .Q(\blk00000003/sig000008dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig0000135a ), + .Q(\blk00000003/sig000008de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001359 ), + .Q(\blk00000003/sig000008df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001358 ), + .Q(\blk00000003/sig000008e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001357 ), + .Q(\blk00000003/sig000008e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001356 ), + .Q(\blk00000003/sig000008e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001355 ), + .Q(\blk00000003/sig000008e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001354 ), + .Q(\blk00000003/sig000008e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001353 ), + .Q(\blk00000003/sig000008e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001352 ), + .Q(\blk00000003/sig000008e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d6/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d6/sig00001351 ), + .Q(\blk00000003/sig000008e7 ) + ); + GND \blk00000003/blk000003d6/blk000003d7 ( + .G(\blk00000003/blk000003d6/sig00001350 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000041b ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00001397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000041a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab7 ), + .Q(\blk00000003/blk000003f9/sig00001396 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000419 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab8 ), + .Q(\blk00000003/blk000003f9/sig00001395 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000418 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ab9 ), + .Q(\blk00000003/blk000003f9/sig00001394 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000417 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000aba ), + .Q(\blk00000003/blk000003f9/sig00001393 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000416 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abb ), + .Q(\blk00000003/blk000003f9/sig00001392 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000416_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000415 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abc ), + .Q(\blk00000003/blk000003f9/sig00001391 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000415_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000414 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abd ), + .Q(\blk00000003/blk000003f9/sig00001390 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000414_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000413 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abe ), + .Q(\blk00000003/blk000003f9/sig0000138f ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000413_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000412 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000abf ), + .Q(\blk00000003/blk000003f9/sig0000138e ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000412_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000411 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac0 ), + .Q(\blk00000003/blk000003f9/sig0000138d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000411_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000410 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac1 ), + .Q(\blk00000003/blk000003f9/sig0000138c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000410_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac2 ), + .Q(\blk00000003/blk000003f9/sig0000138b ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac3 ), + .Q(\blk00000003/blk000003f9/sig0000138a ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac4 ), + .Q(\blk00000003/blk000003f9/sig00001389 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac5 ), + .Q(\blk00000003/blk000003f9/sig00001388 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk000003f9/sig00001386 ), + .A2(\blk00000003/blk000003f9/sig00001386 ), + .A3(\blk00000003/blk000003f9/sig00001386 ), + .CE(\blk00000003/blk000003f9/sig00001397 ), + .CLK(clk), + .D(\blk00000003/sig00000ac6 ), + .Q(\blk00000003/blk000003f9/sig00001387 ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk0000040a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001396 ), + .Q(\blk00000003/sig0000041e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000409 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001395 ), + .Q(\blk00000003/sig0000041f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000408 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001394 ), + .Q(\blk00000003/sig00000420 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000407 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001393 ), + .Q(\blk00000003/sig00000421 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000406 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001392 ), + .Q(\blk00000003/sig00000422 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000405 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001391 ), + .Q(\blk00000003/sig00000423 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000404 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001390 ), + .Q(\blk00000003/sig00000424 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000403 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138f ), + .Q(\blk00000003/sig00000425 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138e ), + .Q(\blk00000003/sig00000426 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138d ), + .Q(\blk00000003/sig00000427 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138c ), + .Q(\blk00000003/sig00000428 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138b ), + .Q(\blk00000003/sig00000429 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig0000138a ), + .Q(\blk00000003/sig0000042a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001389 ), + .Q(\blk00000003/sig0000042b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001388 ), + .Q(\blk00000003/sig0000042c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00001387 ), + .Q(\blk00000003/sig0000042d ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00001386 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041c/blk0000043e ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000041c/sig000013cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac7 ), + .Q(\blk00000003/blk0000041c/sig000013cc ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac8 ), + .Q(\blk00000003/blk0000041c/sig000013cb ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ac9 ), + .Q(\blk00000003/blk0000041c/sig000013ca ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000043a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000aca ), + .Q(\blk00000003/blk0000041c/sig000013c9 ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000043a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000439 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acb ), + .Q(\blk00000003/blk0000041c/sig000013c8 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000439_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000438 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acc ), + .Q(\blk00000003/blk0000041c/sig000013c7 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000438_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000437 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acd ), + .Q(\blk00000003/blk0000041c/sig000013c6 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000437_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000436 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ace ), + .Q(\blk00000003/blk0000041c/sig000013c5 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000436_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000435 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000acf ), + .Q(\blk00000003/blk0000041c/sig000013c4 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000435_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000434 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad0 ), + .Q(\blk00000003/blk0000041c/sig000013c3 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000434_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000433 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad1 ), + .Q(\blk00000003/blk0000041c/sig000013c2 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000433_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000432 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad2 ), + .Q(\blk00000003/blk0000041c/sig000013c1 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000432_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000431 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad3 ), + .Q(\blk00000003/blk0000041c/sig000013c0 ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000431_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk00000430 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad4 ), + .Q(\blk00000003/blk0000041c/sig000013bf ), + .Q15(\NLW_blk00000003/blk0000041c/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad5 ), + .Q(\blk00000003/blk0000041c/sig000013be ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041c/blk0000042e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000041c/sig000013bc ), + .A2(\blk00000003/blk0000041c/sig000013bc ), + .A3(\blk00000003/blk0000041c/sig000013bc ), + .CE(\blk00000003/blk0000041c/sig000013cd ), + .CLK(clk), + .D(\blk00000003/sig00000ad6 ), + .Q(\blk00000003/blk0000041c/sig000013bd ), + .Q15(\NLW_blk00000003/blk0000041c/blk0000042e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cc ), + .Q(\blk00000003/sig0000040e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013cb ), + .Q(\blk00000003/sig0000040f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013ca ), + .Q(\blk00000003/sig00000410 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000042a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c9 ), + .Q(\blk00000003/sig00000411 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000429 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c8 ), + .Q(\blk00000003/sig00000412 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c7 ), + .Q(\blk00000003/sig00000413 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c6 ), + .Q(\blk00000003/sig00000414 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c5 ), + .Q(\blk00000003/sig00000415 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c4 ), + .Q(\blk00000003/sig00000416 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c3 ), + .Q(\blk00000003/sig00000417 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c2 ), + .Q(\blk00000003/sig00000418 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c1 ), + .Q(\blk00000003/sig00000419 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013c0 ), + .Q(\blk00000003/sig0000041a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk00000420 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bf ), + .Q(\blk00000003/sig0000041b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013be ), + .Q(\blk00000003/sig0000041c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041c/blk0000041e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041c/sig000013bd ), + .Q(\blk00000003/sig0000041d ) + ); + GND \blk00000003/blk0000041c/blk0000041d ( + .G(\blk00000003/blk0000041c/sig000013bc ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000043f/blk00000461 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000043f/sig00001403 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000460 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad7 ), + .Q(\blk00000003/blk0000043f/sig00001402 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000460_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad8 ), + .Q(\blk00000003/blk0000043f/sig00001401 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045e ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ad9 ), + .Q(\blk00000003/blk0000043f/sig00001400 ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045d ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ada ), + .Q(\blk00000003/blk0000043f/sig000013ff ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adb ), + .Q(\blk00000003/blk0000043f/sig000013fe ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adc ), + .Q(\blk00000003/blk0000043f/sig000013fd ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk0000045a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000add ), + .Q(\blk00000003/blk0000043f/sig000013fc ), + .Q15(\NLW_blk00000003/blk0000043f/blk0000045a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000459 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ade ), + .Q(\blk00000003/blk0000043f/sig000013fb ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000459_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000458 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000adf ), + .Q(\blk00000003/blk0000043f/sig000013fa ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000458_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000457 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae0 ), + .Q(\blk00000003/blk0000043f/sig000013f9 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000457_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000456 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae1 ), + .Q(\blk00000003/blk0000043f/sig000013f8 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000455 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae2 ), + .Q(\blk00000003/blk0000043f/sig000013f7 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000454 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae3 ), + .Q(\blk00000003/blk0000043f/sig000013f6 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000453 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae4 ), + .Q(\blk00000003/blk0000043f/sig000013f5 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000452 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae5 ), + .Q(\blk00000003/blk0000043f/sig000013f4 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000043f/blk00000451 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000043f/sig000013f2 ), + .A2(\blk00000003/blk0000043f/sig000013f2 ), + .A3(\blk00000003/blk0000043f/sig000013f2 ), + .CE(\blk00000003/blk0000043f/sig00001403 ), + .CLK(clk), + .D(\blk00000003/sig00000ae6 ), + .Q(\blk00000003/blk0000043f/sig000013f3 ), + .Q15(\NLW_blk00000003/blk0000043f/blk00000451_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000450 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001402 ), + .Q(\blk00000003/sig0000046e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001401 ), + .Q(\blk00000003/sig0000046f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig00001400 ), + .Q(\blk00000003/sig00000470 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013ff ), + .Q(\blk00000003/sig00000471 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fe ), + .Q(\blk00000003/sig00000472 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fd ), + .Q(\blk00000003/sig00000473 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fc ), + .Q(\blk00000003/sig00000474 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fb ), + .Q(\blk00000003/sig00000475 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013fa ), + .Q(\blk00000003/sig00000476 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f9 ), + .Q(\blk00000003/sig00000477 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000446 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f8 ), + .Q(\blk00000003/sig00000478 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000445 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f7 ), + .Q(\blk00000003/sig00000479 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000444 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f6 ), + .Q(\blk00000003/sig0000047a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000443 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f5 ), + .Q(\blk00000003/sig0000047b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000442 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f4 ), + .Q(\blk00000003/sig0000047c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000043f/blk00000441 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000043f/sig000013f3 ), + .Q(\blk00000003/sig0000047d ) + ); + GND \blk00000003/blk0000043f/blk00000440 ( + .G(\blk00000003/blk0000043f/sig000013f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000462/blk00000484 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000462/sig00001439 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000483 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae7 ), + .Q(\blk00000003/blk00000462/sig00001438 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000483_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000482 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae8 ), + .Q(\blk00000003/blk00000462/sig00001437 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000482_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000481 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000ae9 ), + .Q(\blk00000003/blk00000462/sig00001436 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000481_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000480 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aea ), + .Q(\blk00000003/blk00000462/sig00001435 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000480_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aeb ), + .Q(\blk00000003/blk00000462/sig00001434 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aec ), + .Q(\blk00000003/blk00000462/sig00001433 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aed ), + .Q(\blk00000003/blk00000462/sig00001432 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aee ), + .Q(\blk00000003/blk00000462/sig00001431 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000aef ), + .Q(\blk00000003/blk00000462/sig00001430 ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk0000047a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af0 ), + .Q(\blk00000003/blk00000462/sig0000142f ), + .Q15(\NLW_blk00000003/blk00000462/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000479 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af1 ), + .Q(\blk00000003/blk00000462/sig0000142e ), + .Q15(\NLW_blk00000003/blk00000462/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000478 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af2 ), + .Q(\blk00000003/blk00000462/sig0000142d ), + .Q15(\NLW_blk00000003/blk00000462/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000477 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af3 ), + .Q(\blk00000003/blk00000462/sig0000142c ), + .Q15(\NLW_blk00000003/blk00000462/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000476 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af4 ), + .Q(\blk00000003/blk00000462/sig0000142b ), + .Q15(\NLW_blk00000003/blk00000462/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000475 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af5 ), + .Q(\blk00000003/blk00000462/sig0000142a ), + .Q15(\NLW_blk00000003/blk00000462/blk00000475_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000462/blk00000474 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000462/sig00001428 ), + .A2(\blk00000003/blk00000462/sig00001428 ), + .A3(\blk00000003/blk00000462/sig00001428 ), + .CE(\blk00000003/blk00000462/sig00001439 ), + .CLK(clk), + .D(\blk00000003/sig00000af6 ), + .Q(\blk00000003/blk00000462/sig00001429 ), + .Q15(\NLW_blk00000003/blk00000462/blk00000474_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001438 ), + .Q(\blk00000003/sig0000045e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001437 ), + .Q(\blk00000003/sig0000045f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001436 ), + .Q(\blk00000003/sig00000460 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001435 ), + .Q(\blk00000003/sig00000461 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001434 ), + .Q(\blk00000003/sig00000462 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001433 ), + .Q(\blk00000003/sig00000463 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001432 ), + .Q(\blk00000003/sig00000464 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001431 ), + .Q(\blk00000003/sig00000465 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001430 ), + .Q(\blk00000003/sig00000466 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk0000046a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142f ), + .Q(\blk00000003/sig00000467 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000469 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142e ), + .Q(\blk00000003/sig00000468 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000468 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142d ), + .Q(\blk00000003/sig00000469 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000467 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142c ), + .Q(\blk00000003/sig0000046a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000466 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142b ), + .Q(\blk00000003/sig0000046b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000465 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig0000142a ), + .Q(\blk00000003/sig0000046c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000462/blk00000464 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000462/sig00001429 ), + .Q(\blk00000003/sig0000046d ) + ); + GND \blk00000003/blk00000462/blk00000463 ( + .G(\blk00000003/blk00000462/sig00001428 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000485/blk000004bd ( + .I0(\blk00000003/sig0000030c ), + .I1(ce), + .O(\blk00000003/blk00000485/sig0000149c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bc ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001489 ), + .DPO(\blk00000003/blk00000485/sig0000149b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004bb ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001488 ), + .DPO(\blk00000003/blk00000485/sig0000149a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004ba ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001487 ), + .DPO(\blk00000003/blk00000485/sig00001499 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b9 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001486 ), + .DPO(\blk00000003/blk00000485/sig00001498 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b8 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001485 ), + .DPO(\blk00000003/blk00000485/sig00001497 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b7 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001484 ), + .DPO(\blk00000003/blk00000485/sig00001496 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b6 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001482 ), + .DPO(\blk00000003/blk00000485/sig00001494 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b5 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001481 ), + .DPO(\blk00000003/blk00000485/sig00001493 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b4 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001483 ), + .DPO(\blk00000003/blk00000485/sig00001495 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000485/blk000004b3 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001480 ), + .DPO(\blk00000003/blk00000485/sig00001492 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b2 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147f ), + .DPO(\blk00000003/blk00000485/sig00001491 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004b1 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147e ), + .DPO(\blk00000003/blk00000485/sig00001490 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004b0 ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147d ), + .DPO(\blk00000003/blk00000485/sig0000148f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004af ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147c ), + .DPO(\blk00000003/blk00000485/sig0000148e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ae ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147b ), + .DPO(\blk00000003/blk00000485/sig0000148d ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000485/blk000004ad ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001479 ), + .DPO(\blk00000003/blk00000485/sig0000148b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ac ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig00001478 ), + .DPO(\blk00000003/blk00000485/sig0000148a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000485/blk000004ab ( + .A0(\blk00000003/sig00000334 ), + .A1(\blk00000003/sig00000337 ), + .A2(\blk00000003/blk00000485/sig00001477 ), + .A3(\blk00000003/blk00000485/sig00001477 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000375 ), + .DPRA1(\blk00000003/sig0000037b ), + .DPRA2(\blk00000003/blk00000485/sig00001477 ), + .DPRA3(\blk00000003/blk00000485/sig00001477 ), + .WCLK(clk), + .WE(\blk00000003/blk00000485/sig0000149c ), + .SPO(\blk00000003/blk00000485/sig0000147a ), + .DPO(\blk00000003/blk00000485/sig0000148c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149b ), + .Q(\blk00000003/sig000003bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000149a ), + .Q(\blk00000003/sig000003bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001499 ), + .Q(\blk00000003/sig000003be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001498 ), + .Q(\blk00000003/sig000003bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001497 ), + .Q(\blk00000003/sig000003c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001496 ), + .Q(\blk00000003/sig000003c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001495 ), + .Q(\blk00000003/sig000003c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001494 ), + .Q(\blk00000003/sig000003c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001493 ), + .Q(\blk00000003/sig000003c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001492 ), + .Q(\blk00000003/sig000003c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk000004a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001491 ), + .Q(\blk00000003/sig000003c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001490 ), + .Q(\blk00000003/sig000003c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148f ), + .Q(\blk00000003/sig000003c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148e ), + .Q(\blk00000003/sig000003c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148d ), + .Q(\blk00000003/sig000003ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148c ), + .Q(\blk00000003/sig000003cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000049a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148b ), + .Q(\blk00000003/sig000003cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000499 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000148a ), + .Q(\blk00000003/sig000003cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000498 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001489 ), + .Q(\blk00000003/sig00000b09 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000497 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001488 ), + .Q(\blk00000003/sig00000b0a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000496 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001487 ), + .Q(\blk00000003/sig00000b0b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000495 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001486 ), + .Q(\blk00000003/sig00000b0c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000494 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001485 ), + .Q(\blk00000003/sig00000b0d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000493 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001484 ), + .Q(\blk00000003/sig00000b0e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000492 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001483 ), + .Q(\blk00000003/sig00000b0f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000491 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001482 ), + .Q(\blk00000003/sig00000b10 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000490 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001481 ), + .Q(\blk00000003/sig00000b11 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001480 ), + .Q(\blk00000003/sig00000b12 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147f ), + .Q(\blk00000003/sig00000b13 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147e ), + .Q(\blk00000003/sig00000b14 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147d ), + .Q(\blk00000003/sig00000b15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147c ), + .Q(\blk00000003/sig00000b16 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk0000048a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147b ), + .Q(\blk00000003/sig00000b17 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000489 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig0000147a ), + .Q(\blk00000003/sig00000b18 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000488 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001479 ), + .Q(\blk00000003/sig00000b19 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000485/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000485/sig00001478 ), + .Q(\blk00000003/sig00000b1a ) + ); + GND \blk00000003/blk00000485/blk00000486 ( + .G(\blk00000003/blk00000485/sig00001477 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004be/blk000004f6 ( + .I0(\blk00000003/sig000009f2 ), + .I1(ce), + .O(\blk00000003/blk000004be/sig000014ff ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b09 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ec ), + .DPO(\blk00000003/blk000004be/sig000014fe ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014eb ), + .DPO(\blk00000003/blk000004be/sig000014fd ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f3 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0b ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014ea ), + .DPO(\blk00000003/blk000004be/sig000014fc ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f2 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0c ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e9 ), + .DPO(\blk00000003/blk000004be/sig000014fb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f1 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0d ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e8 ), + .DPO(\blk00000003/blk000004be/sig000014fa ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004f0 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0e ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e7 ), + .DPO(\blk00000003/blk000004be/sig000014f9 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ef ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b10 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e5 ), + .DPO(\blk00000003/blk000004be/sig000014f7 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ee ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b11 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e4 ), + .DPO(\blk00000003/blk000004be/sig000014f6 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004ed ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b0f ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e6 ), + .DPO(\blk00000003/blk000004be/sig000014f8 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004ec ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b12 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e3 ), + .DPO(\blk00000003/blk000004be/sig000014f5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004eb ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b13 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e2 ), + .DPO(\blk00000003/blk000004be/sig000014f4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004be/blk000004ea ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b14 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e1 ), + .DPO(\blk00000003/blk000004be/sig000014f3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004be/blk000004e9 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b15 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014e0 ), + .DPO(\blk00000003/blk000004be/sig000014f2 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e8 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b16 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014df ), + .DPO(\blk00000003/blk000004be/sig000014f1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004be/blk000004e7 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b17 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014de ), + .DPO(\blk00000003/blk000004be/sig000014f0 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e6 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b19 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dc ), + .DPO(\blk00000003/blk000004be/sig000014ee ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e5 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b1a ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014db ), + .DPO(\blk00000003/blk000004be/sig000014ed ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004be/blk000004e4 ( + .A0(\blk00000003/sig000009e8 ), + .A1(\blk00000003/sig000009e9 ), + .A2(\blk00000003/blk000004be/sig000014da ), + .A3(\blk00000003/blk000004be/sig000014da ), + .D(\blk00000003/sig00000b18 ), + .DPRA0(\blk00000003/sig00000a12 ), + .DPRA1(\blk00000003/sig00000a11 ), + .DPRA2(\blk00000003/blk000004be/sig000014da ), + .DPRA3(\blk00000003/blk000004be/sig000014da ), + .WCLK(clk), + .WE(\blk00000003/blk000004be/sig000014ff ), + .SPO(\blk00000003/blk000004be/sig000014dd ), + .DPO(\blk00000003/blk000004be/sig000014ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fe ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fd ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fc ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fb ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014fa ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f9 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f8 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f7 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f6 ), + .Q(\blk00000003/sig00000588 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f5 ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f4 ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f3 ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f2 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f1 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014f0 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ef ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ee ), + .Q(\blk00000003/sig00000590 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ed ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ec ), + .Q(\blk00000003/sig00000b1b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014eb ), + .Q(\blk00000003/sig00000b1c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014ea ), + .Q(\blk00000003/sig00000b1d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e9 ), + .Q(\blk00000003/sig00000b1e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e8 ), + .Q(\blk00000003/sig00000b1f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e7 ), + .Q(\blk00000003/sig00000b20 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e6 ), + .Q(\blk00000003/sig00000b21 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e5 ), + .Q(\blk00000003/sig00000b22 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e4 ), + .Q(\blk00000003/sig00000b23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e3 ), + .Q(\blk00000003/sig00000b24 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e2 ), + .Q(\blk00000003/sig00000b25 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e1 ), + .Q(\blk00000003/sig00000b26 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014e0 ), + .Q(\blk00000003/sig00000b27 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014df ), + .Q(\blk00000003/sig00000b28 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014de ), + .Q(\blk00000003/sig00000b29 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dd ), + .Q(\blk00000003/sig00000b2a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014dc ), + .Q(\blk00000003/sig00000b2b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be/blk000004c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004be/sig000014db ), + .Q(\blk00000003/sig00000b2c ) + ); + GND \blk00000003/blk000004be/blk000004bf ( + .G(\blk00000003/blk000004be/sig000014da ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004f7/blk0000052f ( + .I0(\blk00000003/sig000009f3 ), + .I1(ce), + .O(\blk00000003/blk000004f7/sig00001562 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154f ), + .DPO(\blk00000003/blk000004f7/sig00001561 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154e ), + .DPO(\blk00000003/blk000004f7/sig00001560 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052c ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1d ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154d ), + .DPO(\blk00000003/blk000004f7/sig0000155f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052b ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1e ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154c ), + .DPO(\blk00000003/blk000004f7/sig0000155e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000052a ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b1f ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154b ), + .DPO(\blk00000003/blk000004f7/sig0000155d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000529 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b20 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000154a ), + .DPO(\blk00000003/blk000004f7/sig0000155c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000528 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b22 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001548 ), + .DPO(\blk00000003/blk000004f7/sig0000155a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000527 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b23 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001547 ), + .DPO(\blk00000003/blk000004f7/sig00001559 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000526 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b21 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001549 ), + .DPO(\blk00000003/blk000004f7/sig0000155b ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000525 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b24 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001546 ), + .DPO(\blk00000003/blk000004f7/sig00001558 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000524 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b25 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001545 ), + .DPO(\blk00000003/blk000004f7/sig00001557 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004f7/blk00000523 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b26 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001544 ), + .DPO(\blk00000003/blk000004f7/sig00001556 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000004f7/blk00000522 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b27 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001543 ), + .DPO(\blk00000003/blk000004f7/sig00001555 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk00000521 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b28 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001542 ), + .DPO(\blk00000003/blk000004f7/sig00001554 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk00000520 ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b29 ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001541 ), + .DPO(\blk00000003/blk000004f7/sig00001553 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051f ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2b ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153f ), + .DPO(\blk00000003/blk000004f7/sig00001551 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004f7/blk0000051e ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2c ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig0000153e ), + .DPO(\blk00000003/blk000004f7/sig00001550 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000004f7/blk0000051d ( + .A0(\blk00000003/sig000009ea ), + .A1(\blk00000003/sig000009eb ), + .A2(\blk00000003/blk000004f7/sig0000153d ), + .A3(\blk00000003/blk000004f7/sig0000153d ), + .D(\blk00000003/sig00000b2a ), + .DPRA0(\blk00000003/sig00000a0c ), + .DPRA1(\blk00000003/sig00000a0b ), + .DPRA2(\blk00000003/blk000004f7/sig0000153d ), + .DPRA3(\blk00000003/blk000004f7/sig0000153d ), + .WCLK(clk), + .WE(\blk00000003/blk000004f7/sig00001562 ), + .SPO(\blk00000003/blk000004f7/sig00001540 ), + .DPO(\blk00000003/blk000004f7/sig00001552 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001561 ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001560 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155f ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155e ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155d ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155c ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155b ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000155a ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001559 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001558 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001557 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000511 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001556 ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000510 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001555 ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001554 ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001553 ), + .Q(\blk00000003/sig000005e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001552 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001551 ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001550 ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk0000050a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154f ), + .Q(\blk00000003/sig00000b2d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000509 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154e ), + .Q(\blk00000003/sig00000b2e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000508 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154d ), + .Q(\blk00000003/sig00000b2f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000507 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154c ), + .Q(\blk00000003/sig00000b30 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000506 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154b ), + .Q(\blk00000003/sig00000b31 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000505 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000154a ), + .Q(\blk00000003/sig00000b32 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000504 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001549 ), + .Q(\blk00000003/sig00000b33 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000503 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001548 ), + .Q(\blk00000003/sig00000b34 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000502 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001547 ), + .Q(\blk00000003/sig00000b35 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000501 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001546 ), + .Q(\blk00000003/sig00000b36 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk00000500 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001545 ), + .Q(\blk00000003/sig00000b37 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001544 ), + .Q(\blk00000003/sig00000b38 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001543 ), + .Q(\blk00000003/sig00000b39 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001542 ), + .Q(\blk00000003/sig00000b3a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001541 ), + .Q(\blk00000003/sig00000b3b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig00001540 ), + .Q(\blk00000003/sig00000b3c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153f ), + .Q(\blk00000003/sig00000b3d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004f7/blk000004f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004f7/sig0000153e ), + .Q(\blk00000003/sig00000b3e ) + ); + GND \blk00000003/blk000004f7/blk000004f8 ( + .G(\blk00000003/blk000004f7/sig0000153d ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000530/blk00000568 ( + .I0(\blk00000003/sig000009f4 ), + .I1(ce), + .O(\blk00000003/blk00000530/sig000015c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000567 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b2 ), + .DPO(\blk00000003/blk00000530/sig000015c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000566 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b1 ), + .DPO(\blk00000003/blk00000530/sig000015c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000565 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b2f ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015b0 ), + .DPO(\blk00000003/blk00000530/sig000015c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000564 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b30 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015af ), + .DPO(\blk00000003/blk00000530/sig000015c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk00000563 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b31 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ae ), + .DPO(\blk00000003/blk00000530/sig000015c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000562 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b32 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ad ), + .DPO(\blk00000003/blk00000530/sig000015bf ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000561 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b34 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ab ), + .DPO(\blk00000003/blk00000530/sig000015bd ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000560 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b35 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015aa ), + .DPO(\blk00000003/blk00000530/sig000015bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055f ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b33 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015ac ), + .DPO(\blk00000003/blk00000530/sig000015be ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055e ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b36 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a9 ), + .DPO(\blk00000003/blk00000530/sig000015bb ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000530/blk0000055d ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b37 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a8 ), + .DPO(\blk00000003/blk00000530/sig000015ba ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk0000055c ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b38 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a7 ), + .DPO(\blk00000003/blk00000530/sig000015b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk0000055b ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b39 ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a6 ), + .DPO(\blk00000003/blk00000530/sig000015b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk0000055a ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3a ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a5 ), + .DPO(\blk00000003/blk00000530/sig000015b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000559 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3b ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a4 ), + .DPO(\blk00000003/blk00000530/sig000015b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000530/blk00000558 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3d ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a2 ), + .DPO(\blk00000003/blk00000530/sig000015b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000530/blk00000557 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3e ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a1 ), + .DPO(\blk00000003/blk00000530/sig000015b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000530/blk00000556 ( + .A0(\blk00000003/sig000009ec ), + .A1(\blk00000003/sig000009ed ), + .A2(\blk00000003/blk00000530/sig000015a0 ), + .A3(\blk00000003/blk00000530/sig000015a0 ), + .D(\blk00000003/sig00000b3c ), + .DPRA0(\blk00000003/sig00000a05 ), + .DPRA1(\blk00000003/sig00000a04 ), + .DPRA2(\blk00000003/blk00000530/sig000015a0 ), + .DPRA3(\blk00000003/blk00000530/sig000015a0 ), + .WCLK(clk), + .WE(\blk00000003/blk00000530/sig000015c5 ), + .SPO(\blk00000003/blk00000530/sig000015a3 ), + .DPO(\blk00000003/blk00000530/sig000015b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c4 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c3 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c2 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c1 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015c0 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bf ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015be ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bd ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bc ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015bb ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ba ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b9 ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b8 ), + .Q(\blk00000003/sig00000630 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b7 ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b6 ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b5 ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b4 ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b3 ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b2 ), + .Q(\blk00000003/sig00000b3f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b1 ), + .Q(\blk00000003/sig00000b40 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015b0 ), + .Q(\blk00000003/sig00000b41 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015af ), + .Q(\blk00000003/sig00000b42 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ae ), + .Q(\blk00000003/sig00000b43 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ad ), + .Q(\blk00000003/sig00000b44 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ac ), + .Q(\blk00000003/sig00000b45 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015ab ), + .Q(\blk00000003/sig00000b46 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015aa ), + .Q(\blk00000003/sig00000b47 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a9 ), + .Q(\blk00000003/sig00000b48 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a8 ), + .Q(\blk00000003/sig00000b49 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a7 ), + .Q(\blk00000003/sig00000b4a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a6 ), + .Q(\blk00000003/sig00000b4b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a5 ), + .Q(\blk00000003/sig00000b4c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a4 ), + .Q(\blk00000003/sig00000b4d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a3 ), + .Q(\blk00000003/sig00000b4e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a2 ), + .Q(\blk00000003/sig00000b4f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000530/sig000015a1 ), + .Q(\blk00000003/sig00000b50 ) + ); + GND \blk00000003/blk00000530/blk00000531 ( + .G(\blk00000003/blk00000530/sig000015a0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000569/blk000005a1 ( + .I0(\blk00000003/sig000009f5 ), + .I1(ce), + .O(\blk00000003/blk00000569/sig00001628 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk000005a0 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b3f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001615 ), + .DPO(\blk00000003/blk00000569/sig00001627 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b40 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001614 ), + .DPO(\blk00000003/blk00000569/sig00001626 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059e ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b41 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001613 ), + .DPO(\blk00000003/blk00000569/sig00001625 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059d ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b42 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001612 ), + .DPO(\blk00000003/blk00000569/sig00001624 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk0000059c ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b43 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001611 ), + .DPO(\blk00000003/blk00000569/sig00001623 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk0000059b ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b44 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001610 ), + .DPO(\blk00000003/blk00000569/sig00001622 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000059a ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b46 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160e ), + .DPO(\blk00000003/blk00000569/sig00001620 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000599 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b47 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160d ), + .DPO(\blk00000003/blk00000569/sig0000161f ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000598 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b45 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160f ), + .DPO(\blk00000003/blk00000569/sig00001621 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000597 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b48 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160c ), + .DPO(\blk00000003/blk00000569/sig0000161e ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000596 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b49 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160b ), + .DPO(\blk00000003/blk00000569/sig0000161d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000595 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4a ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig0000160a ), + .DPO(\blk00000003/blk00000569/sig0000161c ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000569/blk00000594 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4b ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001609 ), + .DPO(\blk00000003/blk00000569/sig0000161b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000593 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4c ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001608 ), + .DPO(\blk00000003/blk00000569/sig0000161a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk00000569/blk00000592 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4d ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001607 ), + .DPO(\blk00000003/blk00000569/sig00001619 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000569/blk00000591 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4f ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001605 ), + .DPO(\blk00000003/blk00000569/sig00001617 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk00000590 ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b50 ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001604 ), + .DPO(\blk00000003/blk00000569/sig00001616 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000569/blk0000058f ( + .A0(\blk00000003/sig000009ee ), + .A1(\blk00000003/sig000009ef ), + .A2(\blk00000003/blk00000569/sig00001603 ), + .A3(\blk00000003/blk00000569/sig00001603 ), + .D(\blk00000003/sig00000b4e ), + .DPRA0(\blk00000003/sig000009fd ), + .DPRA1(\blk00000003/sig000009fb ), + .DPRA2(\blk00000003/blk00000569/sig00001603 ), + .DPRA3(\blk00000003/blk00000569/sig00001603 ), + .WCLK(clk), + .WE(\blk00000003/blk00000569/sig00001628 ), + .SPO(\blk00000003/blk00000569/sig00001606 ), + .DPO(\blk00000003/blk00000569/sig00001618 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001627 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001626 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001625 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001624 ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000058a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001623 ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000589 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001622 ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000588 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001621 ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000587 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001620 ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000586 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161f ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000585 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161e ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000584 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161d ), + .Q(\blk00000003/sig00000650 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000583 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000582 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000581 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000161a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000580 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001619 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001618 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001617 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001616 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001615 ), + .Q(\blk00000003/sig00000b51 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001614 ), + .Q(\blk00000003/sig00000b52 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000057a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001613 ), + .Q(\blk00000003/sig00000b53 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000579 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001612 ), + .Q(\blk00000003/sig00000b54 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000578 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001611 ), + .Q(\blk00000003/sig00000b55 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000577 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001610 ), + .Q(\blk00000003/sig00000b56 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000576 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160f ), + .Q(\blk00000003/sig00000b57 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000575 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160e ), + .Q(\blk00000003/sig00000b58 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000574 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160d ), + .Q(\blk00000003/sig00000b59 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000573 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160c ), + .Q(\blk00000003/sig00000b5a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000572 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160b ), + .Q(\blk00000003/sig00000b5b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000571 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig0000160a ), + .Q(\blk00000003/sig00000b5c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001609 ), + .Q(\blk00000003/sig00000b5d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001608 ), + .Q(\blk00000003/sig00000b5e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001607 ), + .Q(\blk00000003/sig00000b5f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001606 ), + .Q(\blk00000003/sig00000b60 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001605 ), + .Q(\blk00000003/sig00000b61 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000569/sig00001604 ), + .Q(\blk00000003/sig00000b62 ) + ); + GND \blk00000003/blk00000569/blk0000056a ( + .G(\blk00000003/blk00000569/sig00001603 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a2/blk000005c8 ( + .I0(\blk00000003/sig000009f6 ), + .I1(ce), + .O(\blk00000003/blk000005a2/sig00001667 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b51 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001666 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005c6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b52 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001665 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c5 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b53 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001664 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c4 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b54 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001663 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c3 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b55 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001662 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005c2 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b56 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001661 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005c1 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b58 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165f ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005c0 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b59 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005c0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005bf ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b57 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bf_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001660 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005be ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5a ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005be_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165d ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bd ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5b ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165c ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000005a2/blk000005bc ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5c ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165b ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005bb ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5d ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005bb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig0000165a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005ba ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5e ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005ba_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001659 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000005a2/blk000005b9 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b5f ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001658 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b8 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b61 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001656 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk000005a2/blk000005b7 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b62 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001655 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005a2/blk000005b6 ( + .A0(\blk00000003/sig000009f0 ), + .A1(\blk00000003/sig000009f1 ), + .A2(\blk00000003/blk000005a2/sig00001654 ), + .A3(\blk00000003/blk000005a2/sig00001654 ), + .D(\blk00000003/sig00000b60 ), + .DPRA0(\blk00000003/sig000009fe ), + .DPRA1(\blk00000003/sig000009fc ), + .DPRA2(\blk00000003/blk000005a2/sig00001654 ), + .DPRA3(\blk00000003/blk000005a2/sig00001654 ), + .WCLK(clk), + .WE(\blk00000003/blk000005a2/sig00001667 ), + .SPO(\NLW_blk00000003/blk000005a2/blk000005b6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000005a2/sig00001657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001666 ), + .Q(\blk00000003/sig000004ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001665 ), + .Q(\blk00000003/sig000004ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001664 ), + .Q(\blk00000003/sig000004f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001663 ), + .Q(\blk00000003/sig000004f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001662 ), + .Q(\blk00000003/sig000004f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001661 ), + .Q(\blk00000003/sig000004f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001660 ), + .Q(\blk00000003/sig000004f4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165f ), + .Q(\blk00000003/sig000004f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165e ), + .Q(\blk00000003/sig000004f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165d ), + .Q(\blk00000003/sig000004f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165c ), + .Q(\blk00000003/sig000004f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165b ), + .Q(\blk00000003/sig000004f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig0000165a ), + .Q(\blk00000003/sig000004fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001659 ), + .Q(\blk00000003/sig000004fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001658 ), + .Q(\blk00000003/sig000004fc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001657 ), + .Q(\blk00000003/sig000004fd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001656 ), + .Q(\blk00000003/sig000004fe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005a2/blk000005a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005a2/sig00001655 ), + .Q(\blk00000003/sig000004ff ) + ); + GND \blk00000003/blk000005a2/blk000005a3 ( + .G(\blk00000003/blk000005a2/sig00001654 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005c9/blk000005db ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005c9/sig00001685 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005da ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063e ), + .Q(\blk00000003/blk000005c9/sig00001684 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig0000063f ), + .Q(\blk00000003/blk000005c9/sig00001683 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d8 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000640 ), + .Q(\blk00000003/blk000005c9/sig00001682 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d7 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk000005c9/sig00001681 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d6 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk000005c9/sig00001680 ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d5 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk000005c9/sig0000167f ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d4 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk000005c9/sig0000167e ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005c9/blk000005d3 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005c9/sig0000167c ), + .A2(\blk00000003/blk000005c9/sig0000167c ), + .A3(\blk00000003/blk000005c9/sig0000167c ), + .CE(\blk00000003/blk000005c9/sig00001685 ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk000005c9/sig0000167d ), + .Q15(\NLW_blk00000003/blk000005c9/blk000005d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001684 ), + .Q(\blk00000003/sig000004e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001683 ), + .Q(\blk00000003/sig000004e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001682 ), + .Q(\blk00000003/sig000004e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001681 ), + .Q(\blk00000003/sig000004e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig00001680 ), + .Q(\blk00000003/sig000004ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167f ), + .Q(\blk00000003/sig000004eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167e ), + .Q(\blk00000003/sig000004ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005c9/blk000005cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005c9/sig0000167d ), + .Q(\blk00000003/sig000004ed ) + ); + GND \blk00000003/blk000005c9/blk000005ca ( + .G(\blk00000003/blk000005c9/sig0000167c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005dc/blk000005ee ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk000005dc/sig000016a3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ed ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b63 ), + .Q(\blk00000003/blk000005dc/sig000016a2 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ed_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ec ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b64 ), + .Q(\blk00000003/blk000005dc/sig000016a1 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ec_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005eb ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b65 ), + .Q(\blk00000003/blk000005dc/sig000016a0 ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005eb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005ea ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b66 ), + .Q(\blk00000003/blk000005dc/sig0000169f ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005ea_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e9 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b67 ), + .Q(\blk00000003/blk000005dc/sig0000169e ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e8 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b68 ), + .Q(\blk00000003/blk000005dc/sig0000169d ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e7 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b69 ), + .Q(\blk00000003/blk000005dc/sig0000169c ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005dc/blk000005e6 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk000005dc/sig0000169a ), + .A2(\blk00000003/blk000005dc/sig0000169a ), + .A3(\blk00000003/blk000005dc/sig0000169a ), + .CE(\blk00000003/blk000005dc/sig000016a3 ), + .CLK(clk), + .D(\blk00000003/sig00000b6a ), + .Q(\blk00000003/blk000005dc/sig0000169b ), + .Q15(\NLW_blk00000003/blk000005dc/blk000005e6_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a2 ), + .Q(\blk00000003/sig000004ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a1 ), + .Q(\blk00000003/sig000004af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig000016a0 ), + .Q(\blk00000003/sig000004b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169f ), + .Q(\blk00000003/sig000004b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169e ), + .Q(\blk00000003/sig000004b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169d ), + .Q(\blk00000003/sig000004b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169c ), + .Q(\blk00000003/sig000004b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005dc/blk000005de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005dc/sig0000169b ), + .Q(\blk00000003/sig000004b5 ) + ); + GND \blk00000003/blk000005dc/blk000005dd ( + .G(\blk00000003/blk000005dc/sig0000169a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005ef/blk00000601 ( + .I0(\blk00000003/sig000009fa ), + .I1(ce), + .O(\blk00000003/blk000005ef/sig000016c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk00000600 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000720 ), + .Q(\blk00000003/blk000005ef/sig000016c0 ), + .Q15(\NLW_blk00000003/blk000005ef/blk00000600_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005ff ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000721 ), + .Q(\blk00000003/blk000005ef/sig000016bf ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fe ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000722 ), + .Q(\blk00000003/blk000005ef/sig000016be ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fd ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000723 ), + .Q(\blk00000003/blk000005ef/sig000016bd ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fc ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000724 ), + .Q(\blk00000003/blk000005ef/sig000016bc ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fb ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000725 ), + .Q(\blk00000003/blk000005ef/sig000016bb ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005fa ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000726 ), + .Q(\blk00000003/blk000005ef/sig000016ba ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000005ef/blk000005f9 ( + .A0(\blk00000003/sig00000a02 ), + .A1(\blk00000003/blk000005ef/sig000016b8 ), + .A2(\blk00000003/blk000005ef/sig000016b8 ), + .A3(\blk00000003/blk000005ef/sig000016b8 ), + .CE(\blk00000003/blk000005ef/sig000016c1 ), + .CLK(clk), + .D(\blk00000003/sig00000727 ), + .Q(\blk00000003/blk000005ef/sig000016b9 ), + .Q15(\NLW_blk00000003/blk000005ef/blk000005f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016c0 ), + .Q(\blk00000003/sig00000538 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bf ), + .Q(\blk00000003/sig00000539 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016be ), + .Q(\blk00000003/sig0000053a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bd ), + .Q(\blk00000003/sig0000053b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bc ), + .Q(\blk00000003/sig0000053c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016bb ), + .Q(\blk00000003/sig0000053d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016ba ), + .Q(\blk00000003/sig0000053e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000005ef/blk000005f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000005ef/sig000016b9 ), + .Q(\blk00000003/sig0000053f ) + ); + GND \blk00000003/blk000005ef/blk000005f0 ( + .G(\blk00000003/blk000005ef/sig000016b8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000602/blk00000614 ( + .I0(\blk00000003/sig000009f8 ), + .I1(ce), + .O(\blk00000003/blk00000602/sig000016df ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000613 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6b ), + .Q(\blk00000003/blk00000602/sig000016de ), + .Q15(\NLW_blk00000003/blk00000602/blk00000613_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000612 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6c ), + .Q(\blk00000003/blk00000602/sig000016dd ), + .Q15(\NLW_blk00000003/blk00000602/blk00000612_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000611 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6d ), + .Q(\blk00000003/blk00000602/sig000016dc ), + .Q15(\NLW_blk00000003/blk00000602/blk00000611_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk00000610 ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6e ), + .Q(\blk00000003/blk00000602/sig000016db ), + .Q15(\NLW_blk00000003/blk00000602/blk00000610_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060f ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b6f ), + .Q(\blk00000003/blk00000602/sig000016da ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060e ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b70 ), + .Q(\blk00000003/blk00000602/sig000016d9 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060d ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b71 ), + .Q(\blk00000003/blk00000602/sig000016d8 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000602/blk0000060c ( + .A0(\blk00000003/sig00000a00 ), + .A1(\blk00000003/blk00000602/sig000016d6 ), + .A2(\blk00000003/blk00000602/sig000016d6 ), + .A3(\blk00000003/blk00000602/sig000016d6 ), + .CE(\blk00000003/blk00000602/sig000016df ), + .CLK(clk), + .D(\blk00000003/sig00000b72 ), + .Q(\blk00000003/blk00000602/sig000016d7 ), + .Q15(\NLW_blk00000003/blk00000602/blk0000060c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016de ), + .Q(\blk00000003/sig00000500 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk0000060a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dd ), + .Q(\blk00000003/sig00000501 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000609 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016dc ), + .Q(\blk00000003/sig00000502 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000608 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016db ), + .Q(\blk00000003/sig00000503 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000607 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016da ), + .Q(\blk00000003/sig00000504 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000606 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d9 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000605 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d8 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000602/blk00000604 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000602/sig000016d7 ), + .Q(\blk00000003/sig00000507 ) + ); + GND \blk00000003/blk00000602/blk00000603 ( + .G(\blk00000003/blk00000602/sig000016d6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000615/blk00000627 ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk00000615/sig000016fd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000626 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ec ), + .Q(\blk00000003/blk00000615/sig000016fc ), + .Q15(\NLW_blk00000003/blk00000615/blk00000626_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000625 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ed ), + .Q(\blk00000003/blk00000615/sig000016fb ), + .Q15(\NLW_blk00000003/blk00000615/blk00000625_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000624 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ee ), + .Q(\blk00000003/blk00000615/sig000016fa ), + .Q15(\NLW_blk00000003/blk00000615/blk00000624_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000623 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005ef ), + .Q(\blk00000003/blk00000615/sig000016f9 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000623_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000622 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f0 ), + .Q(\blk00000003/blk00000615/sig000016f8 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000622_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000621 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f1 ), + .Q(\blk00000003/blk00000615/sig000016f7 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000621_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk00000620 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f2 ), + .Q(\blk00000003/blk00000615/sig000016f6 ), + .Q15(\NLW_blk00000003/blk00000615/blk00000620_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000615/blk0000061f ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk00000615/sig000016f4 ), + .A2(\blk00000003/blk00000615/sig000016f4 ), + .A3(\blk00000003/blk00000615/sig000016f4 ), + .CE(\blk00000003/blk00000615/sig000016fd ), + .CLK(clk), + .D(\blk00000003/sig000005f3 ), + .Q(\blk00000003/blk00000615/sig000016f5 ), + .Q15(\NLW_blk00000003/blk00000615/blk0000061f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fc ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fb ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016fa ), + .Q(\blk00000003/sig00000640 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f9 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk0000061a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f8 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000619 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f7 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000618 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f6 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000615/blk00000617 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000615/sig000016f5 ), + .Q(\blk00000003/sig00000645 ) + ); + GND \blk00000003/blk00000615/blk00000616 ( + .G(\blk00000003/blk00000615/sig000016f4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000628/blk0000063a ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk00000628/sig0000171b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000639 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b73 ), + .Q(\blk00000003/blk00000628/sig0000171a ), + .Q15(\NLW_blk00000003/blk00000628/blk00000639_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000638 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b74 ), + .Q(\blk00000003/blk00000628/sig00001719 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000638_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000637 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b75 ), + .Q(\blk00000003/blk00000628/sig00001718 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000637_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000636 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b76 ), + .Q(\blk00000003/blk00000628/sig00001717 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000636_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000635 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b77 ), + .Q(\blk00000003/blk00000628/sig00001716 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000635_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000634 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b78 ), + .Q(\blk00000003/blk00000628/sig00001715 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000634_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000633 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b79 ), + .Q(\blk00000003/blk00000628/sig00001714 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000633_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000628/blk00000632 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk00000628/sig00001712 ), + .A2(\blk00000003/blk00000628/sig00001712 ), + .A3(\blk00000003/blk00000628/sig00001712 ), + .CE(\blk00000003/blk00000628/sig0000171b ), + .CLK(clk), + .D(\blk00000003/sig00000b7a ), + .Q(\blk00000003/blk00000628/sig00001713 ), + .Q15(\NLW_blk00000003/blk00000628/blk00000632_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig0000171a ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001719 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001718 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001717 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001716 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001715 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001714 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000628/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000628/sig00001713 ), + .Q(\blk00000003/sig0000063d ) + ); + GND \blk00000003/blk00000628/blk00000629 ( + .G(\blk00000003/blk00000628/sig00001712 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000063b/blk0000064d ( + .I0(\blk00000003/sig000009f9 ), + .I1(ce), + .O(\blk00000003/blk0000063b/sig00001739 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064c ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000063b/sig00001738 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064b ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000063b/sig00001737 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk0000064a ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000063b/sig00001736 ), + .Q15(\NLW_blk00000003/blk0000063b/blk0000064a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000649 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000063b/sig00001735 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000649_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000648 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000063b/sig00001734 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000648_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000647 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000063b/sig00001733 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000647_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000646 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000063b/sig00001732 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000646_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000063b/blk00000645 ( + .A0(\blk00000003/sig00000a01 ), + .A1(\blk00000003/blk0000063b/sig00001730 ), + .A2(\blk00000003/blk0000063b/sig00001730 ), + .A3(\blk00000003/blk0000063b/sig00001730 ), + .CE(\blk00000003/blk0000063b/sig00001739 ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000063b/sig00001731 ), + .Q15(\NLW_blk00000003/blk0000063b/blk00000645_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000644 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001738 ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000643 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001737 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000642 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001736 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000641 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001735 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk00000640 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001734 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001733 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001732 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000063b/sig00001731 ), + .Q(\blk00000003/sig00000727 ) + ); + GND \blk00000003/blk0000063b/blk0000063c ( + .G(\blk00000003/blk0000063b/sig00001730 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000064e/blk00000660 ( + .I0(\blk00000003/sig000009f7 ), + .I1(ce), + .O(\blk00000003/blk0000064e/sig00001757 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065f ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7b ), + .Q(\blk00000003/blk0000064e/sig00001756 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065e ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7c ), + .Q(\blk00000003/blk0000064e/sig00001755 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065d ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7d ), + .Q(\blk00000003/blk0000064e/sig00001754 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065c ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7e ), + .Q(\blk00000003/blk0000064e/sig00001753 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065b ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b7f ), + .Q(\blk00000003/blk0000064e/sig00001752 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk0000065a ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b80 ), + .Q(\blk00000003/blk0000064e/sig00001751 ), + .Q15(\NLW_blk00000003/blk0000064e/blk0000065a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000659 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b81 ), + .Q(\blk00000003/blk0000064e/sig00001750 ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000659_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000064e/blk00000658 ( + .A0(\blk00000003/sig000009ff ), + .A1(\blk00000003/blk0000064e/sig0000174e ), + .A2(\blk00000003/blk0000064e/sig0000174e ), + .A3(\blk00000003/blk0000064e/sig0000174e ), + .CE(\blk00000003/blk0000064e/sig00001757 ), + .CLK(clk), + .D(\blk00000003/sig00000b82 ), + .Q(\blk00000003/blk0000064e/sig0000174f ), + .Q15(\NLW_blk00000003/blk0000064e/blk00000658_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000657 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001756 ), + .Q(\blk00000003/sig00000718 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000656 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001755 ), + .Q(\blk00000003/sig00000719 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000655 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001754 ), + .Q(\blk00000003/sig0000071a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000654 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001753 ), + .Q(\blk00000003/sig0000071b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000653 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001752 ), + .Q(\blk00000003/sig0000071c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000652 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001751 ), + .Q(\blk00000003/sig0000071d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000651 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig00001750 ), + .Q(\blk00000003/sig0000071e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064e/blk00000650 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000064e/sig0000174f ), + .Q(\blk00000003/sig0000071f ) + ); + GND \blk00000003/blk0000064e/blk0000064f ( + .G(\blk00000003/blk0000064e/sig0000174e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000661/blk00000673 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000661/sig00001775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000672 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059a ), + .Q(\blk00000003/blk00000661/sig00001774 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000672_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000671 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059b ), + .Q(\blk00000003/blk00000661/sig00001773 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000671_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk00000670 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059c ), + .Q(\blk00000003/blk00000661/sig00001772 ), + .Q15(\NLW_blk00000003/blk00000661/blk00000670_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066f ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059d ), + .Q(\blk00000003/blk00000661/sig00001771 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066e ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059e ), + .Q(\blk00000003/blk00000661/sig00001770 ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066d ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig0000059f ), + .Q(\blk00000003/blk00000661/sig0000176f ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066c ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a0 ), + .Q(\blk00000003/blk00000661/sig0000176e ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000661/blk0000066b ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000661/sig0000176c ), + .A2(\blk00000003/blk00000661/sig0000176c ), + .A3(\blk00000003/blk00000661/sig0000176c ), + .CE(\blk00000003/blk00000661/sig00001775 ), + .CLK(clk), + .D(\blk00000003/sig000005a1 ), + .Q(\blk00000003/blk00000661/sig0000176d ), + .Q15(\NLW_blk00000003/blk00000661/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001774 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000669 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001773 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001772 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000667 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001771 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig00001770 ), + .Q(\blk00000003/sig000005f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000665 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176f ), + .Q(\blk00000003/sig000005f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000664 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176e ), + .Q(\blk00000003/sig000005f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000661/blk00000663 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000661/sig0000176d ), + .Q(\blk00000003/sig000005f3 ) + ); + GND \blk00000003/blk00000661/blk00000662 ( + .G(\blk00000003/blk00000661/sig0000176c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000674/blk00000686 ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk00000674/sig00001793 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000685 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b83 ), + .Q(\blk00000003/blk00000674/sig00001792 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000685_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000684 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b84 ), + .Q(\blk00000003/blk00000674/sig00001791 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000684_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000683 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b85 ), + .Q(\blk00000003/blk00000674/sig00001790 ), + .Q15(\NLW_blk00000003/blk00000674/blk00000683_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000682 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b86 ), + .Q(\blk00000003/blk00000674/sig0000178f ), + .Q15(\NLW_blk00000003/blk00000674/blk00000682_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000681 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b87 ), + .Q(\blk00000003/blk00000674/sig0000178e ), + .Q15(\NLW_blk00000003/blk00000674/blk00000681_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk00000680 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b88 ), + .Q(\blk00000003/blk00000674/sig0000178d ), + .Q15(\NLW_blk00000003/blk00000674/blk00000680_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067f ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b89 ), + .Q(\blk00000003/blk00000674/sig0000178c ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000674/blk0000067e ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk00000674/sig0000178a ), + .A2(\blk00000003/blk00000674/sig0000178a ), + .A3(\blk00000003/blk00000674/sig0000178a ), + .CE(\blk00000003/blk00000674/sig00001793 ), + .CLK(clk), + .D(\blk00000003/sig00000b8a ), + .Q(\blk00000003/blk00000674/sig0000178b ), + .Q15(\NLW_blk00000003/blk00000674/blk0000067e_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001792 ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001791 ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig00001790 ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178f ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000679 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178e ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178d ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000677 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178c ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000674/sig0000178b ), + .Q(\blk00000003/sig000005eb ) + ); + GND \blk00000003/blk00000674/blk00000675 ( + .G(\blk00000003/blk00000674/sig0000178a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000687/blk00000699 ( + .I0(\blk00000003/sig00000a06 ), + .I1(ce), + .O(\blk00000003/blk00000687/sig000017b1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000698 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000687/sig000017b0 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000698_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000697 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000687/sig000017af ), + .Q15(\NLW_blk00000003/blk00000687/blk00000697_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000696 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000687/sig000017ae ), + .Q15(\NLW_blk00000003/blk00000687/blk00000696_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000695 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000687/sig000017ad ), + .Q15(\NLW_blk00000003/blk00000687/blk00000695_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000694 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000687/sig000017ac ), + .Q15(\NLW_blk00000003/blk00000687/blk00000694_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000693 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000687/sig000017ab ), + .Q15(\NLW_blk00000003/blk00000687/blk00000693_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000692 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000687/sig000017aa ), + .Q15(\NLW_blk00000003/blk00000687/blk00000692_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687/blk00000691 ( + .A0(\blk00000003/sig00000a08 ), + .A1(\blk00000003/blk00000687/sig000017a8 ), + .A2(\blk00000003/blk00000687/sig000017a8 ), + .A3(\blk00000003/blk00000687/sig000017a8 ), + .CE(\blk00000003/blk00000687/sig000017b1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000687/sig000017a9 ), + .Q15(\NLW_blk00000003/blk00000687/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017b0 ), + .Q(\blk00000003/sig000006e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017af ), + .Q(\blk00000003/sig000006e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ae ), + .Q(\blk00000003/sig000006e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ad ), + .Q(\blk00000003/sig000006e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ac ), + .Q(\blk00000003/sig000006e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017ab ), + .Q(\blk00000003/sig000006e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017aa ), + .Q(\blk00000003/sig000006e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000687/blk00000689 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000687/sig000017a9 ), + .Q(\blk00000003/sig000006e7 ) + ); + GND \blk00000003/blk00000687/blk00000688 ( + .G(\blk00000003/blk00000687/sig000017a8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000069a/blk000006ac ( + .I0(\blk00000003/sig00000a03 ), + .I1(ce), + .O(\blk00000003/blk0000069a/sig000017cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006ab ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8b ), + .Q(\blk00000003/blk0000069a/sig000017ce ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006aa ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8c ), + .Q(\blk00000003/blk0000069a/sig000017cd ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a9 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8d ), + .Q(\blk00000003/blk0000069a/sig000017cc ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a8 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8e ), + .Q(\blk00000003/blk0000069a/sig000017cb ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a7 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b8f ), + .Q(\blk00000003/blk0000069a/sig000017ca ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a6 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b90 ), + .Q(\blk00000003/blk0000069a/sig000017c9 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a5 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b91 ), + .Q(\blk00000003/blk0000069a/sig000017c8 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069a/blk000006a4 ( + .A0(\blk00000003/sig00000a07 ), + .A1(\blk00000003/blk0000069a/sig000017c6 ), + .A2(\blk00000003/blk0000069a/sig000017c6 ), + .A3(\blk00000003/blk0000069a/sig000017c6 ), + .CE(\blk00000003/blk0000069a/sig000017cf ), + .CLK(clk), + .D(\blk00000003/sig00000b92 ), + .Q(\blk00000003/blk0000069a/sig000017c7 ), + .Q15(\NLW_blk00000003/blk0000069a/blk000006a4_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ce ), + .Q(\blk00000003/sig000006d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cd ), + .Q(\blk00000003/sig000006d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cc ), + .Q(\blk00000003/sig000006da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017cb ), + .Q(\blk00000003/sig000006db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017ca ), + .Q(\blk00000003/sig000006dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c9 ), + .Q(\blk00000003/sig000006dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c8 ), + .Q(\blk00000003/sig000006de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000069a/sig000017c7 ), + .Q(\blk00000003/sig000006df ) + ); + GND \blk00000003/blk0000069a/blk0000069b ( + .G(\blk00000003/blk0000069a/sig000017c6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006ad/blk000006bf ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006ad/sig000017ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006be ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000548 ), + .Q(\blk00000003/blk000006ad/sig000017ec ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig00000549 ), + .Q(\blk00000003/blk000006ad/sig000017eb ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bc ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054a ), + .Q(\blk00000003/blk000006ad/sig000017ea ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006bb ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054b ), + .Q(\blk00000003/blk000006ad/sig000017e9 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006ba ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054c ), + .Q(\blk00000003/blk000006ad/sig000017e8 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b9 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054d ), + .Q(\blk00000003/blk000006ad/sig000017e7 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b8 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054e ), + .Q(\blk00000003/blk000006ad/sig000017e6 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad/blk000006b7 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006ad/sig000017e4 ), + .A2(\blk00000003/blk000006ad/sig000017e4 ), + .A3(\blk00000003/blk000006ad/sig000017e4 ), + .CE(\blk00000003/blk000006ad/sig000017ed ), + .CLK(clk), + .D(\blk00000003/sig0000054f ), + .Q(\blk00000003/blk000006ad/sig000017e5 ), + .Q15(\NLW_blk00000003/blk000006ad/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ec ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017eb ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017ea ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e9 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e8 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e7 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e6 ), + .Q(\blk00000003/sig000005a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ad/blk000006af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006ad/sig000017e5 ), + .Q(\blk00000003/sig000005a1 ) + ); + GND \blk00000003/blk000006ad/blk000006ae ( + .G(\blk00000003/blk000006ad/sig000017e4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006c0/blk000006d2 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006c0/sig0000180b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b93 ), + .Q(\blk00000003/blk000006c0/sig0000180a ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006d0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b94 ), + .Q(\blk00000003/blk000006c0/sig00001809 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cf ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b95 ), + .Q(\blk00000003/blk000006c0/sig00001808 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ce ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b96 ), + .Q(\blk00000003/blk000006c0/sig00001807 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cd ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b97 ), + .Q(\blk00000003/blk000006c0/sig00001806 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cc ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b98 ), + .Q(\blk00000003/blk000006c0/sig00001805 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006cb ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b99 ), + .Q(\blk00000003/blk000006c0/sig00001804 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c0/blk000006ca ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006c0/sig00001802 ), + .A2(\blk00000003/blk000006c0/sig00001802 ), + .A3(\blk00000003/blk000006c0/sig00001802 ), + .CE(\blk00000003/blk000006c0/sig0000180b ), + .CLK(clk), + .D(\blk00000003/sig00000b9a ), + .Q(\blk00000003/blk000006c0/sig00001803 ), + .Q15(\NLW_blk00000003/blk000006c0/blk000006ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig0000180a ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001809 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001808 ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001807 ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001806 ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001805 ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001804 ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006c0/sig00001803 ), + .Q(\blk00000003/sig00000599 ) + ); + GND \blk00000003/blk000006c0/blk000006c1 ( + .G(\blk00000003/blk000006c0/sig00001802 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006d3/blk000006e5 ( + .I0(\blk00000003/sig00000a09 ), + .I1(ce), + .O(\blk00000003/blk000006d3/sig00001829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e4 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000660 ), + .Q(\blk00000003/blk000006d3/sig00001828 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e3 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk000006d3/sig00001827 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e2 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk000006d3/sig00001826 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e1 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk000006d3/sig00001825 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006e0 ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk000006d3/sig00001824 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006df ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk000006d3/sig00001823 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006de ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk000006d3/sig00001822 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3/blk000006dd ( + .A0(\blk00000003/sig00000a0e ), + .A1(\blk00000003/blk000006d3/sig00001820 ), + .A2(\blk00000003/blk000006d3/sig00001820 ), + .A3(\blk00000003/blk000006d3/sig00001820 ), + .CE(\blk00000003/blk000006d3/sig00001829 ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk000006d3/sig00001821 ), + .Q15(\NLW_blk00000003/blk000006d3/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001828 ), + .Q(\blk00000003/sig000006a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001827 ), + .Q(\blk00000003/sig000006a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001826 ), + .Q(\blk00000003/sig000006a2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001825 ), + .Q(\blk00000003/sig000006a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001824 ), + .Q(\blk00000003/sig000006a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001823 ), + .Q(\blk00000003/sig000006a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001822 ), + .Q(\blk00000003/sig000006a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d3/blk000006d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006d3/sig00001821 ), + .Q(\blk00000003/sig000006a7 ) + ); + GND \blk00000003/blk000006d3/blk000006d4 ( + .G(\blk00000003/blk000006d3/sig00001820 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006e6/blk000006f8 ( + .I0(\blk00000003/sig00000a0a ), + .I1(ce), + .O(\blk00000003/blk000006e6/sig00001847 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f7 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9b ), + .Q(\blk00000003/blk000006e6/sig00001846 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f6 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9c ), + .Q(\blk00000003/blk000006e6/sig00001845 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f5 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9d ), + .Q(\blk00000003/blk000006e6/sig00001844 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f4 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9e ), + .Q(\blk00000003/blk000006e6/sig00001843 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f3 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000b9f ), + .Q(\blk00000003/blk000006e6/sig00001842 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f2 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba0 ), + .Q(\blk00000003/blk000006e6/sig00001841 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f1 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba1 ), + .Q(\blk00000003/blk000006e6/sig00001840 ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e6/blk000006f0 ( + .A0(\blk00000003/sig00000a0d ), + .A1(\blk00000003/blk000006e6/sig0000183e ), + .A2(\blk00000003/blk000006e6/sig0000183e ), + .A3(\blk00000003/blk000006e6/sig0000183e ), + .CE(\blk00000003/blk000006e6/sig00001847 ), + .CLK(clk), + .D(\blk00000003/sig00000ba2 ), + .Q(\blk00000003/blk000006e6/sig0000183f ), + .Q15(\NLW_blk00000003/blk000006e6/blk000006f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001846 ), + .Q(\blk00000003/sig00000698 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001845 ), + .Q(\blk00000003/sig00000699 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001844 ), + .Q(\blk00000003/sig0000069a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001843 ), + .Q(\blk00000003/sig0000069b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001842 ), + .Q(\blk00000003/sig0000069c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001841 ), + .Q(\blk00000003/sig0000069d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig00001840 ), + .Q(\blk00000003/sig0000069e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006e6/sig0000183f ), + .Q(\blk00000003/sig0000069f ) + ); + GND \blk00000003/blk000006e6/blk000006e7 ( + .G(\blk00000003/blk000006e6/sig0000183e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000006f9/blk0000070b ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk000006f9/sig00001865 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk0000070a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000384 ), + .Q(\blk00000003/blk000006f9/sig00001864 ), + .Q15(\NLW_blk00000003/blk000006f9/blk0000070a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000709 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000385 ), + .Q(\blk00000003/blk000006f9/sig00001863 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000709_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000708 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000386 ), + .Q(\blk00000003/blk000006f9/sig00001862 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000708_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000707 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000387 ), + .Q(\blk00000003/blk000006f9/sig00001861 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000707_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000706 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000388 ), + .Q(\blk00000003/blk000006f9/sig00001860 ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000706_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000705 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig00000389 ), + .Q(\blk00000003/blk000006f9/sig0000185f ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000705_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000704 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038a ), + .Q(\blk00000003/blk000006f9/sig0000185e ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000704_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9/blk00000703 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk000006f9/sig0000185c ), + .A2(\blk00000003/blk000006f9/sig0000185c ), + .A3(\blk00000003/blk000006f9/sig0000185c ), + .CE(\blk00000003/blk000006f9/sig00001865 ), + .CLK(clk), + .D(\blk00000003/sig0000038b ), + .Q(\blk00000003/blk000006f9/sig0000185d ), + .Q15(\NLW_blk00000003/blk000006f9/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000702 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001864 ), + .Q(\blk00000003/sig00000548 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000701 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001863 ), + .Q(\blk00000003/sig00000549 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk00000700 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001862 ), + .Q(\blk00000003/sig0000054a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001861 ), + .Q(\blk00000003/sig0000054b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig00001860 ), + .Q(\blk00000003/sig0000054c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185f ), + .Q(\blk00000003/sig0000054d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185e ), + .Q(\blk00000003/sig0000054e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f9/blk000006fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000006f9/sig0000185d ), + .Q(\blk00000003/sig0000054f ) + ); + GND \blk00000003/blk000006f9/blk000006fa ( + .G(\blk00000003/blk000006f9/sig0000185c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000070c/blk0000071e ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk0000070c/sig00001883 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba3 ), + .Q(\blk00000003/blk0000070c/sig00001882 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba4 ), + .Q(\blk00000003/blk0000070c/sig00001881 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071b ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba5 ), + .Q(\blk00000003/blk0000070c/sig00001880 ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk0000071a ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba6 ), + .Q(\blk00000003/blk0000070c/sig0000187f ), + .Q15(\NLW_blk00000003/blk0000070c/blk0000071a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000719 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba7 ), + .Q(\blk00000003/blk0000070c/sig0000187e ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000719_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000718 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba8 ), + .Q(\blk00000003/blk0000070c/sig0000187d ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000718_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000717 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000ba9 ), + .Q(\blk00000003/blk0000070c/sig0000187c ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000717_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070c/blk00000716 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk0000070c/sig0000187a ), + .A2(\blk00000003/blk0000070c/sig0000187a ), + .A3(\blk00000003/blk0000070c/sig0000187a ), + .CE(\blk00000003/blk0000070c/sig00001883 ), + .CLK(clk), + .D(\blk00000003/sig00000baa ), + .Q(\blk00000003/blk0000070c/sig0000187b ), + .Q15(\NLW_blk00000003/blk0000070c/blk00000716_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000715 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001882 ), + .Q(\blk00000003/sig00000540 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000714 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001881 ), + .Q(\blk00000003/sig00000541 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000713 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig00001880 ), + .Q(\blk00000003/sig00000542 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000712 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187f ), + .Q(\blk00000003/sig00000543 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000711 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187e ), + .Q(\blk00000003/sig00000544 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk00000710 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187d ), + .Q(\blk00000003/sig00000545 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187c ), + .Q(\blk00000003/sig00000546 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c/blk0000070e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000070c/sig0000187b ), + .Q(\blk00000003/sig00000547 ) + ); + GND \blk00000003/blk0000070c/blk0000070d ( + .G(\blk00000003/blk0000070c/sig0000187a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000071f/blk00000731 ( + .I0(\blk00000003/sig00000a10 ), + .I1(ce), + .O(\blk00000003/blk0000071f/sig000018a1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000730 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d6 ), + .Q(\blk00000003/blk0000071f/sig000018a0 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000730_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072f ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d7 ), + .Q(\blk00000003/blk0000071f/sig0000189f ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072e ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d8 ), + .Q(\blk00000003/blk0000071f/sig0000189e ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072d ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003d9 ), + .Q(\blk00000003/blk0000071f/sig0000189d ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072c ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003da ), + .Q(\blk00000003/blk0000071f/sig0000189c ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072b ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003db ), + .Q(\blk00000003/blk0000071f/sig0000189b ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk0000072a ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dc ), + .Q(\blk00000003/blk0000071f/sig0000189a ), + .Q15(\NLW_blk00000003/blk0000071f/blk0000072a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f/blk00000729 ( + .A0(\blk00000003/sig00000a13 ), + .A1(\blk00000003/blk0000071f/sig00001898 ), + .A2(\blk00000003/blk0000071f/sig00001898 ), + .A3(\blk00000003/blk0000071f/sig00001898 ), + .CE(\blk00000003/blk0000071f/sig000018a1 ), + .CLK(clk), + .D(\blk00000003/sig000003dd ), + .Q(\blk00000003/blk0000071f/sig00001899 ), + .Q15(\NLW_blk00000003/blk0000071f/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000728 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig000018a0 ), + .Q(\blk00000003/sig00000660 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000727 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189f ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000726 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189e ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000725 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189d ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000724 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189c ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000723 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189b ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000722 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig0000189a ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071f/blk00000721 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000071f/sig00001899 ), + .Q(\blk00000003/sig00000667 ) + ); + GND \blk00000003/blk0000071f/blk00000720 ( + .G(\blk00000003/blk0000071f/sig00001898 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000732/blk00000744 ( + .I0(\blk00000003/sig00000a0f ), + .I1(ce), + .O(\blk00000003/blk00000732/sig000018bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000743 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bab ), + .Q(\blk00000003/blk00000732/sig000018be ), + .Q15(\NLW_blk00000003/blk00000732/blk00000743_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000742 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bac ), + .Q(\blk00000003/blk00000732/sig000018bd ), + .Q15(\NLW_blk00000003/blk00000732/blk00000742_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000741 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bad ), + .Q(\blk00000003/blk00000732/sig000018bc ), + .Q15(\NLW_blk00000003/blk00000732/blk00000741_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk00000740 ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bae ), + .Q(\blk00000003/blk00000732/sig000018bb ), + .Q15(\NLW_blk00000003/blk00000732/blk00000740_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073f ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000baf ), + .Q(\blk00000003/blk00000732/sig000018ba ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073e ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb0 ), + .Q(\blk00000003/blk00000732/sig000018b9 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073d ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb1 ), + .Q(\blk00000003/blk00000732/sig000018b8 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000732/blk0000073c ( + .A0(\blk00000003/sig00000a14 ), + .A1(\blk00000003/blk00000732/sig000018b6 ), + .A2(\blk00000003/blk00000732/sig000018b6 ), + .A3(\blk00000003/blk00000732/sig000018b6 ), + .CE(\blk00000003/blk00000732/sig000018bf ), + .CLK(clk), + .D(\blk00000003/sig00000bb2 ), + .Q(\blk00000003/blk00000732/sig000018b7 ), + .Q15(\NLW_blk00000003/blk00000732/blk0000073c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018be ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk0000073a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bd ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000739 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bc ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000738 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018bb ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000737 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018ba ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000736 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b9 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000735 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b8 ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732/blk00000734 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000732/sig000018b7 ), + .Q(\blk00000003/sig0000065f ) + ); + GND \blk00000003/blk00000732/blk00000733 ( + .G(\blk00000003/blk00000732/sig000018b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000745/blk00000757 ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk00000745/sig000018dd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000756 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb3 ), + .Q(\blk00000003/blk00000745/sig000018dc ), + .Q15(\NLW_blk00000003/blk00000745/blk00000756_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000755 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb4 ), + .Q(\blk00000003/blk00000745/sig000018db ), + .Q15(\NLW_blk00000003/blk00000745/blk00000755_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000754 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb5 ), + .Q(\blk00000003/blk00000745/sig000018da ), + .Q15(\NLW_blk00000003/blk00000745/blk00000754_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000753 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb6 ), + .Q(\blk00000003/blk00000745/sig000018d9 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000753_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000752 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb7 ), + .Q(\blk00000003/blk00000745/sig000018d8 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000752_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000751 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb8 ), + .Q(\blk00000003/blk00000745/sig000018d7 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000751_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk00000750 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bb9 ), + .Q(\blk00000003/blk00000745/sig000018d6 ), + .Q15(\NLW_blk00000003/blk00000745/blk00000750_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745/blk0000074f ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk00000745/sig000018d4 ), + .A2(\blk00000003/blk00000745/sig000018d4 ), + .A3(\blk00000003/blk00000745/sig000018d4 ), + .CE(\blk00000003/blk00000745/sig000018dd ), + .CLK(clk), + .D(\blk00000003/sig00000bba ), + .Q(\blk00000003/blk00000745/sig000018d5 ), + .Q15(\NLW_blk00000003/blk00000745/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018dc ), + .Q(\blk00000003/sig00000384 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018db ), + .Q(\blk00000003/sig00000385 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018da ), + .Q(\blk00000003/sig00000386 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d9 ), + .Q(\blk00000003/sig00000387 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk0000074a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d8 ), + .Q(\blk00000003/sig00000388 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000749 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d7 ), + .Q(\blk00000003/sig00000389 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000748 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d6 ), + .Q(\blk00000003/sig0000038a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000745/blk00000747 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000745/sig000018d5 ), + .Q(\blk00000003/sig0000038b ) + ); + GND \blk00000003/blk00000745/blk00000746 ( + .G(\blk00000003/blk00000745/sig000018d4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000758/blk0000076a ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk00000758/sig000018fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000769 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbb ), + .Q(\blk00000003/blk00000758/sig000018fa ), + .Q15(\NLW_blk00000003/blk00000758/blk00000769_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000768 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbc ), + .Q(\blk00000003/blk00000758/sig000018f9 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000768_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000767 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbd ), + .Q(\blk00000003/blk00000758/sig000018f8 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000767_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000766 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbe ), + .Q(\blk00000003/blk00000758/sig000018f7 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000766_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000765 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bbf ), + .Q(\blk00000003/blk00000758/sig000018f6 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000765_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000764 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc0 ), + .Q(\blk00000003/blk00000758/sig000018f5 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000764_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000763 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc1 ), + .Q(\blk00000003/blk00000758/sig000018f4 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000763_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000758/blk00000762 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk00000758/sig000018f2 ), + .A2(\blk00000003/blk00000758/sig000018f2 ), + .A3(\blk00000003/blk00000758/sig000018f2 ), + .CE(\blk00000003/blk00000758/sig000018fb ), + .CLK(clk), + .D(\blk00000003/sig00000bc2 ), + .Q(\blk00000003/blk00000758/sig000018f3 ), + .Q15(\NLW_blk00000003/blk00000758/blk00000762_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000761 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018fa ), + .Q(\blk00000003/sig0000037c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f9 ), + .Q(\blk00000003/sig0000037d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f8 ), + .Q(\blk00000003/sig0000037e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f7 ), + .Q(\blk00000003/sig0000037f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f6 ), + .Q(\blk00000003/sig00000380 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f5 ), + .Q(\blk00000003/sig00000381 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f4 ), + .Q(\blk00000003/sig00000382 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000758/sig000018f3 ), + .Q(\blk00000003/sig00000383 ) + ); + GND \blk00000003/blk00000758/blk00000759 ( + .G(\blk00000003/blk00000758/sig000018f2 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000076b/blk0000077d ( + .I0(\blk00000003/sig00000a16 ), + .I1(ce), + .O(\blk00000003/blk0000076b/sig00001919 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077c ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc3 ), + .Q(\blk00000003/blk0000076b/sig00001918 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077b ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc4 ), + .Q(\blk00000003/blk0000076b/sig00001917 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk0000077a ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc5 ), + .Q(\blk00000003/blk0000076b/sig00001916 ), + .Q15(\NLW_blk00000003/blk0000076b/blk0000077a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000779 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc6 ), + .Q(\blk00000003/blk0000076b/sig00001915 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000779_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000778 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc7 ), + .Q(\blk00000003/blk0000076b/sig00001914 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000778_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000777 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc8 ), + .Q(\blk00000003/blk0000076b/sig00001913 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000777_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000776 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bc9 ), + .Q(\blk00000003/blk0000076b/sig00001912 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000776_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b/blk00000775 ( + .A0(\blk00000003/sig0000036f ), + .A1(\blk00000003/blk0000076b/sig00001910 ), + .A2(\blk00000003/blk0000076b/sig00001910 ), + .A3(\blk00000003/blk0000076b/sig00001910 ), + .CE(\blk00000003/blk0000076b/sig00001919 ), + .CLK(clk), + .D(\blk00000003/sig00000bca ), + .Q(\blk00000003/blk0000076b/sig00001911 ), + .Q15(\NLW_blk00000003/blk0000076b/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001918 ), + .Q(\blk00000003/sig000003d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000773 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001917 ), + .Q(\blk00000003/sig000003d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001916 ), + .Q(\blk00000003/sig000003d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000771 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001915 ), + .Q(\blk00000003/sig000003d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001914 ), + .Q(\blk00000003/sig000003da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001913 ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001912 ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076b/blk0000076d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000076b/sig00001911 ), + .Q(\blk00000003/sig000003dd ) + ); + GND \blk00000003/blk0000076b/blk0000076c ( + .G(\blk00000003/blk0000076b/sig00001910 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000077e/blk00000790 ( + .I0(\blk00000003/sig00000a15 ), + .I1(ce), + .O(\blk00000003/blk0000077e/sig00001937 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078f ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcb ), + .Q(\blk00000003/blk0000077e/sig00001936 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078e ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcc ), + .Q(\blk00000003/blk0000077e/sig00001935 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078d ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcd ), + .Q(\blk00000003/blk0000077e/sig00001934 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078c ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bce ), + .Q(\blk00000003/blk0000077e/sig00001933 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078b ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bcf ), + .Q(\blk00000003/blk0000077e/sig00001932 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk0000078a ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd0 ), + .Q(\blk00000003/blk0000077e/sig00001931 ), + .Q15(\NLW_blk00000003/blk0000077e/blk0000078a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000789 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd1 ), + .Q(\blk00000003/blk0000077e/sig00001930 ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000789_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077e/blk00000788 ( + .A0(\blk00000003/sig0000036a ), + .A1(\blk00000003/blk0000077e/sig0000192e ), + .A2(\blk00000003/blk0000077e/sig0000192e ), + .A3(\blk00000003/blk0000077e/sig0000192e ), + .CE(\blk00000003/blk0000077e/sig00001937 ), + .CLK(clk), + .D(\blk00000003/sig00000bd2 ), + .Q(\blk00000003/blk0000077e/sig0000192f ), + .Q15(\NLW_blk00000003/blk0000077e/blk00000788_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000787 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001936 ), + .Q(\blk00000003/sig000003ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001935 ), + .Q(\blk00000003/sig000003cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000785 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001934 ), + .Q(\blk00000003/sig000003d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001933 ), + .Q(\blk00000003/sig000003d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000783 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001932 ), + .Q(\blk00000003/sig000003d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001931 ), + .Q(\blk00000003/sig000003d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000781 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig00001930 ), + .Q(\blk00000003/sig000003d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000077e/sig0000192f ), + .Q(\blk00000003/sig000003d5 ) + ); + GND \blk00000003/blk0000077e/blk0000077f ( + .G(\blk00000003/blk0000077e/sig0000192e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000007c1/blk000007e7 ( + .I0(\blk00000003/sig0000030a ), + .I1(ce), + .O(\blk00000003/blk000007c1/sig00001974 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1/blk000007e6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af7 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001973 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af8 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001972 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e4 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000af9 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001971 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e3 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afa ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001970 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e2 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afb ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e1 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afc ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007e0 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afe ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007e0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007df ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000aff ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007df_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007de ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000afd ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007de_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dd ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b00 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig0000196a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007dc ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b01 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007dc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001969 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007db ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b02 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007db_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001968 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007da ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b03 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007da_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001967 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d9 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b04 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001966 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d8 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b05 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001965 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d7 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b07 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001963 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d6 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b08 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001962 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000007c1/blk000007d5 ( + .A0(\blk00000003/sig00000311 ), + .A1(\blk00000003/blk000007c1/sig00001961 ), + .A2(\blk00000003/blk000007c1/sig00001961 ), + .A3(\blk00000003/blk000007c1/sig00001961 ), + .D(\blk00000003/sig00000b06 ), + .DPRA0(\blk00000003/sig00000bd5 ), + .DPRA1(\blk00000003/blk000007c1/sig00001961 ), + .DPRA2(\blk00000003/blk000007c1/sig00001961 ), + .DPRA3(\blk00000003/blk000007c1/sig00001961 ), + .WCLK(clk), + .WE(\blk00000003/blk000007c1/sig00001974 ), + .SPO(\NLW_blk00000003/blk000007c1/blk000007d5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000007c1/sig00001964 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001973 ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001972 ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001971 ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001970 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196f ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196e ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196d ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196c ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196b ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig0000196a ), + .Q(\blk00000003/sig000001b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001969 ), + .Q(\blk00000003/sig000001ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001968 ), + .Q(\blk00000003/sig000001bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001967 ), + .Q(\blk00000003/sig000001bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001966 ), + .Q(\blk00000003/sig000001bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001965 ), + .Q(\blk00000003/sig000001be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001964 ), + .Q(\blk00000003/sig000001bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001963 ), + .Q(\blk00000003/sig000001c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c1/blk000007c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000007c1/sig00001962 ), + .Q(\blk00000003/sig000001c1 ) + ); + GND \blk00000003/blk000007c1/blk000007c2 ( + .G(\blk00000003/blk000007c1/sig00001961 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo new file mode 100644 index 000000000..eb615e1ee --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec1 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec1.v when simulating +// the core, hbdec1. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco new file mode 100644 index 000000000..5d6c03565 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 9 23:47:19 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=7 +CSET component_name=hbdec1 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=64 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T18:46:09Z +# END Extra information +GENERATE +# CRC: c82a9824 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif new file mode 100644 index 000000000..f19636daf --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_0.mif @@ -0,0 +1,5 @@ +111111111111000010 +000000000011000010 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif new file mode 100644 index 000000000..07e316dd3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_1.mif @@ -0,0 +1,5 @@ +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif new file mode 100644 index 000000000..da84300d6 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_2.mif @@ -0,0 +1,5 @@ +111111101000011111 +000000100110101110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif new file mode 100644 index 000000000..ea92ca6c2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_3.mif @@ -0,0 +1,5 @@ +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif new file mode 100644 index 000000000..9e9303211 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_4.mif @@ -0,0 +1,5 @@ +111101110000011101 +000011100100101000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif new file mode 100644 index 000000000..e0c3f05fe --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto0_5.mif @@ -0,0 +1,5 @@ +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt new file mode 100644 index 000000000..2bd535f92 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_flist.txt @@ -0,0 +1,22 @@ +# Output products list for +hbdec1.asy +hbdec1.gise +hbdec1.mif +hbdec1.ngc +hbdec1.v +hbdec1.veo +hbdec1.xco +hbdec1.xise +hbdec1COEFF_auto0_0.mif +hbdec1COEFF_auto0_1.mif +hbdec1COEFF_auto0_2.mif +hbdec1COEFF_auto0_3.mif +hbdec1COEFF_auto0_4.mif +hbdec1COEFF_auto0_5.mif +hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +hbdec1_flist.txt +hbdec1_readme.txt +hbdec1_reload_addrfilt_decode_rom.mif +hbdec1_reload_order.txt +hbdec1_xmdf.tcl +hbdec1filt_decode_rom.mif diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt new file mode 100644 index 000000000..7a62ed177 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_readme.txt @@ -0,0 +1,76 @@ +The following files were generated for 'hbdec1' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec1_flist.txt + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec1.mif + * hbdec1_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec1.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec1.ngc + * hbdec1.v + * hbdec1.veo + * hbdec1COEFF_auto0_0.mif + * hbdec1COEFF_auto0_1.mif + * hbdec1COEFF_auto0_2.mif + * hbdec1COEFF_auto0_3.mif + * hbdec1COEFF_auto0_4.mif + * hbdec1COEFF_auto0_5.mif + * hbdec1COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec1_reload_addrfilt_decode_rom.mif + * hbdec1filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec1.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec1.asy + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec1_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec1.gise + * hbdec1.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec1_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec1_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt new file mode 100644 index 000000000..11f12084f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 20 +Reload index 1 = Index 22 +Reload index 2 = Index 16 +Reload index 3 = Index 18 +Reload index 4 = Index 12 +Reload index 5 = Index 14 +Reload index 6 = Index 8 +Reload index 7 = Index 10 +Reload index 8 = Index 4 +Reload index 9 = Index 6 +Reload index 10 = Index 0 +Reload index 11 = Index 2 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl new file mode 100644 index 000000000..7aa8f91c3 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1_xmdf.tcl @@ -0,0 +1,111 @@ +# The package naming convention is _xmdf +package provide hbdec1_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec1_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec1_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec1 +} +# ::hbdec1_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec1_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_3.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_4.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto0_5.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec1filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec1 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif new file mode 100644 index 000000000..32d689cd7 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec1filt_decode_rom.mif @@ -0,0 +1,17 @@ +00 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy new file mode 100644 index 000000000..e9bd5ec37 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.asy @@ -0,0 +1,61 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hbdec2 +RECTANGLE Normal 32 32 544 2048 +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName nd +PINATTR Polarity IN +LINE Wide 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName din_1[23:0] +PINATTR Polarity IN +LINE Wide 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName din_2[23:0] +PINATTR Polarity IN +LINE Normal 0 848 32 848 +PIN 0 848 LEFT 36 +PINATTR PinName coef_ld +PINATTR Polarity IN +LINE Normal 0 880 32 880 +PIN 0 880 LEFT 36 +PINATTR PinName coef_we +PINATTR Polarity IN +LINE Wide 0 912 32 912 +PIN 0 912 LEFT 36 +PINATTR PinName coef_din[17:0] +PINATTR Polarity IN +LINE Normal 0 1008 32 1008 +PIN 0 1008 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 1040 32 1040 +PIN 0 1040 LEFT 36 +PINATTR PinName ce +PINATTR Polarity IN +LINE Normal 0 1072 32 1072 +PIN 0 1072 LEFT 36 +PINATTR PinName sclr +PINATTR Polarity IN +LINE Wide 576 240 544 240 +PIN 576 240 RIGHT 36 +PINATTR PinName dout_1[46:0] +PINATTR Polarity OUT +LINE Wide 576 272 544 272 +PIN 576 272 RIGHT 36 +PINATTR PinName dout_2[46:0] +PINATTR Polarity OUT +LINE Normal 576 1840 544 1840 +PIN 576 1840 RIGHT 36 +PINATTR PinName rfd +PINATTR Polarity OUT +LINE Normal 576 1872 544 1872 +PIN 576 1872 RIGHT 36 +PINATTR PinName rdy +PINATTR Polarity OUT +LINE Normal 576 1904 544 1904 +PIN 576 1904 RIGHT 36 +PINATTR PinName data_valid +PINATTR Polarity OUT + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif new file mode 100644 index 000000000..78d2dd901 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.mif @@ -0,0 +1,47 @@ +111111111111000010 +000000000000000000 +000000000011000010 +000000000000000000 +111111111001001000 +000000000000000000 +000000001101010111 +000000000000000000 +111111101000011111 +000000000000000000 +000000100110101110 +000000000000000000 +111111000011000100 +000000000000000000 +000001011101100110 +000000000000000000 +111101110000011101 +000000000000000000 +000011100100101000 +000000000000000000 +111001100001011000 +000000000000000000 +010100010001000001 +011111111111111111 +010100010001000001 +000000000000000000 +111001100001011000 +000000000000000000 +000011100100101000 +000000000000000000 +111101110000011101 +000000000000000000 +000001011101100110 +000000000000000000 +111111000011000100 +000000000000000000 +000000100110101110 +000000000000000000 +111111101000011111 +000000000000000000 +000000001101010111 +000000000000000000 +111111111001001000 +000000000000000000 +000000000011000010 +000000000000000000 +111111111111000010 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc new file mode 100644 index 000000000..839be66eb --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$37:2~753-Xnzd}foo8#5+421).97 ?>;168456702:;<=678=>0<=>:4:23432<89:<86>?0968456><2:;678J>0<=>K4:234C4<8=80<4?41292*54<99>0==>?17922?OIX\^1HLZN_HL?50<76820=;4@UURVP?BF\HUDYY2>5;2=55=603CE\XZ5A=34>58682;36D@_UU8A841=87;87<65IORVP?DDAG6:;7>111927?2@D[YY4KA^KM841=87;<7<65IORVP?ehmoUoec2>7;2=50=603E^X][[:CALQQ:6?3:5=;5>8;MVPUSS2MKTCXZ31683:40<910DYY^ZT;FA[JSS48=1<3?=;08JJUSS2HNO^L2?:1<26>7=AGZ^X7OKDS@?4?699j1:6D@_UU8`k``Xn`fiQ|em>3>586?2;1EC^ZT;djhqcuWzog0=4?>0785?IR\Y__6nfnn^km85<768<0=7AZTQWW>fnffVe~x1>50?386==58HK9>2:07>LHW]]0oec2=3;2=50=5<3CE\XZ5dhl\55:5;3:5=85=4;KMTPR=l`dT=<2=3;2=50=5<3CE\XZ5dhl\57:5;3:5=85=4;KMTPR=l`dT=>2=3;2=50=5<3CE\XZ5dhl\51:5;3:5=85=4;KMTPR=l`dT=82=3;2=50=5<3CE\XZ5dhl\53:5;3:5=95=4;KMTPR=l`dT=1<<:1<20>432@D[YY4kio]1875=87;?7?:5IORVP?bnfV97>>4?>0686117?699=1987GAPTV9`lhX=5886=0>4:07>LHW]]0oecQ9<3194;733;>1EC^ZT;fjjZ1;::0;2<:4258JJUSS2mceS52=3;2=51=5<3CE\XZ5dhl\=944294::6<;:NWWTPR=lfSd2=3;2=5<=5<3E^X][[:emvpZoX9969?7>118910?IR\Y__6iazt^k\54:5;3:5=45=4;MVPUSS2me~xRgP13>17?69901987AZTQWW>air|VcT=>2=3;2=5<=5<3E^X][[:emvpZoX9=69?7>118910?IR\Y__6iazt^k\50:5;3:5=45=4;MVPUSS2me~xRgP17>17?69911987AZTQWW>air|VcT=1<<:1<2<>432F__\XZ5dnww[lY54;91<3?7;369KPRW]]0ocxzPi^1?66<76820>94@UURVP?bh}}UbS92=3;2=5==5<3E^X][[:emvpZoX=5886=0>8:07>JSSX\^1hb{{_h]5875=87;37?:5OTVSQQ<:=0DYY^ZT;flqqYnW169?7>119910?IR\Y__6iazt^k\=944294:=6<5IORVP?GCL[6:6=0>2:09MKVR\3KOH_O31;2=57=52@D[YY4NDEPA84<768;0?;4@UURVP?K;;<0;2<<43;KMTPR=IMNYM1<50?31?61>5853=::86:8:NWWTPR=lf09850?34?11=G\^[YY4kotv\55:3>3:5=:5;7;MVPUSS2me~xR?><5494;703==1CXZ_UU8gkprX9;6?:7>116973?IR\Y__6iazt^30810=87;<7995OTVSQQ72?699?1?;7AZTQWW>air|V;78;4?>04802202F__\XZ5dnww[1:3>3:5=;5;7;MVPUSS2me~xR;34783:40<<>0DYY^ZT;flqqY14=<1<3?9;559KPRW]]0ocxzP7=65>586>2><6B[[PTV9`jssW16?:7>117973?IR\Y__6iazt^;?03<768<08:4@UURVP?bh}}Uz09850?3:?11=G\^[YY4kotv\uZ774=<1<3?6;559KPRW]]0ocxzPq^32810=87;27995OTVSQQ3==1CXZ_UU8gkprXyV;809850?3:?11=G\^[YY4kotv\uZ734=<1<3?6;559KPRW]]0ocxzPq^36810=87;27995OTVSQQ0:8023:5=55;7;MVPUSS2me~xRP3=65>58602><6B[[PTV9`jssWxU?09850?3;?11=G\^[YY4kotv\uZ3;72?69911?;7AZTQWW>air|V{T;1:9:1<2<>202F__\XZ5dnww[tY?4=<1<3?7;559KPRW]]0ocxzPq^;?03<768:0854FNQWW>F:3?3:5=95;8;KMTPR=LHUBB1:8:1<27>2?2@D[YY4_CHL?02<768<0854@UURVP?BFWF__09950?35?1>=G\^[YY4KB^MVP920294:=6:7:NWWTPR=X5><6=0>5:6;>JSSX\^1\NAZT=64>58?3=L?89:;4596516333%:;0;?582394B4=?:22;86670937?=6::9KPRW]]0OMYOPOTV?3?69<22M45<49@08E536O<4:C?4;3G;9;4>7L2>3?78E9736<1J0<;15:C?53803H6:;7>15:C?52833H6:295N<3<7?D:46=1J090;;@>6:1=F4?4?7L28>59B8=833H622:5NDEP?4;?69B@AT;97k0MIJ]A=294;>1a:CG@WG;93:546OKDSC?5;gGCL[H7>3;4B1PFC0=E9[OL86L30?78F9776<1I00:1=E4=4?7O2:>59A83833K6<295M<9<7?G:>6>1IOD@30?:8FFOI48:546LLIO>25;>DDAG6:9364BBKM8409i2HHEC2>7;2=<>DDAG6:;394BBKM84803KIBB1<17:@@MK:46>1IOD@34?58FFOI4<4<7OMFN=4=3>DDAG6<2:5MCHL?<;1DDG\^7=<06;CALQQ:6:730NNAZT=30:<=EKF__0<:19:@@KPR;9<427OM@UU>22;d720NNAZT=5=<>DDG\^74364BBMVP9?9:2HM46LZS^KMBJ724;3E;9:4>7N2>4?78G9726<1H0<815:A?52823J6:43;4C=3::1=D484>7N2=0?78G9466<1H0?<15:A?66823J6983;4C=06:0=D4;<596M326<6?F:507?0O1<6>59@87823J68<3;4C=12:0=D4:8596M332<6?F:4<7?0O1=:>49@8609=2I7?:0:;B>0<;3>49@8149=2I78>0:;B>70;3>285L<54=3>E;<>0;285L<55=0>E;<7>0O1;14:A?2;2EBJ:1HIN74CDABVW_NF:1HII64CDGF25;3B;9=4>7I2>5?78@9716>1O0<950?78@9706=1O0<0;;E>1:1=C4:4?7I2;>59G80833M6=295K<6<7?A:?6=1O040=;EC7?AGFIh1OMYOPIO>3:g=CI]KTEC2>0?`8@DRFW@D7=<0m;ECWEZOI4885n6JNT@]JJ9746k1OMYOPIO>20;b5?c8@DRFW@D7=3o4D@VB[LH;:7k0HLZN_HL?7;g7i0HLZN_HL?3?69i2NJXLQFN=5=e>BF\HUBB161a:FBPDYNF535n6JNT@]LQQ:76j1OMYOPOTV?558d3MK_MRAZT=32:f=CI]KTCXZ313<`?AGSIVE^X1?<>b9GEQGXG\^7=90j;ECWEZIR\5;>6=0l;ECWEZIR\5;>2o5KAUC\KPR;97h0HLZN_NWW878e3MK_MRAZT=1=f>BF\HUDYY2;>c9GEQGXG\^793l4D@VB[JSS4?4o7IO[A^MVP91=87h0HLZN_NWW828e3MK_MRAZT=:=f>BF\HUDYY26>99GEZOI49427IOPIO>24;?>89GEZOI488556JN_HL?568>3MKTEC2>4?;8@DYNF5;>245KA^KM8409j2NJSD@31683:<=CIVCE0<919:FB[LH;91427IOPIO>2=;>15;?89GEZOI4;9556JN_HL?618>3MKTEC2=5?;8@DYNF58=245KA^KM871912NJSD@329<:?AGXAG695364D@]JJ94912NJSD@331<:?AGXAG68=374D@]JJ955601OMRGA<21==>BFW@D7?906;EC\MK:4=730HLQFN=15:<=CIVCE0>919:FB[LH;;1427IOPIO>0=;>75;?89GEZOI4=9556JN_HL?018>3MKTEC2;5?;8@DYNF5>=2o5KA^KM811=8730HLQFN=64:==CIVCE0907;EC\MK:2611OMRGA<7<;?AGXAG6<255KA^KM8=8?3MKTEC26>89GEZIR\5:5m6JN_NWW8469i2NJSB[[<03=e>BFWF__0<<1a:FB[JSS4895m6JN_NWW8429i2NJSB[[<07=e>BFWF__0<81c:FB[JSS48=1<3o4D@]LQQ:6?7k0HLQ@UU>2<;g9?;8@DYH]]6:2l5KA^MVP9476h1OMRAZT=02:d=CIVE^X1<=>`9GEZIR\5882l5KA^MVP9436h1OMRAZT=06:d=CIVE^X1<9>`9GEZIR\58<2l5KA^MVP94?6h1OMRAZT=0::<=CIVE^X1<1a:FB[JSS4::5m6JN_NWW8679i2NJSB[[<20=e>BFWF__0>=1a:FB[JSS4:>5m6JN_NWW8639i2NJSB[[<24=e>BFWF__0>91a:FB[JSS4:25m6JN_NWW86?912NJSB[[<289GEZIR\5>556JN_NWW808>3MKTCXZ36?;8@DYH]]6<245KA^MVP9>912NJSB[[<8<:?ADXG\^7<3o4DC]LQQ:687k0HOQ@UU>25;g2?c8@GYH]]6:?3o4DC]LQQ:6<7k0HOQ@UU>21;g6?a8@GYH]]6:;7>1a:FA[JSS48=5m6JM_NWW84>9i2NISB[[<0;==>BEWF__0<0n;E@\KPR;:94j7ILPOTV?648f3MHTCXZ323>0n;E@\KPR;:=4j7ILPOTV?608f3MHTCXZ327:0n;E@\KPR;:14j7ILPOTV?6<8>3MHTCXZ32?c8@GYH]]68<3o4DC]LQQ:497k0HOQ@UU>06;g02;g0:d=CJVE^X1:?>`9GFZIR\5>:2l5KB^MVP9256h1ONRAZT=60:d=CJVE^X1:;>`9GFZIR\5>>2l5KB^MVP9216j1ONRAZT=64>58f3MHTCXZ346<:?ADXG\^78374DC]LQQ:2601ONRAZT=4==>BEWF__0:06;E@\KPR;0730HOQ@UU>::7=CM=1OJL>=;EK0?AVH=2N[^L>:;ERQE4385KPSC00>BUMN=0H^^;8@32?@40IL@CM:1MH_:4FEPF0>@C[L>0JK6?4:DELON1:E0?BHC92C97D>=;H31?L453@997D:6;HLJPVRF\L90ECX=;MK1?II13EEJHHJ8;MMDMFGK<2F^X<:4LTV10>JR\:>0@XZ;4:NVP0249N8459=2G7=90:;L>21;3K;914>7@2>9?68I979=2G7>=0:;L>15;3K;:=4>7@2=5?78I9416<1F0?915:O?6=823D6953:4M=0=1>K;;94>7@2<1?78I9556<1F0>=15:O?71803D6897>15:O?70833D68295B<5<7?H:26=1F0;0;;L>4:1=J414?7@26>59NV@A23D_SOT94MTZ@]ZB03D_SOTQCc:ObnjtQm{ybccm4MhllvScu{`ee?6@>029M545H6?<>0B<994:L23227818J4>33G;3<95A1937?K7?:=1E=5=;;O3;01=I91??7C?7659M5=133G;3495A19;0?K7><2D:5=:4N0;20>H61;>0B<7<4:L2=1286@>9768J4?0<2D:55:4N0;:6>H5;2D9<95A2127?K479=1E>=<;;O0371=I:9>?7C<:4N3330>H598>0B??=4:L1562H59090B?<;;O0141=I:;;?7C<=229M66587C<:3:L126=I:190B?7=;O10?K57:2D?>6@:4:L61<2:>:4N4470>H2><>0B8894:L6222;<:4N4510>H2?:>0B89;4:L6302;4:4N4:30>H208>0B86=4:L6<621<2D>4::4N4:;0>H200>0B87?4:L6=4258:4N4;50>H21>>0B8774:L6=<2:4N7270>H18<>0B;984:L53=26<2D=4?:4N7:00>H10=>0B;6:4:L5<32><2D=5=:4N7;20>H11;>0B;7<4:L5=1286@99768J3?0<2D=55:4N7;:6>H0<2D<<=:4N6220>H08;>0B:><4:L4412:>86@80768J260<2D<<5:4N62:0>H099>0B:?>4:L4572;886@81568J272<2D<=;:4N6340>H091>0B:?64:L46528:86@82368J244:2D3>6@6f:LA[GSTX@DT\_A_S69MAQQHZB=0BBCJRFG2?J4IWEFNN0>06;NRNKAC;<730C]C@DD>6:<=HXDEOI181b:MSIJBB4>0;245@PLMGA91912E[ABJJRDE23>IW\@GBVHQ_RHOJPLPB[VDLOl5@bgwpgdrhz81[86^30?78T9776<1[049S84?9<2Z7=3;4P=03:0=W4;;596^323<6?U:5;7?0\1<;>49S8739=2Z7>;0:;Q>13;3V;:7?0\1=?>49S8679=2Z7??0:;Q>07;3V;;?4>7]2<7?78T95?6<1[0>714:R?7;3;285_<53=1>V;<;4>7]2;3?78T9236<1[09;15:R?03803Y6?;7>15:R?02833Y6?295_<4<7?U:16=1[0:0;;Q>;:1=W404?7]O]T69SGLH;8720\NGA<02=<>VDAG6:=364PBKM844902ZHEC2>3?:8TFOI48>546^LIO>21;>VDAG6:5394PBKM848?3YIBB199SGLH;:8437]MFN=01:==WK@D7>>07;QAJJ943611[OD@324<;?UENF58=255_CHL?628?3YIBB1<7>99SGLH;:04<7]MFN=0=<>VDAG68<364PBKM867902ZHEC2<2?:8TFOI4:9546^LIO>00;>;18:R@MK:4>720\NGA<25=<>VDAG684364PBKM86?9?2ZHEC2<>99SGLH;<9437]MFN=62:==WK@D78?07;QAJJ924611[OD@345<;?UENF5>>255_CHL?038f3YIBB1:8:1<;?UENF5><2:5_CHL?0;169SGLH;07=0\NGA<8<;?UEH]]6;245_CNWW846912ZHCXZ310<:?UEH]]6:>374PBMVP974601[OB[[<06==>VDG\^7=806;QALQQ:6>730\NAZT=34:<=WKF__0<619:R@KPR;90437]M@UU>2:<=WKF__0?>19:R@KPR;:8427]M@UU>16;?89SGJSS4;>556^LOTV?608>3YIDYY2=6?;8TFIR\58<245_CNWW87>912ZHCXZ328<;?UEH]]69245_CNWW866912ZHCXZ330<:?UEH]]68>374PBMVP954601[OB[[<26==>VDG\^7?806;QALQQ:4>730\NAZT=14:<=WKF__0>619:R@KPR;;0437]M@UU>0:<=WKF__09>19:R@KPR;<8427]M@UU>76;?89SGJSS4=>556^LOTV?008>3YIDYY2;6?`8TFIR\5><6=06;QALQQ:3?720\NAZT=6=<>VDG\^79364PBMVP90902ZHCXZ37?:8TFIR\52546^LOTV?=;g0\_KH1:S0?T7292X37_OB17Z2@1=U[]K?7_][B59QWQEf3[Y_OL\]YHL7?WUSL=1Y_YC6;SQWKUKHLL>0^^Z_7:PPPQ_WM81X86]LLS32?VNUMNUNTY\NCU]MCFc<[AXNKRCFN^QJ^@><[BC[S]GA6:QLQWEB;2Y[C:5\RMA22@2<[PDH86ZVPDc8QVCUWHFBM^m4URGQ[SOTAKFN?6XLC89UM@QX\PZN86YLLJ08S@5<_LK:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C>7UA]CX48\VRKAKh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5829\[Z^KFDUTS0^m2=<=XWVRGB@QP_2]\[P6(oVgjo#cnc.djvZubdli#jka_dzwakbblVg~`y iis-emwYtmeohx"ijn^jbhcdffmUoingbauklj+`nz&mnbRlcaoi\v`a(oldTnaoak^pfcv]7U'hgmcePrde\|*tboVce|xz>949\[Z^KFDUTS9QP_T2,cZkfk'gjo"hfr^qfh`es'noeShv{eoff`Zkrd}$me!iis]paicd|&mnbRfnlg`bjaYcmjcfmyg`n/djv*abfVxmh"ijn^qwvpes&noeSca}m/ampwj(EdsSkh_hlsqq76?k1TSRVCNL]\[0YXW\:$kRcnc/obg*`nzVyn`hm{/fgm[`~smgnnhRczlu,emw)aa{Uxiaklt.efjZnfdohjbiQkebkneqohf'lb~"acnff[fhszeUo`t2>>^grj4>63VUTTA@B_^]5[ZYR8&mTalm!m`a,bltX{lfnoy!heo]f|qcillnTaxb{.gkq+couWzoginz gdl\ldjajhdoSiklilcwmjh)n`x$^h}jt^MQ[Atn~lxJBIMcobiFjddkm8o>7RQPXMLN[ZY0WVU^<"iPm`a-ide(n`xThbjcu-dakYbp}oehhjPmtnw*cou'ocyS~kcebv,c`hX`hfmnl`k_eg`mhgsafd%jd| gdl\bljsm{Ui`bmd/fgm[lhcmyoehl`{_bmo_7[)oldT}bft^alh^6Z&noeSyosZ1^*bciWmkmR}vm^abwf(`mgUecc!d`vb[vjWjkxoRjcy.AF[RCXIGNT=;;Po0;7?ZYXPEDFSRQ7_^]V4*aXehi%alm fhp\w`jbk}%licQjxugm``bXe|f"kg}/gkq[vckmj~$kh`Ph`nefdhcWmohe`o{inl-blt(oldT~hb`ae,qaiiflViexb CNGE[RCXKFOMSAJPAOF\<?01236g=edb:;<=>?02`8fim789:;<=:m;cnh456789:>n6lck1234567>k1i`f>?012342d?01:a?gjl89:;<=>6b:`oo56789:;mo5mlj2345678kh0nae?012345ee3kf`<=>?012gf>dkc9:;<=>?ec9ahn6789:;?01226g=edb:;<=>?12`8fim789:;<<:m;cnh456789;>n6lck1234566>k1i`f>?012352d?00:a?gjl89:;<=?6b:`oo56789::mo5mlj2345679kh0nae?012344ee3kf`<=>?013gf>dkc9:;<=>>ec9ahn6789:;=kl4bmi345678;:i7obd01234546j2hgg=>?01216g=edb:;<=>?22`8fim789:;n6lck1234565>k1i`f>?012362d?03:a?gjl89:;<=<6b:`oo56789:9mo5mlj234567:kh0nae?012347ee3kf`<=>?010gf>dkc9:;<=>=ec9ahn6789:;>kl4bmi345678::i7obd01234556j2hgg=>?01206g=edb:;<=>?32`8fim789:;<>:m;cnh4567899>n6lck1234564>k1i`f>?012372d?02:a?gjl89:;<==6b:`oo56789:8mo5mlj234567;kh0nae?012346ee3kf`<=>?011gf>dkc9:;<=>?01276g=edb:;<=>?42`8fim789:;<9:m;cnh456789>>n6lck1234563>k1i`f>?012302d?05:a?gjl89:;<=:6b:`oo56789:?mo5mlj234567?016gf>dkc9:;<=>;ec9ahn6789:;8kl4bmi345678<:i7obd01234536j2hgg=>?01266g=edb:;<=>?52`8fim789:;<8:m;cnh456789?>n6lck1234562>k1i`f>?012312d?04:a?gjl89:;<=;6b:`oo56789:>mo5mlj234567=kh0nae?012340ee3kf`<=>?017gf>dkc9:;<=>:ec9ahn6789:;9kl4bmi345678?:i7obd01234506j2hgg=>?01256g=edb:;<=>?62`8fim789:;<;:m;cnh456789<>n6lck1234561>k1i`f>?012322d?07:a?gjl89:;<=86b:`oo56789:=mo5mlj234567>kh0nae?012343ee3kf`<=>?014gf>dkc9:;<=>9ec9ahn6789:;:kl4bmi345678>:i7obd01234516j2hgg=>?01246g=edb:;<=>?72`8fim789:;<::m;cnh456789=>n6lck1234560>k1i`f>?012332d?06:a?gjl89:;<=96b:`oo56789:?015gf>dkc9:;<=>8ec9ahn6789:;;kl4bmi3456781:i7obd012345>6j2hgg=>?012;6g=edb:;<=>?82`8fim789:;<5:m;cnh4567892>n6lck123456?>k1i`f>?0123<2d?09:a?gjl89:;<=66b:`oo56789:3mo5mlj2345670kh0nae?01234=ee3kf`<=>?01:gf>dkc9:;<=>7ec9ahn6789:;4kl4bmi3456780:i7obd012345?6j2hgg=>?012:6g=edb:;<=>?92`8fim789:;<4:m;cnh4567893>n6lck123456>>k1i`f>?0123=2d?08:a?gjl89:;<=76b:`oo56789:2mo5mlj2345671kh0nae?01234?01;gf>dkc9:;<=>6ec9ahn6789:;5kl4bmi345678h:i7obd012345g6j2hgg=>?012b6g=edb:;<=>?a2`8fim789:;n6lck123456f>k1i`f>?0123e2d?0`:a?gjl89:;<=o6b:`oo56789:jmo5mlj234567ikh0nae?01234dee3kf`<=>?01cgf>dkc9:;<=>nec9ahn6789:;mkl4bmi345678k:i7obd012345d6j2hgg=>?012a6g=edb:;<=>?b2`8fim789:;n6lck123456e>k1i`f>?0123f2d?0c:a?gjl89:;<=l6b:`oo56789:imo5mlj234567jkh0nae?01234gee3kf`<=>?01`gf>dkc9:;<=>mec9ahn6789:;nkl4bmi345678j:i7obd012345e6j2hgg=>?012`6g=edb:;<=>?c2`8fim789:;n6lck123456d>k1i`f>?0123g2d?0b:a?gjl89:;<=m6b:`oo56789:hmo5mlj234567kkh0nae?01234fee3kf`<=>?01agf>dkc9:;<=>lec9ahn6789:;okl4bmi345678m:i7obd012345b6j2hgg=>?012g6g=edb:;<=>?d2`8fim789:;n6lck123456c>k1i`f>?0123`2d?0e:a?gjl89:;<=j6b:`oo56789:omo5mlj234567lkh0nae?01234aee3kf`<=>?01fgf>dkc9:;<=>kec9ahn6789:;hkl4bmi345678l:i7obd012345c6j2hgg=>?012f6g=edb:;<=>?e2`8fim789:;n6lck123456b>k1i`f>?0123a2d?0d:a?gjl89:;<=k6b:`oo56789:nmo5mlj234567mkh0nae?01234`ee3kf`<=>?01ggf>dkc9:;<=>jec9ahn6789:;ikl4bmi345678o:i7obd012345`6j2hgg=>?012e6g=edb:;<=>?f2`8fim789:;n6lck123456a>k1i`f>?0123b2d?0g:a?gjl89:;<=h6b:`oo56789:mmo5mlj234567nkh0nae?01234cee3kf`<=>?01dgf>dkc9:;<=>iec9ahn6789:;jkl4bmi3456799:i7obd01234466j2hgg=>?01336g=edb:;<=>>02`8fim789:;==:m;cnh456788:>n6lck1234577>k1i`f>?012242d?11:a?gjl89:;<<>6b:`oo56789;;mo5mlj2345668kh0nae?012355ee3kf`<=>?002gf>dkc9:;<=??ec9ahn6789::?01326g=edb:;<=>>12`8fim789:;=<:m;cnh456788;>n6lck1234576>k1i`f>?012252d?10:a?gjl89:;<?003gf>dkc9:;<=?>ec9ahn6789::=kl4bmi345679;:i7obd01234446j2hgg=>?01316g=edb:;<=>>22`8fim789:;=?:m;cnh4567888>n6lck1234575>k1i`f>?012262d?13:a?gjl89:;<<<6b:`oo56789;9mo5mlj234566:kh0nae?012357ee3kf`<=>?000gf>dkc9:;<=?=ec9ahn6789::>kl4bmi345679::i7obd01234456j2hgg=>?01306g=edb:;<=>>32`8fim789:;=>:m;cnh4567889>n6lck1234574>k1i`f>?012272d?12:a?gjl89:;<<=6b:`oo56789;8mo5mlj234566;kh0nae?012356ee3kf`<=>?001gf>dkc9:;<=??01376g=edb:;<=>>42`8fim789:;=9:m;cnh456788>>n6lck1234573>k1i`f>?012202d?15:a?gjl89:;<<:6b:`oo56789;?mo5mlj234566?006gf>dkc9:;<=?;ec9ahn6789::8kl4bmi345679<:i7obd01234436j2hgg=>?01366g=edb:;<=>>52`8fim789:;=8:m;cnh456788?>n6lck1234572>k1i`f>?012212d?14:a?gjl89:;<<;6b:`oo56789;>mo5mlj234566=kh0nae?012350ee3kf`<=>?007gf>dkc9:;<=?:ec9ahn6789::9kl4bmi345679?:i7obd01234406j2hgg=>?01356g=edb:;<=>>62`8fim789:;=;:m;cnh456788<>n6lck1234571>k1i`f>?012222d?17:a?gjl89:;<<86b:`oo56789;=mo5mlj234566>kh0nae?012353ee3kf`<=>?004gf>dkc9:;<=?9ec9ahn6789:::kl4bmi345679>:i7obd01234416j2hgg=>?01346g=edb:;<=>>72`8fim789:;=::m;cnh456788=>n6lck1234570>k1i`f>?012232d?16:a?gjl89:;<<96b:`oo56789;?005gf>dkc9:;<=?8ec9ahn6789::;kl4bmi3456791:i7obd012344>6j2hgg=>?013;6g=edb:;<=>>82`8fim789:;=5:m;cnh4567882>n6lck123457?>k1i`f>?0122<2d?19:a?gjl89:;<<66b:`oo56789;3mo5mlj2345660kh0nae?01235=ee3kf`<=>?00:gf>dkc9:;<=?7ec9ahn6789::4kl4bmi3456790:i7obd012344?6j2hgg=>?013:6g=edb:;<=>>92`8fim789:;=4:m;cnh4567883>n6lck123457>>k1i`f>?0122=2d?18:a?gjl89:;<<76b:`oo56789;2mo5mlj2345661kh0nae?01235?00;gf>dkc9:;<=?6ec9ahn6789::5kl4bmi345679h:i7obd012344g6j2hgg=>?013b6g=edb:;<=>>a2`8fim789:;=l:m;cnh456788k>n6lck123457f>k1i`f>?0122e2d?1`:a?gjl89:;<?00cgf>dkc9:;<=?nec9ahn6789::mkl4bmi345679k:i7obd012344d6j2hgg=>?013a6g=edb:;<=>>b2`8fim789:;=o:m;cnh456788h>n6lck123457e>k1i`f>?0122f2d?1c:a?gjl89:;<?00`gf>dkc9:;<=?mec9ahn6789::nkl4bmi345679j:i7obd012344e6j2hgg=>?013`6g=edb:;<=>>c2`8fim789:;=n:m;cnh456788i>n6lck123457d>k1i`f>?0122g2d?1b:a?gjl89:;<?00agf>dkc9:;<=?lec9ahn6789::okl4bmi345679m:i7obd012344b6j2hgg=>?013g6g=edb:;<=>>d2`8fim789:;=i:m;cnh456788n>n6lck123457c>k1i`f>?0122`2d?1e:a?gjl89:;<?00fgf>dkc9:;<=?kec9ahn6789::hkl4bmi345679l:i7obd012344c6j2hgg=>?013f6g=edb:;<=>>e2`8fim789:;=h:m;cnh456788o>n6lck123457b>k1i`f>?0122a2d?1d:a?gjl89:;<?00ggf>dkc9:;<=?jec9ahn6789::ikl4bmi345679o:i7obd012344`6j2hgg=>?013e6g=edb:;<=>>f2`8fim789:;=k:m;cnh456788l>n6lck123457a>k1i`f>?0122b2d?1g:a?gjl89:;<?00dgf>dkc9:;<=?iec9ahn6789::jkl4bmi34567:9:i7obd01234766j2hgg=>?01036g=edb:;<=>=02`8fim789:;>=:m;cnh45678;:>n6lck1234547>k1i`f>?012142d?21:a?gjl89:;6b:`oo567898;mo5mlj2345658kh0nae?012365ee3kf`<=>?032gf>dkc9:;<=?01026g=edb:;<=>=12`8fim789:;><:m;cnh45678;;>n6lck1234546>k1i`f>?012152d?20:a?gjl89:;?033gf>dkc9:;<=<>ec9ahn6789:9=kl4bmi34567:;:i7obd01234746j2hgg=>?01016g=edb:;<=>=22`8fim789:;>?:m;cnh45678;8>n6lck1234545>k1i`f>?012162d?23:a?gjl89:;?030gf>dkc9:;<=<=ec9ahn6789:9>kl4bmi34567:::i7obd01234756j2hgg=>?01006g=edb:;<=>=32`8fim789:;>>:m;cnh45678;9>n6lck1234544>k1i`f>?012172d?22:a?gjl89:;?031gf>dkc9:;<=<?01076g=edb:;<=>=42`8fim789:;>9:m;cnh45678;>>n6lck1234543>k1i`f>?012102d?25:a?gjl89:;?036gf>dkc9:;<=<;ec9ahn6789:98kl4bmi34567:<:i7obd01234736j2hgg=>?01066g=edb:;<=>=52`8fim789:;>8:m;cnh45678;?>n6lck1234542>k1i`f>?012112d?24:a?gjl89:;mo5mlj234565=kh0nae?012360ee3kf`<=>?037gf>dkc9:;<=<:ec9ahn6789:99kl4bmi34567:?:i7obd01234706j2hgg=>?01056g=edb:;<=>=62`8fim789:;>;:m;cnh45678;<>n6lck1234541>k1i`f>?012122d?27:a?gjl89:;kh0nae?012363ee3kf`<=>?034gf>dkc9:;<=<9ec9ahn6789:9:kl4bmi34567:>:i7obd01234716j2hgg=>?01046g=edb:;<=>=72`8fim789:;>::m;cnh45678;=>n6lck1234540>k1i`f>?012132d?26:a?gjl89:;?035gf>dkc9:;<=<8ec9ahn6789:9;kl4bmi34567:1:i7obd012347>6j2hgg=>?010;6g=edb:;<=>=82`8fim789:;>5:m;cnh45678;2>n6lck123454?>k1i`f>?0121<2d?29:a?gjl89:;?03:gf>dkc9:;<=<7ec9ahn6789:94kl4bmi34567:0:i7obd012347?6j2hgg=>?010:6g=edb:;<=>=92`8fim789:;>4:m;cnh45678;3>n6lck123454>>k1i`f>?0121=2d?28:a?gjl89:;?03;gf>dkc9:;<=<6ec9ahn6789:95kl4bmi34567:h:i7obd012347g6j2hgg=>?010b6g=edb:;<=>=a2`8fim789:;>l:m;cnh45678;k>n6lck123454f>k1i`f>?0121e2d?2`:a?gjl89:;?03cgf>dkc9:;<=?010a6g=edb:;<=>=b2`8fim789:;>o:m;cnh45678;h>n6lck123454e>k1i`f>?0121f2d?2c:a?gjl89:;?03`gf>dkc9:;<=?010`6g=edb:;<=>=c2`8fim789:;>n:m;cnh45678;i>n6lck123454d>k1i`f>?0121g2d?2b:a?gjl89:;?03agf>dkc9:;<=?010g6g=edb:;<=>=d2`8fim789:;>i:m;cnh45678;n>n6lck123454c>k1i`f>?0121`2d?2e:a?gjl89:;?03fgf>dkc9:;<=?010f6g=edb:;<=>=e2`8fim789:;>h:m;cnh45678;o>n6lck123454b>k1i`f>?0121a2d?2d:a?gjl89:;?03ggf>dkc9:;<=?010e6g=edb:;<=>=f2`8fim789:;>k:m;cnh45678;l>n6lck123454a>k1i`f>?0121b2d?2g:a?gjl89:;?03dgf>dkc9:;<=?01136g=edb:;<=><02`8fim789:;?=:m;cnh45678::>n6lck1234557>k1i`f>?012042d?31:a?gjl89:;<>>6b:`oo567899;mo5mlj2345648kh0nae?012375ee3kf`<=>?022gf>dkc9:;<==?ec9ahn6789:8?01126g=edb:;<=><12`8fim789:;?<:m;cnh45678:;>n6lck1234556>k1i`f>?012052d?30:a?gjl89:;<>?6b:`oo567899:mo5mlj2345649kh0nae?012374ee3kf`<=>?023gf>dkc9:;<==>ec9ahn6789:8=kl4bmi34567;;:i7obd01234646j2hgg=>?01116g=edb:;<=><22`8fim789:;??:m;cnh45678:8>n6lck1234555>k1i`f>?012062d?33:a?gjl89:;<><6b:`oo5678999mo5mlj234564:kh0nae?012377ee3kf`<=>?020gf>dkc9:;<===ec9ahn6789:8>kl4bmi34567;::i7obd01234656j2hgg=>?01106g=edb:;<=><32`8fim789:;?>:m;cnh45678:9>n6lck1234554>k1i`f>?012072d?32:a?gjl89:;<>=6b:`oo5678998mo5mlj234564;kh0nae?012376ee3kf`<=>?021gf>dkc9:;<==?01176g=edb:;<=><42`8fim789:;?9:m;cnh45678:>>n6lck1234553>k1i`f>?012002d?35:a?gjl89:;<>:6b:`oo567899?mo5mlj234564?026gf>dkc9:;<==;ec9ahn6789:88kl4bmi34567;<:i7obd01234636j2hgg=>?01166g=edb:;<=><52`8fim789:;?8:m;cnh45678:?>n6lck1234552>k1i`f>?012012d?34:a?gjl89:;<>;6b:`oo567899>mo5mlj234564=kh0nae?012370ee3kf`<=>?027gf>dkc9:;<==:ec9ahn6789:89kl4bmi34567;?:i7obd01234606j2hgg=>?01156g=edb:;<=><62`8fim789:;?;:m;cnh45678:<>n6lck1234551>k1i`f>?012022d?37:a?gjl89:;<>86b:`oo567899=mo5mlj234564>kh0nae?012373ee3kf`<=>?024gf>dkc9:;<==9ec9ahn6789:8:kl4bmi34567;>:i7obd01234616j2hgg=>?01146g=edb:;<=><72`8fim789:;?::m;cnh45678:=>n6lck1234550>k1i`f>?012032d?36:a?gjl89:;<>96b:`oo567899?025gf>dkc9:;<==8ec9ahn6789:8;kl4bmi34567;1:i7obd012346>6j2hgg=>?011;6g=edb:;<=><82`8fim789:;?5:m;cnh45678:2>n6lck123455?>k1i`f>?0120<2d?39:a?gjl89:;<>66b:`oo5678993mo5mlj2345640kh0nae?01237=ee3kf`<=>?02:gf>dkc9:;<==7ec9ahn6789:84kl4bmi34567;0:i7obd012346?6j2hgg=>?011:6g=edb:;<=><92`8fim789:;?4:m;cnh45678:3>n6lck123455>>k1i`f>?0120=2d?38:a?gjl89:;<>76b:`oo5678992mo5mlj2345641kh0nae?01237?02;gf>dkc9:;<==6ec9ahn6789:85kl4bmi34567;h:i7obd012346g6j2hgg=>?011b6g=edb:;<=>n6lck123455f>k1i`f>?0120e2d?3`:a?gjl89:;<>o6b:`oo567899jmo5mlj234564ikh0nae?01237dee3kf`<=>?02cgf>dkc9:;<==nec9ahn6789:8mkl4bmi34567;k:i7obd012346d6j2hgg=>?011a6g=edb:;<=>n6lck123455e>k1i`f>?0120f2d?3c:a?gjl89:;<>l6b:`oo567899imo5mlj234564jkh0nae?01237gee3kf`<=>?02`gf>dkc9:;<==mec9ahn6789:8nkl4bmi34567;j:i7obd012346e6j2hgg=>?011`6g=edb:;<=>n6lck123455d>k1i`f>?0120g2d?3b:a?gjl89:;<>m6b:`oo567899hmo5mlj234564kkh0nae?01237fee3kf`<=>?02agf>dkc9:;<==lec9ahn6789:8okl4bmi34567;m:i7obd012346b6j2hgg=>?011g6g=edb:;<=>n6lck123455c>k1i`f>?0120`2d?3e:a?gjl89:;<>j6b:`oo567899omo5mlj234564lkh0nae?01237aee3kf`<=>?02fgf>dkc9:;<==kec9ahn6789:8hkl4bmi34567;l:i7obd012346c6j2hgg=>?011f6g=edb:;<=>n6lck123455b>k1i`f>?0120a2d?3d:a?gjl89:;<>k6b:`oo567899nmo5mlj234564mkh0nae?01237`ee3kf`<=>?02ggf>dkc9:;<==jec9ahn6789:8ikl4bmi34567;o:i7obd012346`6j2hgg=>?011e6g=edb:;<=>n6lck123455a>k1i`f>?0120b2d?3g:a?gjl89:;<>h6b:`oo567899mmo5mlj234564nkh0nae?01237cee3kf`<=>?02dgf>dkc9:;<==iec9ahn6789:8jkl4bmi34567<9:i7obd01234166j2hgg=>?01636g=edb:;<=>;02`8fim789:;8=:m;cnh45678=:>n6lck1234527>k1i`f>?012742d?41:a?gjl89:;<9>6b:`oo56789>;mo5mlj2345638kh0nae?012305ee3kf`<=>?052gf>dkc9:;<=:?ec9ahn6789:??01626g=edb:;<=>;12`8fim789:;8<:m;cnh45678=;>n6lck1234526>k1i`f>?012752d?40:a?gjl89:;<9?6b:`oo56789>:mo5mlj2345639kh0nae?012304ee3kf`<=>?053gf>dkc9:;<=:>ec9ahn6789:?=kl4bmi34567<;:i7obd01234146j2hgg=>?01616g=edb:;<=>;22`8fim789:;8?:m;cnh45678=8>n6lck1234525>k1i`f>?012762d?43:a?gjl89:;<9<6b:`oo56789>9mo5mlj234563:kh0nae?012307ee3kf`<=>?050gf>dkc9:;<=:=ec9ahn6789:?>kl4bmi34567<::i7obd01234156j2hgg=>?01606g=edb:;<=>;32`8fim789:;8>:m;cnh45678=9>n6lck1234524>k1i`f>?012772d?42:a?gjl89:;<9=6b:`oo56789>8mo5mlj234563;kh0nae?012306ee3kf`<=>?051gf>dkc9:;<=:?01676g=edb:;<=>;42`8fim789:;89:m;cnh45678=>>n6lck1234523>k1i`f>?012702d?45:a?gjl89:;<9:6b:`oo56789>?mo5mlj234563?056gf>dkc9:;<=:;ec9ahn6789:?8kl4bmi34567<<:i7obd01234136j2hgg=>?01666g=edb:;<=>;52`8fim789:;88:m;cnh45678=?>n6lck1234522>k1i`f>?012712d?44:a?gjl89:;<9;6b:`oo56789>>mo5mlj234563=kh0nae?012300ee3kf`<=>?057gf>dkc9:;<=::ec9ahn6789:?9kl4bmi34567?01656g=edb:;<=>;62`8fim789:;8;:m;cnh45678=<>n6lck1234521>k1i`f>?012722d?47:a?gjl89:;<986b:`oo56789>=mo5mlj234563>kh0nae?012303ee3kf`<=>?054gf>dkc9:;<=:9ec9ahn6789:?:kl4bmi34567<>:i7obd01234116j2hgg=>?01646g=edb:;<=>;72`8fim789:;8::m;cnh45678==>n6lck1234520>k1i`f>?012732d?46:a?gjl89:;<996b:`oo56789>?055gf>dkc9:;<=:8ec9ahn6789:?;kl4bmi34567<1:i7obd012341>6j2hgg=>?016;6g=edb:;<=>;82`8fim789:;85:m;cnh45678=2>n6lck123452?>k1i`f>?0127<2d?49:a?gjl89:;<966b:`oo56789>3mo5mlj2345630kh0nae?01230=ee3kf`<=>?05:gf>dkc9:;<=:7ec9ahn6789:?4kl4bmi34567<0:i7obd012341?6j2hgg=>?016:6g=edb:;<=>;92`8fim789:;84:m;cnh45678=3>n6lck123452>>k1i`f>?0127=2d?48:a?gjl89:;<976b:`oo56789>2mo5mlj2345631kh0nae?01230?05;gf>dkc9:;<=:6ec9ahn6789:?5kl4bmi34567?016b6g=edb:;<=>;a2`8fim789:;8l:m;cnh45678=k>n6lck123452f>k1i`f>?0127e2d?4`:a?gjl89:;<9o6b:`oo56789>jmo5mlj234563ikh0nae?01230dee3kf`<=>?05cgf>dkc9:;<=:nec9ahn6789:?mkl4bmi34567?016a6g=edb:;<=>;b2`8fim789:;8o:m;cnh45678=h>n6lck123452e>k1i`f>?0127f2d?4c:a?gjl89:;<9l6b:`oo56789>imo5mlj234563jkh0nae?01230gee3kf`<=>?05`gf>dkc9:;<=:mec9ahn6789:?nkl4bmi34567?016`6g=edb:;<=>;c2`8fim789:;8n:m;cnh45678=i>n6lck123452d>k1i`f>?0127g2d?4b:a?gjl89:;<9m6b:`oo56789>hmo5mlj234563kkh0nae?01230fee3kf`<=>?05agf>dkc9:;<=:lec9ahn6789:?okl4bmi34567?016g6g=edb:;<=>;d2`8fim789:;8i:m;cnh45678=n>n6lck123452c>k1i`f>?0127`2d?4e:a?gjl89:;<9j6b:`oo56789>omo5mlj234563lkh0nae?01230aee3kf`<=>?05fgf>dkc9:;<=:kec9ahn6789:?hkl4bmi34567?016f6g=edb:;<=>;e2`8fim789:;8h:m;cnh45678=o>n6lck123452b>k1i`f>?0127a2d?4d:a?gjl89:;<9k6b:`oo56789>nmo5mlj234563mkh0nae?01230`ee3kf`<=>?05ggf>dkc9:;<=:jec9ahn6789:?ikl4bmi34567?016e6g=edb:;<=>;f2`8fim789:;8k:m;cnh45678=l>n6lck123452a>k1i`f>?0127b2d?4g:a?gjl89:;<9h6b:`oo56789>mmo5mlj234563nkh0nae?01230cee3kf`<=>?05dgf>dkc9:;<=:iec9ahn6789:?jkl4bmi34567=9:i7obd01234066j2hgg=>?01736g=edb:;<=>:02`8fim789:;9=:m;cnh45678<:>n6lck1234537>k1i`f>?012642d?51:a?gjl89:;<8>6b:`oo56789?;mo5mlj2345628kh0nae?012315ee3kf`<=>?042gf>dkc9:;<=;?ec9ahn6789:>?01726g=edb:;<=>:12`8fim789:;9<:m;cnh45678<;>n6lck1234536>k1i`f>?012652d?50:a?gjl89:;<8?6b:`oo56789?:mo5mlj2345629kh0nae?012314ee3kf`<=>?043gf>dkc9:;<=;>ec9ahn6789:>=kl4bmi34567=;:i7obd01234046j2hgg=>?01716g=edb:;<=>:22`8fim789:;9?:m;cnh45678<8>n6lck1234535>k1i`f>?012662d?53:a?gjl89:;<8<6b:`oo56789?9mo5mlj234562:kh0nae?012317ee3kf`<=>?040gf>dkc9:;<=;=ec9ahn6789:>>kl4bmi34567=::i7obd01234056j2hgg=>?01706g=edb:;<=>:32`8fim789:;9>:m;cnh45678<9>n6lck1234534>k1i`f>?012672d?52:a?gjl89:;<8=6b:`oo56789?8mo5mlj234562;kh0nae?012316ee3kf`<=>?041gf>dkc9:;<=;?kl4bmi34567==:i7obd01234026j2hgg=>?01776g=edb:;<=>:42`8fim789:;99:m;cnh45678<>>n6lck1234533>k1i`f>?012602d?55:a?gjl89:;<8:6b:`oo56789??mo5mlj234562?046gf>dkc9:;<=;;ec9ahn6789:>8kl4bmi34567=<:i7obd01234036j2hgg=>?01766g=edb:;<=>:52`8fim789:;98:m;cnh45678n6lck1234532>k1i`f>?012612d?54:a?gjl89:;<8;6b:`oo56789?>mo5mlj234562=kh0nae?012310ee3kf`<=>?047gf>dkc9:;<=;:ec9ahn6789:>9kl4bmi34567=?:i7obd01234006j2hgg=>?01756g=edb:;<=>:62`8fim789:;9;:m;cnh45678<<>n6lck1234531>k1i`f>?012622d?57:a?gjl89:;<886b:`oo56789?=mo5mlj234562>kh0nae?012313ee3kf`<=>?044gf>dkc9:;<=;9ec9ahn6789:>:kl4bmi34567=>:i7obd01234016j2hgg=>?01746g=edb:;<=>:72`8fim789:;9::m;cnh45678<=>n6lck1234530>k1i`f>?012632d?56:a?gjl89:;<896b:`oo56789??045gf>dkc9:;<=;8ec9ahn6789:>;kl4bmi34567=1:i7obd012340>6j2hgg=>?017;6g=edb:;<=>:82`8fim789:;95:m;cnh45678<2>n6lck123453?>k1i`f>?0126<2d?59:a?gjl89:;<866b:`oo56789?3mo5mlj2345620kh0nae?01231=ee3kf`<=>?04:gf>dkc9:;<=;7ec9ahn6789:>4kl4bmi34567=0:i7obd012340?6j2hgg=>?017:6g=edb:;<=>:92`8fim789:;94:m;cnh45678<3>n6lck123453>>k1i`f>?0126=2d?58:a?gjl89:;<876b:`oo56789?2mo5mlj2345621kh0nae?01231?04;gf>dkc9:;<=;6ec9ahn6789:>5kl4bmi34567=h:i7obd012340g6j2hgg=>?017b6g=edb:;<=>:a2`8fim789:;9l:m;cnh45678n6lck123453f>k1i`f>?0126e2d?5`:a?gjl89:;<8o6b:`oo56789?jmo5mlj234562ikh0nae?01231dee3kf`<=>?04cgf>dkc9:;<=;nec9ahn6789:>mkl4bmi34567=k:i7obd012340d6j2hgg=>?017a6g=edb:;<=>:b2`8fim789:;9o:m;cnh45678n6lck123453e>k1i`f>?0126f2d?5c:a?gjl89:;<8l6b:`oo56789?imo5mlj234562jkh0nae?01231gee3kf`<=>?04`gf>dkc9:;<=;mec9ahn6789:>nkl4bmi34567=j:i7obd012340e6j2hgg=>?017`6g=edb:;<=>:c2`8fim789:;9n:m;cnh45678n6lck123453d>k1i`f>?0126g2d?5b:a?gjl89:;<8m6b:`oo56789?hmo5mlj234562kkh0nae?01231fee3kf`<=>?04agf>dkc9:;<=;lec9ahn6789:>okl4bmi34567=m:i7obd012340b6j2hgg=>?017g6g=edb:;<=>:d2`8fim789:;9i:m;cnh45678n6lck123453c>k1i`f>?0126`2d?5e:a?gjl89:;<8j6b:`oo56789?omo5mlj234562lkh0nae?01231aee3kf`<=>?04fgf>dkc9:;<=;kec9ahn6789:>hkl4bmi34567=l:i7obd012340c6j2hgg=>?017f6g=edb:;<=>:e2`8fim789:;9h:m;cnh45678n6lck123453b>k1i`f>?0126a2d?5d:a?gjl89:;<8k6b:`oo56789?nmo5mlj234562mkh0nae?01231`ee3kf`<=>?04ggf>dkc9:;<=;jec9ahn6789:>ikl4bmi34567=o:i7obd012340`6j2hgg=>?017e6g=edb:;<=>:f2`8fim789:;9k:m;cnh45678n6lck123453a>k1i`f>?0126b2d?5g:a?gjl89:;<8h6b:`oo56789?mmo5mlj234562nkh0nae?01231cee3kf`<=>?04dgf>dkc9:;<=;iec9ahn6789:>jkl4bmi34567>9:i7obd01234366j2hgg=>?01436g=edb:;<=>902`8fim789:;:=:m;cnh45678?:>n6lck1234507>k1i`f>?012542d?61:a?gjl89:;<;>6b:`oo56789<;mo5mlj2345618kh0nae?012325ee3kf`<=>?072gf>dkc9:;<=8?ec9ahn6789:=8:i7obd01234376j2hgg=>?01426g=edb:;<=>912`8fim789:;:<:m;cnh45678?;>n6lck1234506>k1i`f>?012552d?60:a?gjl89:;<;?6b:`oo56789<:mo5mlj2345619kh0nae?012324ee3kf`<=>?073gf>dkc9:;<=8>ec9ahn6789:==kl4bmi34567>;:i7obd01234346j2hgg=>?01416g=edb:;<=>922`8fim789:;:?:m;cnh45678?8>n6lck1234505>k1i`f>?012562d?63:a?gjl89:;<;<6b:`oo56789<9mo5mlj234561:kh0nae?012327ee3kf`<=>?070gf>dkc9:;<=8=ec9ahn6789:=>kl4bmi34567>::i7obd01234356j2hgg=>?01406g=edb:;<=>932`8fim789:;:>:m;cnh45678?9>n6lck1234504>k1i`f>?012572d?62:a?gjl89:;<;=6b:`oo56789<8mo5mlj234561;kh0nae?012326ee3kf`<=>?071gf>dkc9:;<=8=:i7obd01234326j2hgg=>?01476g=edb:;<=>942`8fim789:;:9:m;cnh45678?>>n6lck1234503>k1i`f>?012502d?65:a?gjl89:;<;:6b:`oo56789?076gf>dkc9:;<=8;ec9ahn6789:=8kl4bmi34567><:i7obd01234336j2hgg=>?01466g=edb:;<=>952`8fim789:;:8:m;cnh45678??>n6lck1234502>k1i`f>?012512d?64:a?gjl89:;<;;6b:`oo56789<>mo5mlj234561=kh0nae?012320ee3kf`<=>?077gf>dkc9:;<=8:ec9ahn6789:=9kl4bmi34567>?:i7obd01234306j2hgg=>?01456g=edb:;<=>962`8fim789:;:;:m;cnh45678?<>n6lck1234501>k1i`f>?012522d?67:a?gjl89:;<;86b:`oo56789<=mo5mlj234561>kh0nae?012323ee3kf`<=>?074gf>dkc9:;<=89ec9ahn6789:=:kl4bmi34567>>:i7obd01234316j2hgg=>?01446g=edb:;<=>972`8fim789:;:::m;cnh45678?=>n6lck1234500>k1i`f>?012532d?66:a?gjl89:;<;96b:`oo56789<?075gf>dkc9:;<=88ec9ahn6789:=;kl4bmi34567>1:i7obd012343>6j2hgg=>?014;6g=edb:;<=>982`8fim789:;:5:m;cnh45678?2>n6lck123450?>k1i`f>?0125<2d?69:a?gjl89:;<;66b:`oo56789<3mo5mlj2345610kh0nae?01232=ee3kf`<=>?07:gf>dkc9:;<=87ec9ahn6789:=4kl4bmi34567>0:i7obd012343?6j2hgg=>?014:6g=edb:;<=>992`8fim789:;:4:m;cnh45678?3>n6lck123450>>k1i`f>?0125=2d?68:a?gjl89:;<;76b:`oo56789<2mo5mlj2345611kh0nae?01232?07;gf>dkc9:;<=86ec9ahn6789:=5kl4bmi34567>h:i7obd012343g6j2hgg=>?014b6g=edb:;<=>9a2`8fim789:;:l:m;cnh45678?k>n6lck123450f>k1i`f>?0125e2d?6`:a?gjl89:;<;o6b:`oo56789?07cgf>dkc9:;<=8nec9ahn6789:=mkl4bmi34567>k:i7obd012343d6j2hgg=>?014a6g=edb:;<=>9b2`8fim789:;:o:m;cnh45678?h>n6lck123450e>k1i`f>?0125f2d?6c:a?gjl89:;<;l6b:`oo56789?07`gf>dkc9:;<=8mec9ahn6789:=nkl4bmi34567>j:i7obd012343e6j2hgg=>?014`6g=edb:;<=>9c2`8fim789:;:n:m;cnh45678?i>n6lck123450d>k1i`f>?0125g2d?6b:a?gjl89:;<;m6b:`oo56789?07agf>dkc9:;<=8lec9ahn6789:=okl4bmi34567>m:i7obd012343b6j2hgg=>?014g6g=edb:;<=>9d2`8fim789:;:i:m;cnh45678?n>n6lck123450c>k1i`f>?0125`2d?6e:a?gjl89:;<;j6b:`oo56789?07fgf>dkc9:;<=8kec9ahn6789:=hkl4bmi34567>l:i7obd012343c6j2hgg=>?014f6g=edb:;<=>9e2`8fim789:;:h:m;cnh45678?o>n6lck123450b>k1i`f>?0125a2d?6d:a?gjl89:;<;k6b:`oo56789?07ggf>dkc9:;<=8jec9ahn6789:=ikl4bmi34567>o:i7obd012343`6j2hgg=>?014e6g=edb:;<=>9f2`8fim789:;:k:m;cnh45678?l>n6lck123450a>k1i`f>?0125b2d?6g:a?gjl89:;<;h6b:`oo56789?07dgf>dkc9:;<=8iec9ahn6789:=jkl4bmi34567?9:i7obd01234266j2hgg=>?01536g=edb:;<=>802`8fim789:;;=:m;cnh45678>:>n6lck1234517>k1i`f>?012442d?71:a?gjl89:;<:>6b:`oo56789=;mo5mlj2345608kh0nae?012335ee3kf`<=>?062gf>dkc9:;<=9?ec9ahn6789:<?01526g=edb:;<=>812`8fim789:;;<:m;cnh45678>;>n6lck1234516>k1i`f>?012452d?70:a?gjl89:;<:?6b:`oo56789=:mo5mlj2345609kh0nae?012334ee3kf`<=>?063gf>dkc9:;<=9>ec9ahn6789:<=kl4bmi34567?;:i7obd01234246j2hgg=>?01516g=edb:;<=>822`8fim789:;;?:m;cnh45678>8>n6lck1234515>k1i`f>?012462d?73:a?gjl89:;<:<6b:`oo56789=9mo5mlj234560:kh0nae?012337ee3kf`<=>?060gf>dkc9:;<=9=ec9ahn6789:<>kl4bmi34567?::i7obd01234256j2hgg=>?01506g=edb:;<=>832`8fim789:;;>:m;cnh45678>9>n6lck1234514>k1i`f>?012472d?72:a?gjl89:;<:=6b:`oo56789=8mo5mlj234560;kh0nae?012336ee3kf`<=>?061gf>dkc9:;<=9?01576g=edb:;<=>842`8fim789:;;9:m;cnh45678>>>n6lck1234513>k1i`f>?012402d?75:a?gjl89:;<::6b:`oo56789=?mo5mlj234560?066gf>dkc9:;<=9;ec9ahn6789:<8kl4bmi34567?<:i7obd01234236j2hgg=>?01566g=edb:;<=>852`8fim789:;;8:m;cnh45678>?>n6lck1234512>k1i`f>?012412d?74:a?gjl89:;<:;6b:`oo56789=>mo5mlj234560=kh0nae?012330ee3kf`<=>?067gf>dkc9:;<=9:ec9ahn6789:<9kl4bmi34567??:i7obd01234206j2hgg=>?01556g=edb:;<=>862`8fim789:;;;:m;cnh45678><>n6lck1234511>k1i`f>?012422d?77:a?gjl89:;<:86b:`oo56789==mo5mlj234560>kh0nae?012333ee3kf`<=>?064gf>dkc9:;<=99ec9ahn6789:<:kl4bmi34567?>:i7obd01234216j2hgg=>?01546g=edb:;<=>872`8fim789:;;::m;cnh45678>=>n6lck1234510>k1i`f>?012432d?76:a?gjl89:;<:96b:`oo56789=?065gf>dkc9:;<=98ec9ahn6789:<;kl4bmi34567?1:i7obd012342>6j2hgg=>?015;6g=edb:;<=>882`8fim789:;;5:m;cnh45678>2>n6lck123451?>k1i`f>?0124<2d?79:a?gjl89:;<:66b:`oo56789=3mo5mlj2345600kh0nae?01233=ee3kf`<=>?06:gf>dkc9:;<=97ec9ahn6789:<4kl4bmi34567?0:i7obd012342?6j2hgg=>?015:6g=edb:;<=>892`8fim789:;;4:m;cnh45678>3>n6lck123451>>k1i`f>?0124=2d?78:a?gjl89:;<:76b:`oo56789=2mo5mlj2345601kh0nae?01233?06;gf>dkc9:;<=96ec9ahn6789:<5kl4bmi34567?h:i7obd012342g6j2hgg=>?015b6g=edb:;<=>8a2`8fim789:;;l:m;cnh45678>k>n6lck123451f>k1i`f>?0124e2d?7`:a?gjl89:;<:o6b:`oo56789=jmo5mlj234560ikh0nae?01233dee3kf`<=>?06cgf>dkc9:;<=9nec9ahn6789:?015a6g=edb:;<=>8b2`8fim789:;;o:m;cnh45678>h>n6lck123451e>k1i`f>?0124f2d?7c:a?gjl89:;<:l6b:`oo56789=imo5mlj234560jkh0nae?01233gee3kf`<=>?06`gf>dkc9:;<=9mec9ahn6789:?015`6g=edb:;<=>8c2`8fim789:;;n:m;cnh45678>i>n6lck123451d>k1i`f>?0124g2d?7b:a?gjl89:;<:m6b:`oo56789=hmo5mlj234560kkh0nae?01233fee3kf`<=>?06agf>dkc9:;<=9lec9ahn6789:?015g6g=edb:;<=>8d2`8fim789:;;i:m;cnh45678>n>n6lck123451c>k1i`f>?0124`2d?7e:a?gjl89:;<:j6b:`oo56789=omo5mlj234560lkh0nae?01233aee3kf`<=>?06fgf>dkc9:;<=9kec9ahn6789:?015f6g=edb:;<=>8e2`8fim789:;;h:m;cnh45678>o>n6lck123451b>k1i`f>?0124a2d?7d:a?gjl89:;<:k6b:`oo56789=nmo5mlj234560mkh0nae?01233`ee3kf`<=>?06ggf>dkc9:;<=9jec9ahn6789:?015e6g=edb:;<=>8f2`8fim789:;;k:m;cnh45678>l>n6lck123451a>k1i`f>?0124b2d?7g:a?gjl89:;<:h6b:`oo56789=mmo5mlj234560nkh0nae?01233cee3kf`<=>?06dgf>dkc9:;<=9iec9ahn6789:ehmoUoec&>0(a8gjcaWmce$$m4cnge[aoi 89"o6m`eg]gmk.6< i0obki_ekm,43.k2idikQkio*22,eehmoUoec&=)c9`k``Xl`d#?$l4cnge[aoi =#i7najf^fjj-3.j2idikQkio*5-g=dgllThd`'7(`8gjcaWmce$5'm;bmfbZbnf!3"n6m`eg]gmk:76j1hchhPdhl?558d3jenjRjfn=32:f=dgllThd`313<`?fibnVnbb1?<>b9`k``Xl`d7=90l;bmfbZbnf5;>2n5lodd\`lh;9?4n7najf^fjj970294h7najf^fjj9706k1hchhPdhl?5;dehmoUoec27>c9`k``Xl`d753?=;bmfbZ`nd}oyS~kc(1+20>ehmoUmeazjr^qfh96=87=0obki_mf4?fibnV}nm6jnt`]ueioc>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$84dhl+7,0>4?>99gmkY6 9#37iga_0*2-<=cagU:$<>&9:fjjZ7/98#27iga_0*26,?"56jfn^3+50/>3mceS<&>6(;8`lhX9!;<%45kio]2,4>.12nbbR?'18+;?aoiW8"9%45kio]2,76.12nbbR?'20+:?aoiW8"9>$74dhl\5-44!11oecQ>(2+;?aoiW8"?%55kio]2,0/?3mceS<&9)99gmkY6 >#37iga_0*;-==cagU:$4'6;ekm[46/8 30hd`P11*2-d=cagU:<%??)`9gmkY68!;:%l5kio]24-75!h1oecQ>0)30-d=cagU:<%?;)`9gmkY68!;>%l5kio]24-71!h1oecQ>0)34-d=cagU:<%?7)`9gmkY68!;2%45kio]24-4.i2nbbR??(32*e>bnfV;;$??&a:fjjZ77 ;8"m6jfn^33,75.12nbbR??(2+:?aoiW8:#8$74dhl\55.2!01oecQ>0)4*=>bnfV;;$:'6;ekm[46/0 30hd`P11*:-f=cagU:<1<<:1<:?aoiW8;#<$74dhl\54.6!h1oecQ>1)33-d=cagU:=%?>)`9gmkY69!;9%l5kio]25-74!h1oecQ>1)37-d=cagU:=%?:)`9gmkY69!;=%l5kio]25-70!h1oecQ>1)3;-d=cagU:=%?6)89gmkY69!8"m6jfn^32,76.i2nbbR?>(33*e>bnfV;:$?<&a:fjjZ76 ;9"56jfn^32,6/>3mceS%45kio]25-0.12nbbR?>(6+:?aoiW8;#4$74dhl\54.>!j1oecQ>1=00>58>3mceS<<'0(;8`lhX9;":%l5kio]26-77!h1oecQ>2)32-d=cagU:>%?=)`9gmkY6:!;8%l5kio]26-73!h1oecQ>2)36-d=cagU:>%?9)`9gmkY6:!;<%l5kio]26-7?!h1oecQ>2)3:-<=cagU:>%<&a:fjjZ75 ;:"m6jfn^31,77.i2nbbR?=(30*e>bnfV;9$?=&9:fjjZ75 :#27iga_00+0,?3mceS<<'8(;8`lhX9;"2%n5kio]2694429427iga_01+4,?&>)`9gmkY6;!;;%l5kio]27-76!h1oecQ>3)31-d=cagU:?%?<)`9gmkY6;!;?%l5kio]27-72!h1oecQ>3)35-d=cagU:?%?8)`9gmkY6;!;3%l5kio]27-7>!01oecQ>3)0*e>bnfV;8$?>&a:fjjZ74 ;;"m6jfn^30,74.i2nbbR?<(31*=>bnfV;8$>'6;ekm[45/< 30hd`P12*6-<=cagU:?%8&9:fjjZ74 >#27iga_01+<,?&6)b9gmkY6;5886=06;ekm[42/8 30hd`P15*2-d=cagU:8%??)`9gmkY64)30-d=cagU:8%?;)`9gmkY6%l5kio]20-71!h1oecQ>4)34-d=cagU:8%?7)`9gmkY6bnfV;?$??&a:fjjZ73 ;8"m6jfn^37,75.12nbbR?;(2+:?aoiW8>#8$74dhl\51.2!01oecQ>4)4*=>bnfV;?$:'6;ekm[42/0 30hd`P15*:-f=cagU:81<<:1<:?aoiW8?#<$74dhl\50.6!h1oecQ>5)33-d=cagU:9%?>)`9gmkY6=!;9%l5kio]21-74!h1oecQ>5)37-d=cagU:9%?:)`9gmkY6=!;=%l5kio]21-70!h1oecQ>5)3;-d=cagU:9%?6)89gmkY6=!8"m6jfn^36,76.i2nbbR?:(33*e>bnfV;>$?<&a:fjjZ72 ;9"56jfn^36,6/>3mceS<;'4(;8`lhX9<">%45kio]21-0.12nbbR?:(6+:?aoiW8?#4$74dhl\50.>!j1oecQ>5=00>58>3mceS<8'0(;8`lhX9?":%l5kio]22-77!h1oecQ>6)32-d=cagU::%?=)`9gmkY6>!;8%l5kio]22-73!h1oecQ>6)36-d=cagU::%?9)`9gmkY6>!;<%l5kio]22-7?!h1oecQ>6)3:-<=cagU::%<&a:fjjZ71 ;:"m6jfn^35,77.i2nbbR?9(30*e>bnfV;=$?=&9:fjjZ71 :#27iga_04+0,?!<"56jfn^35,2/>3mceS<8'8(;8`lhX9?"2%n5kio]2294429437iga_0>3:<=cagU:0<>19:fjjZ7;98427iga_0>26;?89gmkY648>556jfn^3?508>3mceS<2>6?;8`lhX95;<245kio]284>912nbbR?318<;?aoiW86:245kio]2876912nbbR?320<:?aoiW869>3l4dhl\594429427iga_0>17;>bnfV;79364dhl\590902nbbR?37?:8`lhX952546jfn^3?=;>%>&8:fjjZ4/9 30hd`P2)33-<=cagU9$%?;)89gmkY5 8?"56jfn^0+53/>3mceS?&>7(;8`lhX:!;3%45kio]1,4?.02nbbR<'2(;8`lhX:!8;%45kio]1,77.12nbbR<'23+:?aoiW;"9?$64dhl\6-5.02nbbR<'4(:8`lhX:!?"46jfn^0+2,>%9&8:fjjZ4/0 20hd`P2);*<>bnfV87<374dhl\6977601oecQ=<03==>bnfV87=?06;ekm[7:6;730hd`P2=37:<=cagU90<;19:fjjZ4;9?427iga_3>23;?1?7>89gmkY5483546jfn^0?5;?189gmkY54;;556jfn^0?678e3mceS?2=3;2==>bnfV87>>07;ekm[7:5611oecQ=<2<;?aoiW;6?255kio]1808?3mceS?29>99gmkY54>437iga_3>;:==cagU90407;ekm[6.7!11oecQ<(0+:?aoiW:":<$74dhl\7-76!01oecQ<(00*=>bnfV9#=>'6;ekm[6.6< 30hd`P3)36-<=cagU8$<8&9:fjjZ5/9>#27iga_2*2<,?)89gmkY4 ;8"56jfn^1+66/?3mceS>&<)99gmkY4 =#37iga_2*6-==cagU8$;'7;ekm[6.0!11oecQ<(9+;?aoiW:"2%o5kio]0875=8720hd`P4)2*<>bnfV>#=$74dhl\0-77!01oecQ;(03*=>bnfV>#=?'6;ekm[1.6; 30hd`P4)37-<=cagU?$<;&9:fjjZ2/9?#27iga_5*23,?3mceS9&=3(:8`lhX 20hd`P4)5*<>bnfV>#4$64dhl\0-?.j2nbbR:32283:==cagU>$='7;ekm[0.6!01oecQ:(02*=>bnfV?#=<'6;ekm[0.6: 30hd`P5)30-<=cagU>$<:&9:fjjZ3/9<#27iga_4*22,?3mceS8&=2(;8`lhX=!88%55kio]6,6/?3mceS8&;)99gmkY2 <#37iga_4*5-==cagU>$:'7;ekm[0.?!11oecQ:(8+a?aoiW<69?7>18:fjjZ0/8 20hd`P6)3*=>bnfV<#=='6;ekm[3.69 30hd`P6)31-<=cagU=$<=&9:fjjZ0/9=#27iga_7*21,?3mceS;&=1(;8`lhX>!89%45kio]5,75.02nbbR8'3(:8`lhX>!>"46jfn^4+1,>bnfV<#5$l4dhl\294429437iga_6*3-==cagU<$<'6;ekm[2.68 30hd`P7)32-<=cagU<$<<&9:fjjZ1/9:#27iga_6*20,?3mceS:&>8(;8`lhX?!;2%55kio]4,7/>3mceS:&=0(;8`lhX?!8:%45kio]4,74.12nbbR9'22+;?aoiW>"8%55kio]4,1/?3mceS:&:)99gmkY0 ?#37iga_6*4-==cagU<$5'7;ekm[2.>!k1oecQ8<3194;>&8:fjjZ>/9 30hd`P8)33-<=cagU3$/9;#27iga_9*27,?3mceS5&>7(;8`lhX0!;3%45kio];,4?.02nbbR6'2(;8`lhX0!8;%45kio];,77.12nbbR6'23+:?aoiW1"9?$64dhl\<-5.02nbbR6'4(:8`lhX0!?"46jfn^:+2,>/0 20hd`P8);*f>bnfV27>>4?>99gmkY> 9#37iga_8*2-<=cagU2$<>&9:fjjZ?/98#27iga_8*26,? 8>"56jfn^;+50/>3mceS4&>6(;8`lhX1!;<%45kio]:,4>.12nbbR7'18+;?aoiW0"9%45kio]:,76.12nbbR7'20+:?aoiW0"9>$74dhl\=-44!11oecQ6(2+;?aoiW0"?%55kio]:,0/?3mceS4&9)99gmkY> >#37iga_8*;-==cagU2$4'm;ekm[<:5;3:5;6j`uu*3-2=cg|~#=$64dnww,46.02ndyy&>1(:8`jss 88"46j`uu*27,>bh}}":;$64dnww,4>.02ndyy&>9(58`jss ;#37iazt)03-==cg|~#><'7;emvp-45!11ocxz'22+;?air|!8?%55kotv+60/?3me~x%<9)99gkpr/:>#37iazt)0;-==cg|~#>4'8;emvp-5.02ndyy&<0(:8`jss :;"46j`uu*06,>=&8:flqq.4< 20hb{{(27*<>bh}}"8:$64dnww,61.02ndyy&<8(:8`jss :3";6j`uu*7-==cg|~#8='7;emvp-26!11ocxz'43+;?air|!>8%55kotv+01/?3me~x%::)99gkpr/bh}}"=%:5kotv+3,1bh}}U:$='6;emvpZ7/9 k0hb{{_0*24,g1(c8`jssW8":>$o4dnww[4.6; k0hb{{_0*20,g5(c8`jssW8"::$o4dnww[4.6? k0hb{{_0*2<,g9(;8`jssW8"9%l5kotv\5-47!h1ocxzP1)02-d=cg|~T=%<=)`9gkprX9!88%l5kotv\5-43!h1ocxzP1)06-d=cg|~T=%<9)`9gkprX9!8<%l5kotv\5-4?!h1ocxzP1)0:-<=cg|~T=%=&a:flqqY6 ::"m6j`uu]2,67.i2ndyyQ>(20*e>bh}}U:$>=&a:flqqY6 :>"m6j`uu]2,63.i2ndyyQ>(24*e>bh}}U:$>9&a:flqqY6 :2"m6j`uu]2,6?.12ndyyQ>(5+b?air|V;#8='n;emvpZ7/<8#j7iazt^3+07/f3me~xR?'42+b?air|V;#89'n;emvpZ7/<<#j7iazt^3+03/>3me~xR?'5(;8`jssW8"=%45kotv\5-1.12ndyyQ>(9+:?air|V;#5$o4dnww[46/8 k0hb{{_02+5,d'11+a?air|V;;$bh}}U:<%?9)c9gkprX99":;$l4dnww[46/91#i7iazt^33,4?.i2ndyyQ>0)0*f>bh}}U:<%0)07-g=cg|~T==&=5(`8`jssW8:#>;'m;emvpZ77 ;="n6j`uu]24-4?!k1ocxzP11*1=,g'3(`8`jssW8:#?='m;emvpZ77 :;"n6j`uu]24-55!k1ocxzP11*07,d'35+a?air|V;;$>;&b:flqqY68!9=%o5kotv\55.4? h0hb{{_02+7=/e3me~xR??(2;*e>bh}}U:<%:&b:flqqY68!>;%o5kotv\55.39 h0hb{{_02+07/e3me~xR??(51*f>bh}}U:<%:;)c9gkprX99"?9$l4dnww[46/1)31-g=cg|~T=<&>3(`8`jssW8;#=9'm;emvpZ76 8?"n6j`uu]25-71!k1ocxzP10*23,d(35*f>bh}}U:=%<7)c9gkprX98"95$o4dnww[47/; h0hb{{_03+75/e3me~xR?>(23*f>bh}}U:=%==)c9gkprX98"8?$l4dnww[47/;=#i7iazt^32,63.j2ndyyQ>1)15-g=cg|~T=<&<7(`8`jssW8;#?5'm;emvpZ76 :3"m6j`uu]25-2.j2ndyyQ>1)63-g=cg|~T=<&;1(`8`jssW8;#8?'m;emvpZ76 =9"n6j`uu]25-23!k1ocxzP10*71,d(9+b?air|V;:$4'k;emvpZ764=<1<3o4dnww[44/8 k0hb{{_00+5,dbh}}U:>%?9)c9gkprX9;":;$l4dnww[44/91#i7iazt^31,4?.i2ndyyQ>2)0*f>bh}}U:>%2)07-g=cg|~T=?&=5(`8`jssW88#>;'m;emvpZ75 ;="n6j`uu]26-4?!k1ocxzP13*1=,g;&b:flqqY6:!9=%o5kotv\57.4? h0hb{{_00+7=/e3me~xR?=(2;*e>bh}}U:>%:&b:flqqY6:!>;%o5kotv\57.39 h0hb{{_00+07/e3me~xR?=(51*f>bh}}U:>%:;)c9gkprX9;"?9$l4dnww[44/3)31-g=cg|~T=>&>3(`8`jssW89#=9'm;emvpZ74 8?"n6j`uu]27-71!k1ocxzP12*23,dbh}}U:?%<7)c9gkprX9:"95$o4dnww[45/; h0hb{{_01+75/e3me~xR?<(23*f>bh}}U:?%==)c9gkprX9:"8?$l4dnww[45/;=#i7iazt^30,63.j2ndyyQ>3)15-g=cg|~T=>&<7(`8`jssW89#?5'm;emvpZ74 :3"m6j`uu]27-2.j2ndyyQ>3)63-g=cg|~T=>&;1(`8`jssW89#8?'m;emvpZ74 =9"n6j`uu]27-23!k1ocxzP12*71,dbh}}U:8%?9)c9gkprX9=":;$l4dnww[42/91#i7iazt^37,4?.i2ndyyQ>4)0*f>bh}}U:8%4)07-g=cg|~T=9&=5(`8`jssW8>#>;'m;emvpZ73 ;="n6j`uu]20-4?!k1ocxzP15*1=,g#?='m;emvpZ73 :;"n6j`uu]20-55!k1ocxzP15*07,d;&b:flqqY6bh}}U:8%:&b:flqqY6;%o5kotv\51.39 h0hb{{_06+07/e3me~xR?;(51*f>bh}}U:8%:;)c9gkprX9="?9$l4dnww[42/5)31-g=cg|~T=8&>3(`8`jssW8?#=9'm;emvpZ72 8?"n6j`uu]21-71!k1ocxzP14*23,d$<7&a:flqqY6=!8"n6j`uu]21-47!k1ocxzP14*15,d$?=&b:flqqY6=!8?%o5kotv\50.5= h0hb{{_07+63/e3me~xR?:(35*f>bh}}U:9%<7)c9gkprX9<"95$o4dnww[43/; h0hb{{_07+75/e3me~xR?:(23*f>bh}}U:9%==)c9gkprX9<"8?$l4dnww[43/;=#i7iazt^36,63.j2ndyyQ>5)15-g=cg|~T=8&<7(`8`jssW8?#?5'm;emvpZ72 :3"m6j`uu]21-2.j2ndyyQ>5)63-g=cg|~T=8&;1(`8`jssW8?#8?'m;emvpZ72 =9"n6j`uu]21-23!k1ocxzP14*71,d$8'n;emvpZ72 ?#j7iazt^36,2/f3me~xR?:(9+b?air|V;>$4'k;emvpZ724=<1<3o4dnww[40/8 k0hb{{_04+5,d!;9%o5kotv\53.6; h0hb{{_04+51/e3me~xR?9(07*f>bh}}U::%?9)c9gkprX9?":;$l4dnww[40/91#i7iazt^35,4?.i2ndyyQ>6)0*f>bh}}U::%6)07-g=cg|~T=;&=5(`8`jssW8<#>;'m;emvpZ71 ;="n6j`uu]22-4?!k1ocxzP17*1=,g;&b:flqqY6>!9=%o5kotv\53.4? h0hb{{_04+7=/e3me~xR?9(2;*e>bh}}U::%:&b:flqqY6>!>;%o5kotv\53.39 h0hb{{_04+07/e3me~xR?9(51*f>bh}}U::%:;)c9gkprX9?"?9$l4dnww[40/`9gkprX95;;2l5kotv\59766h1ocxzP1=31:d=cg|~T=1?<>`9gkprX95;?2l5kotv\59726h1ocxzP1=35:d=cg|~T=1?8>`9gkprX95;32l5kotv\597>601ocxzP1=3=e>bh}}U:0?>1a:flqqY64;;5m6j`uu]28749i2ndyyQ><31=e>bh}}U:0?:1a:flqqY64;?5m6j`uu]28709i2ndyyQ><35=e>bh}}U:0?61a:flqqY64;3556j`uu]2878f3me~xR?33174;g3o4dnww[4:3;7k0hb{{_0>70;g1a:flqqY64=<556j`uu]2818>3me~xR?35?;8`jssW86=245kotv\591912ndyyQ><9<:?air|V;75374dnww[7.7!01ocxzP2)3*e>bh}}U9$<>&a:flqqY5 8;"m6j`uu]1,44.i2ndyyQ=(01*e>bh}}U9$<:&a:flqqY5 8?"m6j`uu]1,40.i2ndyyQ=(05*e>bh}}U9$<6&a:flqqY5 83"56j`uu]1,7/f3me~xR<'21+b?air|V8#><'n;emvpZ4/:;#j7iazt^0+66/f3me~xR<'25+b?air|V8#>8'n;emvpZ4/:?#j7iazt^0+62/f3me~xR<'29+b?air|V8#>4'6;emvpZ4/; k0hb{{_3*04,g$o4dnww[7.4; k0hb{{_3*00,g%:=)`9gkprX:!>8%l5kotv\6-23!h1ocxzP2)66-d=cg|~T>%:9)89gkprX:!?"56j`uu]1,3/>3me~xR<'7(;8`jssW;"3%45kotv\6-?.12ndyyQ=<117;g7k0hb{{_3>13;g1=>>`9gkprX:5992l5kotv\69546h1ocxzP2=17:d=cg|~T>1=:>`9gkprX:59=2l5kotv\69506h1ocxzP2=1;:d=cg|~T>1=6>89gkprX:595m6j`uu]18169i2ndyyQ=<53=e>bh}}U909<1a:flqqY54=95m6j`uu]18129i2ndyyQ=<57=g>bh}}U909850?c8`jssW;6?:374dnww[7:3601ocxzP2=7==>bh}}U90;06;emvpZ4;?730hb{{_3>;:<=cg|~T>1719:flqqY4 9#27iazt^1+5,g&>0(c8`jssW:":=$o4dnww[6.6: k0hb{{_2*27,g&>4(c8`jssW:":9$o4dnww[6.6> k0hb{{_2*23,g&>8(c8`jssW:":5$74dnww[6.5!h1ocxzP3)03-d=cg|~T?%<>)`9gkprX;!89%l5kotv\7-44!h1ocxzP3)07-d=cg|~T?%<:)`9gkprX;!8=%l5kotv\7-40!h1ocxzP3)0;-d=cg|~T?%<6)89gkprX;!9"m6j`uu]0,66.i2ndyyQ<(23*e>bh}}U8$><&a:flqqY4 :9"m6j`uu]0,62.i2ndyyQ<(27*e>bh}}U8$>8&a:flqqY4 :="m6j`uu]0,6>.i2ndyyQ<(2;*=>bh}}U8$9'n;emvpZ5/<9#j7iazt^1+04/f3me~xR='43+b?air|V9#8>'n;emvpZ5/<=#j7iazt^1+00/f3me~xR='47+:?air|V9#9$74dnww[6.1!01ocxzP3)5*=>bh}}U8$5'6;emvpZ5/1 i0hb{{_2>72?6912ndyyQ;(1+:?air|V>#=$o4dnww[1.68 k0hb{{_5*25,g2(c8`jssW=":?$o4dnww[1.6< k0hb{{_5*21,g6(c8`jssW=":;$o4dnww[1.60 k0hb{{_5*2=,?!01ocxzP4)1*e>bh}}U?$>>&a:flqqY3 :;"m6j`uu]7,64.i2ndyyQ;(21*e>bh}}U?$>:&a:flqqY3 :?"m6j`uu]7,60.i2ndyyQ;(25*e>bh}}U?$>6&a:flqqY3 :3"56j`uu]7,1/f3me~xR:'41+b?air|V>#8<'n;emvpZ2/<;#j7iazt^6+06/f3me~xR:'45+b?air|V>#88'n;emvpZ2/3me~xR:'9(a8`jssW=6?:7>19:flqqY2 9#27iazt^7+5,g0(c8`jssW<":=$o4dnww[0.6: k0hb{{_4*27,g4(c8`jssW<":9$o4dnww[0.6> k0hb{{_4*23,g8(c8`jssW<":5$74dnww[0.5!h1ocxzP5)03-d=cg|~T9%<>)`9gkprX=!89%l5kotv\1-44!h1ocxzP5)07-d=cg|~T9%<:)`9gkprX=!8=%l5kotv\1-40!h1ocxzP5)0;-d=cg|~T9%<6)89gkprX=!9"m6j`uu]6,66.i2ndyyQ:(23*e>bh}}U>$><&a:flqqY2 :9"m6j`uu]6,62.i2ndyyQ:(27*e>bh}}U>$>8&a:flqqY2 :="m6j`uu]6,6>.i2ndyyQ:(2;*=>bh}}U>$9'n;emvpZ3/<9#j7iazt^7+04/f3me~xR;'43+b?air|V?#8>'n;emvpZ3/<=#j7iazt^7+00/f3me~xR;'47+:?air|V?#9$74dnww[0.1!01ocxzP5)5*=>bh}}U>$5'6;emvpZ3/1 i0hb{{_4>72?6912ndyyQ9(1+:?air|V<#=$o4dnww[3.68 k0hb{{_7*25,g2(c8`jssW?":?$o4dnww[3.6< k0hb{{_7*21,g6(c8`jssW?":;$o4dnww[3.60 k0hb{{_7*2=,?!8;%l5kotv\2-46!h1ocxzP6)01-d=cg|~T:%<<)`9gkprX>!8?%l5kotv\2-42!h1ocxzP6)05-d=cg|~T:%<8)`9gkprX>!83%l5kotv\2-4>!01ocxzP6)1*e>bh}}U=$>>&a:flqqY1 :;"m6j`uu]5,64.i2ndyyQ9(21*e>bh}}U=$>:&a:flqqY1 :?"m6j`uu]5,60.i2ndyyQ9(25*e>bh}}U=$>6&a:flqqY1 :3"56j`uu]5,1/f3me~xR8'41+b?air|V<#8<'n;emvpZ0/<;#j7iazt^4+06/f3me~xR8'45+b?air|V<#88'n;emvpZ0/!="56j`uu]5,=/>3me~xR8'9(a8`jssW?6?:7>19:flqqY0 9#27iazt^5+5,g0(c8`jssW>":=$o4dnww[2.6: k0hb{{_6*27,g4(c8`jssW>":9$o4dnww[2.6> k0hb{{_6*23,g8(c8`jssW>":5$74dnww[2.5!h1ocxzP7)03-d=cg|~T;%<>)`9gkprX?!89%l5kotv\3-44!h1ocxzP7)07-d=cg|~T;%<:)`9gkprX?!8=%l5kotv\3-40!h1ocxzP7)0;-d=cg|~T;%<6)89gkprX?!9"m6j`uu]4,66.i2ndyyQ8(23*e>bh}}U<$><&a:flqqY0 :9"m6j`uu]4,62.i2ndyyQ8(27*e>bh}}U<$>8&a:flqqY0 :="m6j`uu]4,6>.i2ndyyQ8(2;*=>bh}}U<$9'n;emvpZ1/<9#j7iazt^5+04/f3me~xR9'43+b?air|V=#8>'n;emvpZ1/<=#j7iazt^5+00/f3me~xR9'47+:?air|V=#9$74dnww[2.1!01ocxzP7)5*=>bh}}U<$5'6;emvpZ1/1 i0hb{{_6>72?6912ndyyQ7(1+:?air|V2#=$o4dnww[=.68 k0hb{{_9*25,g2(c8`jssW1":?$o4dnww[=.6< k0hb{{_9*21,g6(c8`jssW1":;$o4dnww[=.60 k0hb{{_9*2=,?!01ocxzP8)1*e>bh}}U3$>>&a:flqqY? :;"m6j`uu];,64.i2ndyyQ7(21*e>bh}}U3$>:&a:flqqY? :?"m6j`uu];,60.i2ndyyQ7(25*e>bh}}U3$>6&a:flqqY? :3"56j`uu];,1/f3me~xR6'41+b?air|V2#8<'n;emvpZ>/<;#j7iazt^:+06/f3me~xR6'45+b?air|V2#88'n;emvpZ>/3me~xR6'9(a8`jssW16?:7>19:flqqY> 9#27iazt^;+5,g0(c8`jssW0":=$o4dnww[<.6: k0hb{{_8*27,g4(c8`jssW0":9$o4dnww[<.6> k0hb{{_8*23,g8(c8`jssW0":5$74dnww[<.5!h1ocxzP9)03-d=cg|~T5%<>)`9gkprX1!89%l5kotv\=-44!h1ocxzP9)07-d=cg|~T5%<:)`9gkprX1!8=%l5kotv\=-40!h1ocxzP9)0;-d=cg|~T5%<6)89gkprX1!9"m6j`uu]:,66.i2ndyyQ6(23*e>bh}}U2$><&a:flqqY> :9"m6j`uu]:,62.i2ndyyQ6(27*e>bh}}U2$>8&a:flqqY> :="m6j`uu]:,6>.i2ndyyQ6(2;*=>bh}}U2$9'n;emvpZ?/<9#j7iazt^;+04/f3me~xR7'43+b?air|V3#8>'n;emvpZ?/<=#j7iazt^;+00/f3me~xR7'47+:?air|V3#9$74dnww[<.1!01ocxzP9)5*=>bh}}U2$5'6;emvpZ?/1 i0hb{{_8>72?6912ndyyQf(1+:?air|Vc#=$o4dnww[l.68 k0hb{{_h*25,g2(c8`jssW`":?$o4dnww[l.6< k0hb{{_h*21,g6(c8`jssW`":;$o4dnww[l.60 k0hb{{_h*2=,?3me~xRg'5(;8`jssW`"=%45kotv\m-1.12ndyyQf(9+:?air|Vc#5$m4dnww[l:5;3:5n6j`uu]j[4.7!k1ocxzPi^3+5,e(02*g>bh}}UbS<&>1(a8`jssW`U:$<<&c:flqqYnW8":?$m4dnww[lY6 8>"o6j`uu]j[4.6= i0hb{{_h]2,40.k2ndyyQf_0*23,e(0:*g>bh}}UbS<&>9(`8`jssW`U:$?'l;emvpZoX9!8;%n5kotv\mZ7/:8#h7iazt^k\5-45!j1ocxzPi^3+66/e3me~xRgP1)1*f>bh}}UbS<&;)c9gkprXaV;#9$l4dnww[lY6 ?#i7iazt^k\5-1.j2ndyyQf_0*;-g=cg|~TeR?'9(a8`jssW`U:<%>&c:flqqYnW8:#=$j4dnww[lY68!;;%i5kotv\mZ77 8;"h6j`uu]j[46/9;#o7iazt^k\55.6; n0hb{{_h]24-73!m1ocxzPi^33,43.l2ndyyQf_02+53/c3me~xRgP11*23,b0)3;-a=cg|~TeR??(0;*g>bh}}UbS<>'2(f8`jssW`U:<%?'k;emvpZoX99"9?$m4dnww[lY68!9"o6j`uu]j[46/< i0hb{{_h]24-3.k2ndyyQf_02+2,e0)5*g>bh}}UbS<>'8(a8`jssW`U:<%7&f:flqqYnW8:7>>4?>b9gkprXaV;:$='l;emvpZoX98":%i5kotv\mZ76 8:"h6j`uu]j[47/98#o7iazt^k\54.6: n0hb{{_h]25-74!m1ocxzPi^32,42.l2ndyyQf_03+50/c3me~xRgP10*22,b1)34-a=cg|~TeR?>(0:*`>bh}}UbS&d:flqqYnW8;#><'k;emvpZoX98"9>$j4dnww[lY69!88%n5kotv\mZ76 :#h7iazt^k\54.3!j1ocxzPi^32,0/d3me~xRgP10*5-f=cg|~TeR?>(6+`?air|VcT=<&7)b9gkprXaV;:$4'i;emvpZoX9869?7>1c:flqqYnW88#<$m4dnww[lY6:!;"h6j`uu]j[44/99#o7iazt^k\57.69 n0hb{{_h]26-75!m1ocxzPi^31,45.l2ndyyQf_00+51/c3me~xRgP13*21,b2)35-a=cg|~TeR?=(05*`>bh}}UbS<<'19+g?air|VcT=?&>9(a8`jssW`U:>%<&d:flqqYnW88#>='k;emvpZoX9;"9=$j4dnww[lY6:!89%i5kotv\mZ75 ;9"o6j`uu]j[44/; i0hb{{_h]26-2.k2ndyyQf_00+1,e2)4*g>bh}}UbS<<'7(a8`jssW`U:>%6&c:flqqYnW88#5$h4dnww[lY6:5886=0l;emvpZoX9:";%n5kotv\mZ74 8#o7iazt^k\56.68 n0hb{{_h]27-76!m1ocxzPi^30,44.l2ndyyQf_01+56/c3me~xRgP12*20,b3)36-a=cg|~TeR?<(04*`>bh}}UbS<='16+g?air|VcT=>&>8(f8`jssW`U:?%?6)b9gkprXaV;8$?'k;emvpZoX9:"9<$j4dnww[lY6;!8:%i5kotv\mZ74 ;8"h6j`uu]j[45/::#h7iazt^k\56.4!j1ocxzPi^30,1/d3me~xRgP12*6-f=cg|~TeR?<(7+`?air|VcT=>&8)b9gkprXaV;8$5'l;emvpZoX9:"2%k5kotv\mZ744;91<3m4dnww[lY64)37-a=cg|~TeR?;(07*`>bh}}UbS<:'17+g?air|VcT=9&>7(f8`jssW`U:8%?7)e9gkprXaV;?$<7&c:flqqYnW8>#>$j4dnww[lY64)7*g>bh}}UbS<:'6(a8`jssW`U:8%9&c:flqqYnW8>#4$m4dnww[lY65)30-a=cg|~TeR?:(06*`>bh}}UbS<;'14+g?air|VcT=8&>6(f8`jssW`U:9%?8)e9gkprXaV;>$<6&d:flqqYnW8?#=4'l;emvpZoX9<"9%i5kotv\mZ72 ;:"h6j`uu]j[43/:8#o7iazt^k\50.5: n0hb{{_h]21-44!j1ocxzPi^36,6/d3me~xRgP14*7-f=cg|~TeR?:(4+`?air|VcT=8&9)b9gkprXaV;>$:'l;emvpZoX9<"3%n5kotv\mZ72 0#m7iazt^k\50:5;3:5o6j`uu]j[40/8 i0hb{{_h]22-7.l2ndyyQf_04+55/c3me~xRgP17*25,b6)31-a=cg|~TeR?9(01*`>bh}}UbS<8'15+g?air|VcT=;&>5(f8`jssW`U::%?9)e9gkprXaV;=$<9&d:flqqYnW8<#=5'k;emvpZoX9?":5$m4dnww[lY6>!8"h6j`uu]j[40/:9#o7iazt^k\53.59 n0hb{{_h]22-45!m1ocxzPi^35,75.k2ndyyQf_04+7,e6)6*g>bh}}UbS<8'5(a8`jssW`U::%8&c:flqqYnW8<#;$m4dnww[lY6>!2"o6j`uu]j[40/1 l0hb{{_h]22944294n7iazt^k\5944294i7iazt^k\6-6.j2ndyyQf_3*2-f=cg|~TeR<'11+`?air|VcT>%?>)b9gkprXaV8#=?'l;emvpZoX:!;8%n5kotv\mZ4/9=#h7iazt^k\6-72!j1ocxzPi^0+53/d3me~xRgP2)34-f=cg|~TeR<'19+`?air|VcT>%?6)c9gkprXaV8#>$m4dnww[lY5 ;:"o6j`uu]j[7.59 i0hb{{_h]1,74.k2ndyyQf_3*17,d%:&b:flqqYnW;">%o5kotv\mZ4/> h0hb{{_h]1,2/e3me~xRgP2):*f>bh}}UbS?&6)d9gkprXaV87>>4?>c9gkprXaV9#<$l4dnww[lY4 8#h7iazt^k\7-77!j1ocxzPi^1+54/d3me~xRgP3)31-f=cg|~TeR='12+`?air|VcT?%?;)b9gkprXaV9#=8'l;emvpZoX;!;=%n5kotv\mZ5/9>#h7iazt^k\7-7?!j1ocxzPi^1+5bh}}UbS>&=0(a8`jssW`U8$??&c:flqqYnW:"9>$m4dnww[lY4 ;9"n6j`uu]j[6.4!k1ocxzPi^1+0,d58e3me~xRgP4)2*f>bh}}UbS9&>)b9gkprXaV>#=='l;emvpZoX#=5'l;emvpZoXbh}}UbS9&=3(`8`jssW`U?$>'m;emvpZoX"n6j`uu]j[1.2!k1ocxzPi^6+2,d'l;emvpZoX=!;?%n5kotv\mZ3/9<#h7iazt^k\1-71!j1ocxzPi^7+52/d3me~xRgP5)3;-f=cg|~TeR;'18+a?air|VcT9%<&c:flqqYnW<"9<$m4dnww[lY2 ;;"o6j`uu]j[0.5: i0hb{{_h]6,75.j2ndyyQf_4*0-g=cg|~TeR;'4(`8`jssW`U>$8'm;emvpZoX=!<"n6j`uu]j[0.0!k1ocxzPi^7+<,d&b:flqqYnW?":%n5kotv\mZ0/99#h7iazt^k\2-76!j1ocxzPi^4+57/d3me~xRgP6)30-f=cg|~TeR8'15+`?air|VcT:%?:)b9gkprXaV<#=;'l;emvpZoX>!;<%n5kotv\mZ0/91#h7iazt^k\2-7>!k1ocxzPi^4+6,ebh}}UbS;&=1(a8`jssW`U=$?<&c:flqqYnW?"9?$l4dnww[lY1 :#i7iazt^k\2-2.j2ndyyQf_7*6-g=cg|~TeR8'6(`8`jssW`U=$:'m;emvpZoX>!2"n6j`uu]j[3.>!l1ocxzPi^4?66<76k1ocxzPi^5+4,dbh}}UbS:&<)c9gkprXaV=#8$l4dnww[lY0 <#i7iazt^k\3-0.j2ndyyQf_6*4-g=cg|~TeR9'8(`8`jssW`U<$4'j;emvpZoX?5886=0m;emvpZoX0!:"n6j`uu]j[=.6!j1ocxzPi^:+55/d3me~xRgP8)32-f=cg|~TeR6'13+`?air|VcT4%?<)b9gkprXaV2#=9'l;emvpZoX0!;>%n5kotv\mZ>/9?#h7iazt^k\<-70!j1ocxzPi^:+5=/d3me~xRgP8)3:-g=cg|~TeR6'2(a8`jssW`U3$?>&c:flqqYnW1"9=$m4dnww[lY? ;8"o6j`uu]j[=.5; h0hb{{_h];,6/e3me~xRgP8)6*f>bh}}UbS5&:)c9gkprXaV2#:$l4dnww[lY? >#i7iazt^k\<->.j2ndyyQf_9*:-`=cg|~TeR632283:g=cg|~TeR7'0(`8`jssW`U2$<'l;emvpZoX1!;;%n5kotv\mZ?/98#h7iazt^k\=-75!j1ocxzPi^;+56/d3me~xRgP9)37-f=cg|~TeR7'14+`?air|VcT5%?9)b9gkprXaV3#=:'l;emvpZoX1!;3%n5kotv\mZ?/90#i7iazt^k\=-4.k2ndyyQf_8*14,ebh}}UbS4&=2(a8`jssW`U2$?=&b:flqqYnW0"8%o5kotv\mZ?/< h0hb{{_h]:,0/e3me~xRgP9)4*f>bh}}UbS4&8)c9gkprXaV3#4$l4dnww[lY> 0#n7iazt^k\=94429427iazt^s+4,?)`9gkprXy!;;%l5kotv\u-76!h1ocxzPq)31-d=cg|~T}%?<)`9gkprXy!;?%l5kotv\u-72!h1ocxzPq)35-d=cg|~T}%?8)`9gkprXy!;3%l5kotv\u-7>!01ocxzPq)0*e>bh}}Uz$?>&a:flqqYv ;;"m6j`uu]r,74.i2ndyyQ~(31*e>bh}}Uz$?:&a:flqqYv ;?"m6j`uu]r,70.i2ndyyQ~(35*e>bh}}Uz$?6&a:flqqYv ;3"56j`uu]r,6/f3me~xR'31+b?air|V{#?<'n;emvpZw/;;#j7iazt^s+76/f3me~xR'35+b?air|V{#?8'n;emvpZw/;?#j7iazt^s+72/f3me~xR'39+b?air|V{#?4'6;emvpZw/< k0hb{{_p*74,g$o4dnww[t.3; k0hb{{_p*70,gbh}}Uz$:'6;emvpZw/0 30hb{{_p*:-f=cg|~T}1:9:1&b:flqqYvW8":%n5kotv\uZ7/99#h7iazt^s\5-76!j1ocxzPq^3+57/d3me~xRP1)30-f=cg|~T}R?'15+`?air|V{T=%?:)b9gkprXyV;#=;'l;emvpZwX9!;<%n5kotv\uZ7/91#h7iazt^s\5-7>!k1ocxzPq^3+6,e(32*g>bh}}UzS<&=1(a8`jssWxU:$?<&c:flqqYvW8"9?$m4dnww[tY6 ;>"o6j`uu]r[4.5= i0hb{{_p]2,70.k2ndyyQ~_0*13,e(3:*g>bh}}UzS<&=9(`8`jssWxU:$>'l;emvpZwX9!9;%n5kotv\uZ7/;8#h7iazt^s\5-55!j1ocxzPq^3+76/d3me~xRP1)17-f=cg|~T}R?'34+`?air|V{T=%=9)b9gkprXyV;#?:'l;emvpZwX9!93%n5kotv\uZ7/;0#i7iazt^s\5-2.k2ndyyQ~_0*74,e(53*g>bh}}UzS<&;2(a8`jssWxU:$9=&c:flqqYvW8"?8$m4dnww[tY6 =?"o6j`uu]r[4.3> h0hb{{_p]2,0/e3me~xRP1)4*f>bh}}UzS<&8)c9gkprXyV;#4$l4dnww[tY6 0#h7iazt^s\55.7!j1ocxzPq^33,4/c3me~xRP11*24,b0)32-a=cg|~T}R??(00*`>bh}}UzS<>'12+g?air|V{T==&>4(f8`jssWxU:<%?:)e9gkprXyV;;$<8&d:flqqYvW8:#=:'k;emvpZwX99":4$j4dnww[tY68!;2%n5kotv\uZ77 ;#o7iazt^s\55.58 n0hb{{_p]24-46!m1ocxzPq^33,74.l2ndyyQ~_02+66/c3me~xRP11*10,b0)06-a=cg|~T}R??(34*`>bh}}UzS<>'26+g?air|V{T==&=8(f8`jssWxU:<%<6)b9gkprXyV;;$>'k;emvpZwX99"8<$j4dnww[tY68!9:%i5kotv\uZ77 :8"h6j`uu]r[46/;:#o7iazt^s\55.4< n0hb{{_p]24-52!m1ocxzPq^33,60.l2ndyyQ~_02+72/c3me~xRP11*0<,b0)1:-f=cg|~T}R??(5+g?air|V{T==&;0(f8`jssWxU:<%:>)e9gkprXyV;;$9<&d:flqqYvW8:#8>'k;emvpZwX99"?8$j4dnww[tY68!>>%i5kotv\uZ77 =<"o6j`uu]r[46/= i0hb{{_p]24-0.k2ndyyQ~_02+3,e0):*g>bh}}UzS<>'9(d8`jssWxU:<1:9:1<`?air|V{T=<&?)b9gkprXyV;:$<'k;emvpZwX98":<$j4dnww[tY69!;:%i5kotv\uZ76 88"h6j`uu]r[47/9:#o7iazt^s\54.6< n0hb{{_p]25-72!m1ocxzPq^32,40.l2ndyyQ~_03+52/c3me~xRP10*2<,b1)3:-f=cg|~T}R?>(3+g?air|V{T=<&=0(f8`jssWxU:=%<>)e9gkprXyV;:$?<&d:flqqYvW8;#>>'k;emvpZwX98"98$j4dnww[tY69!8>%i5kotv\uZ76 ;<"h6j`uu]r[47/:>#o7iazt^s\54.50 n0hb{{_p]25-4>!j1ocxzPq^32,6/c3me~xRP10*04,b1)12-a=cg|~T}R?>(20*`>bh}}UzS8&d:flqqYvW8;#?:'k;emvpZwX98"84$j4dnww[tY69!92%n5kotv\uZ76 =#o7iazt^s\54.38 n0hb{{_p]25-26!m1ocxzPq^32,14.l2ndyyQ~_03+06/c3me~xRP10*70,b1)66-a=cg|~T}R?>(54*g>bh}}UzS2)32-a=cg|~T}R?=(00*`>bh}}UzS<<'12+g?air|V{T=?&>4(f8`jssWxU:>%?:)e9gkprXyV;9$<8&d:flqqYvW88#=:'k;emvpZwX9;":4$j4dnww[tY6:!;2%n5kotv\uZ75 ;#o7iazt^s\57.58 n0hb{{_p]26-46!m1ocxzPq^31,74.l2ndyyQ~_00+66/c3me~xRP13*10,b2)06-a=cg|~T}R?=(34*`>bh}}UzS<<'26+g?air|V{T=?&=8(f8`jssWxU:>%<6)b9gkprXyV;9$>'k;emvpZwX9;"8<$j4dnww[tY6:!9:%i5kotv\uZ75 :8"h6j`uu]r[44/;:#o7iazt^s\57.4< n0hb{{_p]26-52!m1ocxzPq^31,60.l2ndyyQ~_00+72/c3me~xRP13*0<,b2)1:-f=cg|~T}R?=(5+g?air|V{T=?&;0(f8`jssWxU:>%:>)e9gkprXyV;9$9<&d:flqqYvW88#8>'k;emvpZwX9;"?8$j4dnww[tY6:!>>%i5kotv\uZ75 =<"o6j`uu]r[44/= i0hb{{_p]26-0.k2ndyyQ~_00+3,e2):*g>bh}}UzS<<'9(d8`jssWxU:>1:9:1<`?air|V{T=>&?)b9gkprXyV;8$<'k;emvpZwX9:":<$j4dnww[tY6;!;:%i5kotv\uZ74 88"h6j`uu]r[45/9:#o7iazt^s\56.6< n0hb{{_p]27-72!m1ocxzPq^30,40.l2ndyyQ~_01+52/c3me~xRP12*2<,b3)3:-f=cg|~T}R?<(3+g?air|V{T=>&=0(f8`jssWxU:?%<>)e9gkprXyV;8$?<&d:flqqYvW89#>>'k;emvpZwX9:"98$j4dnww[tY6;!8>%i5kotv\uZ74 ;<"h6j`uu]r[45/:>#o7iazt^s\56.50 n0hb{{_p]27-4>!j1ocxzPq^30,6/c3me~xRP12*04,b3)12-a=cg|~T}R?<(20*`>bh}}UzS<='32+g?air|V{T=>&<4(f8`jssWxU:?%=:)e9gkprXyV;8$>8&d:flqqYvW89#?:'k;emvpZwX9:"84$j4dnww[tY6;!92%n5kotv\uZ74 =#o7iazt^s\56.38 n0hb{{_p]27-26!m1ocxzPq^30,14.l2ndyyQ~_01+06/c3me~xRP12*70,b3)66-a=cg|~T}R?<(54*g>bh}}UzS<='5(a8`jssWxU:?%8&c:flqqYvW89#;$m4dnww[tY6;!2"o6j`uu]r[45/1 l0hb{{_p]27921294h7iazt^s\51.7!j1ocxzPq^37,4/c3me~xRP15*24,b4)32-a=cg|~T}R?;(00*`>bh}}UzS<:'12+g?air|V{T=9&>4(f8`jssWxU:8%?:)e9gkprXyV;?$<8&d:flqqYvW8>#=:'k;emvpZwX9=":4$j4dnww[tY64)06-a=cg|~T}R?;(34*`>bh}}UzS<:'26+g?air|V{T=9&=8(f8`jssWxU:8%<6)b9gkprXyV;?$>'k;emvpZwX9="8<$j4dnww[tY64)1:-f=cg|~T}R?;(5+g?air|V{T=9&;0(f8`jssWxU:8%:>)e9gkprXyV;?$9<&d:flqqYvW8>#8>'k;emvpZwX9="?8$j4dnww[tY6>%i5kotv\uZ73 =<"o6j`uu]r[42/= i0hb{{_p]20-0.k2ndyyQ~_06+3,e4):*g>bh}}UzS<:'9(d8`jssWxU:81:9:1<`?air|V{T=8&?)b9gkprXyV;>$<'k;emvpZwX9<":<$j4dnww[tY6=!;:%i5kotv\uZ72 88"h6j`uu]r[43/9:#o7iazt^s\50.6< n0hb{{_p]21-72!m1ocxzPq^36,40.l2ndyyQ~_07+52/c3me~xRP14*2<,b5)3:-f=cg|~T}R?:(3+g?air|V{T=8&=0(f8`jssWxU:9%<>)e9gkprXyV;>$?<&d:flqqYvW8?#>>'k;emvpZwX9<"98$j4dnww[tY6=!8>%i5kotv\uZ72 ;<"h6j`uu]r[43/:>#o7iazt^s\50.50 n0hb{{_p]21-4>!j1ocxzPq^36,6/c3me~xRP14*04,b5)12-a=cg|~T}R?:(20*`>bh}}UzS<;'32+g?air|V{T=8&<4(f8`jssWxU:9%=:)e9gkprXyV;>$>8&d:flqqYvW8?#?:'k;emvpZwX9<"84$j4dnww[tY6=!92%n5kotv\uZ72 =#o7iazt^s\50.38 n0hb{{_p]21-26!m1ocxzPq^36,14.l2ndyyQ~_07+06/c3me~xRP14*70,b5)66-a=cg|~T}R?:(54*g>bh}}UzS<;'5(a8`jssWxU:9%8&c:flqqYvW8?#;$m4dnww[tY6=!2"o6j`uu]r[43/1 l0hb{{_p]21921294h7iazt^s\53.7!j1ocxzPq^35,4/c3me~xRP17*24,b6)32-a=cg|~T}R?9(00*`>bh}}UzS<8'12+g?air|V{T=;&>4(f8`jssWxU::%?:)e9gkprXyV;=$<8&d:flqqYvW8<#=:'k;emvpZwX9?":4$j4dnww[tY6>!;2%n5kotv\uZ71 ;#o7iazt^s\53.58 n0hb{{_p]22-46!m1ocxzPq^35,74.l2ndyyQ~_04+66/c3me~xRP17*10,b6)06-a=cg|~T}R?9(34*`>bh}}UzS<8'26+g?air|V{T=;&=8(f8`jssWxU::%<6)b9gkprXyV;=$>'k;emvpZwX9?"8<$j4dnww[tY6>!9:%i5kotv\uZ71 :8"h6j`uu]r[40/;:#o7iazt^s\53.4< n0hb{{_p]22-52!m1ocxzPq^35,60.l2ndyyQ~_04+72/c3me~xRP17*0<,b6)1:-f=cg|~T}R?9(5+g?air|V{T=;&;0(f8`jssWxU::%:>)e9gkprXyV;=$9<&d:flqqYvW8<#8>'k;emvpZwX9?"?8$j4dnww[tY6>!>>%i5kotv\uZ71 =<"o6j`uu]r[40/= i0hb{{_p]22-0.k2ndyyQ~_04+3,e6):*g>bh}}UzS<8'9(d8`jssWxU::1:9:1%>&b:flqqYvW;":%n5kotv\uZ4/99#h7iazt^s\6-76!j1ocxzPq^0+57/d3me~xRP2)30-f=cg|~T}R<'15+`?air|V{T>%?:)b9gkprXyV8#=;'l;emvpZwX:!;<%n5kotv\uZ4/91#h7iazt^s\6-7>!k1ocxzPq^0+6,ebh}}UzS?&=1(a8`jssWxU9$?<&c:flqqYvW;"9?$m4dnww[tY5 ;>"o6j`uu]r[7.5= i0hb{{_p]1,70.k2ndyyQ~_3*13,ebh}}UzS?&=9(`8`jssWxU9$>'l;emvpZwX:!9;%n5kotv\uZ4/;8#h7iazt^s\6-55!j1ocxzPq^0+76/d3me~xRP2)17-f=cg|~T}R<'34+`?air|V{T>%=9)b9gkprXyV8#?:'l;emvpZwX:!93%n5kotv\uZ4/;0#i7iazt^s\6-2.k2ndyyQ~_3*74,ebh}}UzS?&;2(a8`jssWxU9$9=&c:flqqYvW;"?8$m4dnww[tY5 =?"o6j`uu]r[7.3> h0hb{{_p]1,0/e3me~xRP2)4*f>bh}}UzS?&8)c9gkprXyV8#4$l4dnww[tY5 0#n7iazt^s\6921294i7iazt^s\7-6.j2ndyyQ~_2*2-f=cg|~T}R='11+`?air|V{T?%?>)b9gkprXyV9#=?'l;emvpZwX;!;8%n5kotv\uZ5/9=#h7iazt^s\7-72!j1ocxzPq^1+53/d3me~xRP3)34-f=cg|~T}R='19+`?air|V{T?%?6)c9gkprXyV9#>$m4dnww[tY4 ;:"o6j`uu]r[6.59 i0hb{{_p]0,74.k2ndyyQ~_2*17,ebh}}UzS>&=5(a8`jssWxU8$?8&c:flqqYvW:"9;$m4dnww[tY4 ;2"o6j`uu]r[6.51 h0hb{{_p]0,6/d3me~xRP3)13-f=cg|~T}R='30+`?air|V{T?%==)b9gkprXyV9#?>'l;emvpZwX;!9?%n5kotv\uZ5/;<#h7iazt^s\7-51!j1ocxzPq^1+72/d3me~xRP3)1;-f=cg|~T}R='38+a?air|V{T?%:&c:flqqYvW:"?<$m4dnww[tY4 =;"o6j`uu]r[6.3: i0hb{{_p]0,15.k2ndyyQ~_2*70,ebh}}UzS>&;6(`8`jssWxU8$8'm;emvpZwX;!<"n6j`uu]r[6.0!k1ocxzPq^1+<,d&b:flqqYvW=":%n5kotv\uZ2/99#h7iazt^s\0-76!j1ocxzPq^6+57/d3me~xRP4)30-f=cg|~T}R:'15+`?air|V{T8%?:)b9gkprXyV>#=;'l;emvpZwX!k1ocxzPq^6+6,ebh}}UzS9&=1(a8`jssWxU?$?<&c:flqqYvW="9?$m4dnww[tY3 ;>"o6j`uu]r[1.5= i0hb{{_p]7,70.k2ndyyQ~_5*13,ebh}}UzS9&=9(`8`jssWxU?$>'l;emvpZwX#?:'l;emvpZwXbh}}UzS9&;2(a8`jssWxU?$9=&c:flqqYvW="?8$m4dnww[tY3 =?"o6j`uu]r[1.3> h0hb{{_p]7,0/e3me~xRP4)4*f>bh}}UzS9&8)c9gkprXyV>#4$l4dnww[tY3 0#n7iazt^s\0921294i7iazt^s\1-6.j2ndyyQ~_4*2-f=cg|~T}R;'11+`?air|V{T9%?>)b9gkprXyV?#=?'l;emvpZwX=!;8%n5kotv\uZ3/9=#h7iazt^s\1-72!j1ocxzPq^7+53/d3me~xRP5)34-f=cg|~T}R;'19+`?air|V{T9%?6)c9gkprXyV?#>$m4dnww[tY2 ;:"o6j`uu]r[0.59 i0hb{{_p]6,74.k2ndyyQ~_4*17,ebh}}UzS8&=5(a8`jssWxU>$?8&c:flqqYvW<"9;$m4dnww[tY2 ;2"o6j`uu]r[0.51 h0hb{{_p]6,6/d3me~xRP5)13-f=cg|~T}R;'30+`?air|V{T9%==)b9gkprXyV?#?>'l;emvpZwX=!9?%n5kotv\uZ3/;<#h7iazt^s\1-51!j1ocxzPq^7+72/d3me~xRP5)1;-f=cg|~T}R;'38+a?air|V{T9%:&c:flqqYvW<"?<$m4dnww[tY2 =;"o6j`uu]r[0.3: i0hb{{_p]6,15.k2ndyyQ~_4*70,ebh}}UzS8&;6(`8`jssWxU>$8'm;emvpZwX=!<"n6j`uu]r[0.0!k1ocxzPq^7+<,d&b:flqqYvW?":%n5kotv\uZ0/99#h7iazt^s\2-76!j1ocxzPq^4+57/d3me~xRP6)30-f=cg|~T}R8'15+`?air|V{T:%?:)b9gkprXyV<#=;'l;emvpZwX>!;<%n5kotv\uZ0/91#h7iazt^s\2-7>!k1ocxzPq^4+6,ebh}}UzS;&=1(a8`jssWxU=$?<&c:flqqYvW?"9?$m4dnww[tY1 ;>"o6j`uu]r[3.5= i0hb{{_p]5,70.k2ndyyQ~_7*13,ebh}}UzS;&=9(`8`jssWxU=$>'l;emvpZwX>!9;%n5kotv\uZ0/;8#h7iazt^s\2-55!j1ocxzPq^4+76/d3me~xRP6)17-f=cg|~T}R8'34+`?air|V{T:%=9)b9gkprXyV<#?:'l;emvpZwX>!93%n5kotv\uZ0/;0#i7iazt^s\2-2.k2ndyyQ~_7*74,ebh}}UzS;&;2(a8`jssWxU=$9=&c:flqqYvW?"?8$m4dnww[tY1 =?"o6j`uu]r[3.3> h0hb{{_p]5,0/e3me~xRP6)4*f>bh}}UzS;&8)c9gkprXyV<#4$l4dnww[tY1 0#n7iazt^s\2921294i7iazt^s\3-6.j2ndyyQ~_6*2-f=cg|~T}R9'11+`?air|V{T;%?>)b9gkprXyV=#=?'l;emvpZwX?!;8%n5kotv\uZ1/9=#h7iazt^s\3-72!j1ocxzPq^5+53/d3me~xRP7)34-f=cg|~T}R9'19+`?air|V{T;%?6)c9gkprXyV=#>$m4dnww[tY0 ;:"o6j`uu]r[2.59 i0hb{{_p]4,74.k2ndyyQ~_6*17,ebh}}UzS:&=5(a8`jssWxU<$?8&c:flqqYvW>"9;$m4dnww[tY0 ;2"o6j`uu]r[2.51 h0hb{{_p]4,6/d3me~xRP7)13-f=cg|~T}R9'30+`?air|V{T;%==)b9gkprXyV=#?>'l;emvpZwX?!9?%n5kotv\uZ1/;<#h7iazt^s\3-51!j1ocxzPq^5+72/d3me~xRP7)1;-f=cg|~T}R9'38+a?air|V{T;%:&c:flqqYvW>"?<$m4dnww[tY0 =;"o6j`uu]r[2.3: i0hb{{_p]4,15.k2ndyyQ~_6*70,ebh}}UzS:&;6(`8`jssWxU<$8'm;emvpZwX?!<"n6j`uu]r[2.0!k1ocxzPq^5+<,d&b:flqqYvW1":%n5kotv\uZ>/99#h7iazt^s\<-76!j1ocxzPq^:+57/d3me~xRP8)30-f=cg|~T}R6'15+`?air|V{T4%?:)b9gkprXyV2#=;'l;emvpZwX0!;<%n5kotv\uZ>/91#h7iazt^s\<-7>!k1ocxzPq^:+6,ebh}}UzS5&=1(a8`jssWxU3$?<&c:flqqYvW1"9?$m4dnww[tY? ;>"o6j`uu]r[=.5= i0hb{{_p];,70.k2ndyyQ~_9*13,ebh}}UzS5&=9(`8`jssWxU3$>'l;emvpZwX0!9;%n5kotv\uZ>/;8#h7iazt^s\<-55!j1ocxzPq^:+76/d3me~xRP8)17-f=cg|~T}R6'34+`?air|V{T4%=9)b9gkprXyV2#?:'l;emvpZwX0!93%n5kotv\uZ>/;0#i7iazt^s\<-2.k2ndyyQ~_9*74,ebh}}UzS5&;2(a8`jssWxU3$9=&c:flqqYvW1"?8$m4dnww[tY? =?"o6j`uu]r[=.3> h0hb{{_p];,0/e3me~xRP8)4*f>bh}}UzS5&8)c9gkprXyV2#4$l4dnww[tY? 0#n7iazt^s\<921294i7iazt^s\=-6.j2ndyyQ~_8*2-f=cg|~T}R7'11+`?air|V{T5%?>)b9gkprXyV3#=?'l;emvpZwX1!;8%n5kotv\uZ?/9=#h7iazt^s\=-72!j1ocxzPq^;+53/d3me~xRP9)34-f=cg|~T}R7'19+`?air|V{T5%?6)c9gkprXyV3#>$m4dnww[tY> ;:"o6j`uu]r[<.59 i0hb{{_p]:,74.k2ndyyQ~_8*17,ebh}}UzS4&=5(a8`jssWxU2$?8&c:flqqYvW0"9;$m4dnww[tY> ;2"o6j`uu]r[<.51 h0hb{{_p]:,6/d3me~xRP9)13-f=cg|~T}R7'30+`?air|V{T5%==)b9gkprXyV3#?>'l;emvpZwX1!9?%n5kotv\uZ?/;<#h7iazt^s\=-51!j1ocxzPq^;+72/d3me~xRP9)1;-f=cg|~T}R7'38+a?air|V{T5%:&c:flqqYvW0"?<$m4dnww[tY> =;"o6j`uu]r[<.3: i0hb{{_p]:,15.k2ndyyQ~_8*70,ebh}}UzS4&;6(`8`jssWxU2$8'm;emvpZwX1!<"n6j`uu]r[<.0!k1ocxzPq^;+<,dbwzVxjaR:6;erq[wgjW<20iigi2oeg`>`nd}oyS~kc(1+e?cok|lxThb30;2=6a=aa{Uhc`~fldp\r0Y7$)Rb`d`w BMQA%Abflxjxb|/15,42>nelli9>?5gbeg`6)`nzVida}gces]u1Z6+FFDN CAAE3`b?mdcmj8'jd|PcnosmicuW?T5)eX`hyTnb}=0.`[igsmgir1?<#c^ofiZoia}Umeak2hcffg7(jao&hSb~{ilkyeqohf4;'oeoa_hl\eap:8%icmcQ|em]tmaro58&h`bmd_gpfu80389:;<="lodd\i`ksqyo66)`nd}oySl|lh<3/bljsm{UxiaQxievk94*aae~n~Rzvpd?5(lhsm{zT~lzj=0.jtgsanVgnaywe<2/j`aX{pgfiy|v=1.mqhYd`hdeia}21-lviZ`nd}y6=!`zm^rbpmu::%d~aRznpr?73)iclVyra`k{rx?2(jve}olTahc{yqg>4)ir|ySkh=0.lqqvr|V}bhyf246.qeqcXkakekhQ{yqg>4)th}gnTabjj=1.pehvkmVlyi|3<2123456+{jfySik{esojjlusaj7: ~wbmdvq}87+rlxdS}olkhld[cgd|fx6=!s>e:ja``e5WocySnabphnfvZp2W9UsyQ>2:lg<>h`kkb`i<4nn18va43{lo86}lls`8wla789:;<=?m;rkd456789:9n6}fg1234567;k1xej>?012341d<{`m;<=>?017a?vo`89:;<=>9b:qjc56789:;;o5|if23456781h0di?012345?e3zcl<=>?012bf>uno9:;<=>?bc9pmb6789:;?0123bg=tan:;<=>?11`8wla789:;<?012351d<{`m;<=>?007a?vo`89:;<=?9b:qjc56789::;o5|if23456791h0di?012344?e3zcl<=>?013bf>uno9:;<=>>bc9pmb6789:;=nl4she3456788ni7~gh0123457bj2ybk=>?0122bg=tan:;<=>?21`8wla789:;?012361d<{`m;<=>?037a?vo`89:;<=<9b:qjc56789:9;o5|if234567:1h0di?012347?e3zcl<=>?010bf>uno9:;<=>=bc9pmb6789:;>nl4she345678;ni7~gh0123454bj2ybk=>?0121bg=tan:;<=>?31`8wla789:;<>?m;rkd45678999n6}fg1234564;k1xej>?012371d<{`m;<=>?027a?vo`89:;<==9b:qjc56789:8;o5|if234567;1h0di?012346?e3zcl<=>?011bf>uno9:;<=>?0120bg=tan:;<=>?41`8wla789:;<9?m;rkd456789>9n6}fg1234563;k1xej>?012301d<{`m;<=>?057a?vo`89:;<=:9b:qjc56789:?;o5|if234567<1h0di?012341?e3zcl<=>?016bf>uno9:;<=>;bc9pmb6789:;8nl4she345678=ni7~gh0123452bj2ybk=>?0127bg=tan:;<=>?51`8wla789:;<8?m;rkd456789?9n6}fg1234562;k1xej>?012311d<{`m;<=>?047a?vo`89:;<=;9b:qjc56789:>;o5|if234567=1h0di?012340?e3zcl<=>?017bf>uno9:;<=>:bc9pmb6789:;9nl4she345678?0126bg=tan:;<=>?61`8wla789:;<;?m;rkd456789<9n6}fg1234561;k1xej>?012321d<{`m;<=>?077a?vo`89:;<=89b:qjc56789:=;o5|if234567>1h0di?012343?e3zcl<=>?014bf>uno9:;<=>9bc9pmb6789:;:nl4she345678?ni7~gh0123450bj2ybk=>?0125bg=tan:;<=>?71`8wla789:;<:?m;rkd456789=9n6}fg1234560;k1xej>?012331d<{`m;<=>?067a?vo`89:;<=99b:qjc56789:<;o5|if234567?1h0di?012342?e3zcl<=>?015bf>uno9:;<=>8bc9pmb6789:;;nl4she345678>ni7~gh0123451bj2ybk=>?0124bg=tan:;<=>?81`8wla789:;<5?m;rkd45678929n6}fg123456?;k1xej>?0123<1d<{`m;<=>?097a?vo`89:;<=69b:qjc56789:3;o5|if23456701h0di?01234=?e3zcl<=>?01:bf>uno9:;<=>7bc9pmb6789:;4nl4she3456781ni7~gh012345>bj2ybk=>?012;bg=tan:;<=>?91`8wla789:;<4?m;rkd45678939n6}fg123456>;k1xej>?0123=1d<{`m;<=>?087a?vo`89:;<=79b:qjc56789:2;o5|if23456711h0di?01234?01;bf>uno9:;<=>6bc9pmb6789:;5nl4she3456780ni7~gh012345?bj2ybk=>?012:bg=tan:;<=>?a1`8wla789:;?0123e1d<{`m;<=>?0`7a?vo`89:;<=o9b:qjc56789:j;o5|if234567i1h0di?01234d?e3zcl<=>?01cbf>uno9:;<=>nbc9pmb6789:;mnl4she345678hni7~gh012345gbj2ybk=>?012bbg=tan:;<=>?b1`8wla789:;?0123f1d<{`m;<=>?0c7a?vo`89:;<=l9b:qjc56789:i;o5|if234567j1h0di?01234g?e3zcl<=>?01`bf>uno9:;<=>mbc9pmb6789:;nnl4she345678kni7~gh012345dbj2ybk=>?012abg=tan:;<=>?c1`8wla789:;?0123g1d<{`m;<=>?0b7a?vo`89:;<=m9b:qjc56789:h;o5|if234567k1h0di?01234f?e3zcl<=>?01abf>uno9:;<=>lbc9pmb6789:;onl4she345678jni7~gh012345ebj2ybk=>?012`bg=tan:;<=>?d1`8wla789:;?0123`1d<{`m;<=>?0e7a?vo`89:;<=j9b:qjc56789:o;o5|if234567l1h0di?01234a?e3zcl<=>?01fbf>uno9:;<=>kbc9pmb6789:;hnl4she345678mni7~gh012345bbj2ybk=>?012gbg=tan:;<=>?e1`8wla789:;?0123a1d<{`m;<=>?0d7a?vo`89:;<=k9b:qjc56789:n;o5|if234567m1h0di?01234`?e3zcl<=>?01gbf>uno9:;<=>jbc9pmb6789:;inl4she345678lni7~gh012345cbj2ybk=>?012fbg=tan:;<=>?f1`8wla789:;?0123b1d<{`m;<=>?0g7a?vo`89:;<=h9b:qjc56789:m;o5|if234567n1h0di?01234c?e3zcl<=>?01dbf>uno9:;<=>ibc9pmb6789:;jnl4she345678oni7~gh012345`bj2ybk=>?012ebg=tan:;<=>>01`8wla789:;==?m;rkd456788:9n6}fg1234577;k1xej>?012241d<{`m;<=>?117a?vo`89:;<<>9b:qjc56789;;;o5|if23456681h0di?012355?e3zcl<=>?002bf>uno9:;<=??bc9pmb6789::?0133bg=tan:;<=>>11`8wla789:;=?012251d<{`m;<=>?107a?vo`89:;<?003bf>uno9:;<=?>bc9pmb6789::=nl4she3456798ni7~gh0123447bj2ybk=>?0132bg=tan:;<=>>21`8wla789:;=??m;rkd45678889n6}fg1234575;k1xej>?012261d<{`m;<=>?137a?vo`89:;<<<9b:qjc56789;9;o5|if234566:1h0di?012357?e3zcl<=>?000bf>uno9:;<=?=bc9pmb6789::>nl4she345679;ni7~gh0123444bj2ybk=>?0131bg=tan:;<=>>31`8wla789:;=>?m;rkd45678899n6}fg1234574;k1xej>?012271d<{`m;<=>?127a?vo`89:;<<=9b:qjc56789;8;o5|if234566;1h0di?012356?e3zcl<=>?001bf>uno9:;<=??0130bg=tan:;<=>>41`8wla789:;=9?m;rkd456788>9n6}fg1234573;k1xej>?012201d<{`m;<=>?157a?vo`89:;<<:9b:qjc56789;?;o5|if234566<1h0di?012351?e3zcl<=>?006bf>uno9:;<=?;bc9pmb6789::8nl4she345679=ni7~gh0123442bj2ybk=>?0137bg=tan:;<=>>51`8wla789:;=8?m;rkd456788?9n6}fg1234572;k1xej>?012211d<{`m;<=>?147a?vo`89:;<<;9b:qjc56789;>;o5|if234566=1h0di?012350?e3zcl<=>?007bf>uno9:;<=?:bc9pmb6789::9nl4she345679?0136bg=tan:;<=>>61`8wla789:;=;?m;rkd456788<9n6}fg1234571;k1xej>?012221d<{`m;<=>?177a?vo`89:;<<89b:qjc56789;=;o5|if234566>1h0di?012353?e3zcl<=>?004bf>uno9:;<=?9bc9pmb6789:::nl4she345679?ni7~gh0123440bj2ybk=>?0135bg=tan:;<=>>71`8wla789:;=:?m;rkd456788=9n6}fg1234570;k1xej>?012231d<{`m;<=>?167a?vo`89:;<<99b:qjc56789;<;o5|if234566?1h0di?012352?e3zcl<=>?005bf>uno9:;<=?8bc9pmb6789::;nl4she345679>ni7~gh0123441bj2ybk=>?0134bg=tan:;<=>>81`8wla789:;=5?m;rkd45678829n6}fg123457?;k1xej>?0122<1d<{`m;<=>?197a?vo`89:;<<69b:qjc56789;3;o5|if23456601h0di?01235=?e3zcl<=>?00:bf>uno9:;<=?7bc9pmb6789::4nl4she3456791ni7~gh012344>bj2ybk=>?013;bg=tan:;<=>>91`8wla789:;=4?m;rkd45678839n6}fg123457>;k1xej>?0122=1d<{`m;<=>?187a?vo`89:;<<79b:qjc56789;2;o5|if23456611h0di?01235?00;bf>uno9:;<=?6bc9pmb6789::5nl4she3456790ni7~gh012344?bj2ybk=>?013:bg=tan:;<=>>a1`8wla789:;=l?m;rkd456788k9n6}fg123457f;k1xej>?0122e1d<{`m;<=>?1`7a?vo`89:;<?00cbf>uno9:;<=?nbc9pmb6789::mnl4she345679hni7~gh012344gbj2ybk=>?013bbg=tan:;<=>>b1`8wla789:;=o?m;rkd456788h9n6}fg123457e;k1xej>?0122f1d<{`m;<=>?1c7a?vo`89:;<?00`bf>uno9:;<=?mbc9pmb6789::nnl4she345679kni7~gh012344dbj2ybk=>?013abg=tan:;<=>>c1`8wla789:;=n?m;rkd456788i9n6}fg123457d;k1xej>?0122g1d<{`m;<=>?1b7a?vo`89:;<?00abf>uno9:;<=?lbc9pmb6789::onl4she345679jni7~gh012344ebj2ybk=>?013`bg=tan:;<=>>d1`8wla789:;=i?m;rkd456788n9n6}fg123457c;k1xej>?0122`1d<{`m;<=>?1e7a?vo`89:;<?00fbf>uno9:;<=?kbc9pmb6789::hnl4she345679mni7~gh012344bbj2ybk=>?013gbg=tan:;<=>>e1`8wla789:;=h?m;rkd456788o9n6}fg123457b;k1xej>?0122a1d<{`m;<=>?1d7a?vo`89:;<?00gbf>uno9:;<=?jbc9pmb6789::inl4she345679lni7~gh012344cbj2ybk=>?013fbg=tan:;<=>>f1`8wla789:;=k?m;rkd456788l9n6}fg123457a;k1xej>?0122b1d<{`m;<=>?1g7a?vo`89:;<?00dbf>uno9:;<=?ibc9pmb6789::jnl4she345679oni7~gh012344`bj2ybk=>?013ebg=tan:;<=>=01`8wla789:;>=?m;rkd45678;:9n6}fg1234547;k1xej>?012141d<{`m;<=>?217a?vo`89:;9b:qjc567898;;o5|if23456581h0di?012365?e3zcl<=>?032bf>uno9:;<=?0103bg=tan:;<=>=11`8wla789:;>?012151d<{`m;<=>?207a?vo`89:;?033bf>uno9:;<=<>bc9pmb6789:9=nl4she34567:8ni7~gh0123477bj2ybk=>?0102bg=tan:;<=>=21`8wla789:;>??m;rkd45678;89n6}fg1234545;k1xej>?012161d<{`m;<=>?237a?vo`89:;?030bf>uno9:;<=<=bc9pmb6789:9>nl4she34567:;ni7~gh0123474bj2ybk=>?0101bg=tan:;<=>=31`8wla789:;>>?m;rkd45678;99n6}fg1234544;k1xej>?012171d<{`m;<=>?227a?vo`89:;?031bf>uno9:;<=<?0100bg=tan:;<=>=41`8wla789:;>9?m;rkd45678;>9n6}fg1234543;k1xej>?012101d<{`m;<=>?257a?vo`89:;?036bf>uno9:;<=<;bc9pmb6789:98nl4she34567:=ni7~gh0123472bj2ybk=>?0107bg=tan:;<=>=51`8wla789:;>8?m;rkd45678;?9n6}fg1234542;k1xej>?012111d<{`m;<=>?247a?vo`89:;;o5|if234565=1h0di?012360?e3zcl<=>?037bf>uno9:;<=<:bc9pmb6789:99nl4she34567:?0106bg=tan:;<=>=61`8wla789:;>;?m;rkd45678;<9n6}fg1234541;k1xej>?012121d<{`m;<=>?277a?vo`89:;1h0di?012363?e3zcl<=>?034bf>uno9:;<=<9bc9pmb6789:9:nl4she34567:?ni7~gh0123470bj2ybk=>?0105bg=tan:;<=>=71`8wla789:;>:?m;rkd45678;=9n6}fg1234540;k1xej>?012131d<{`m;<=>?267a?vo`89:;?035bf>uno9:;<=<8bc9pmb6789:9;nl4she34567:>ni7~gh0123471bj2ybk=>?0104bg=tan:;<=>=81`8wla789:;>5?m;rkd45678;29n6}fg123454?;k1xej>?0121<1d<{`m;<=>?297a?vo`89:;?03:bf>uno9:;<=<7bc9pmb6789:94nl4she34567:1ni7~gh012347>bj2ybk=>?010;bg=tan:;<=>=91`8wla789:;>4?m;rkd45678;39n6}fg123454>;k1xej>?0121=1d<{`m;<=>?287a?vo`89:;?03;bf>uno9:;<=<6bc9pmb6789:95nl4she34567:0ni7~gh012347?bj2ybk=>?010:bg=tan:;<=>=a1`8wla789:;>l?m;rkd45678;k9n6}fg123454f;k1xej>?0121e1d<{`m;<=>?2`7a?vo`89:;?03cbf>uno9:;<=?010bbg=tan:;<=>=b1`8wla789:;>o?m;rkd45678;h9n6}fg123454e;k1xej>?0121f1d<{`m;<=>?2c7a?vo`89:;?03`bf>uno9:;<=?010abg=tan:;<=>=c1`8wla789:;>n?m;rkd45678;i9n6}fg123454d;k1xej>?0121g1d<{`m;<=>?2b7a?vo`89:;?03abf>uno9:;<=?010`bg=tan:;<=>=d1`8wla789:;>i?m;rkd45678;n9n6}fg123454c;k1xej>?0121`1d<{`m;<=>?2e7a?vo`89:;?03fbf>uno9:;<=?010gbg=tan:;<=>=e1`8wla789:;>h?m;rkd45678;o9n6}fg123454b;k1xej>?0121a1d<{`m;<=>?2d7a?vo`89:;?03gbf>uno9:;<=?010fbg=tan:;<=>=f1`8wla789:;>k?m;rkd45678;l9n6}fg123454a;k1xej>?0121b1d<{`m;<=>?2g7a?vo`89:;?03dbf>uno9:;<=?010ebg=tan:;<=><01`8wla789:;?=?m;rkd45678::9n6}fg1234557;k1xej>?012041d<{`m;<=>?317a?vo`89:;<>>9b:qjc567899;;o5|if23456481h0di?012375?e3zcl<=>?022bf>uno9:;<==?bc9pmb6789:8?0113bg=tan:;<=><11`8wla789:;??012051d<{`m;<=>?307a?vo`89:;<>?9b:qjc567899:;o5|if23456491h0di?012374?e3zcl<=>?023bf>uno9:;<==>bc9pmb6789:8=nl4she34567;8ni7~gh0123467bj2ybk=>?0112bg=tan:;<=><21`8wla789:;???m;rkd45678:89n6}fg1234555;k1xej>?012061d<{`m;<=>?337a?vo`89:;<><9b:qjc5678999;o5|if234564:1h0di?012377?e3zcl<=>?020bf>uno9:;<===bc9pmb6789:8>nl4she34567;;ni7~gh0123464bj2ybk=>?0111bg=tan:;<=><31`8wla789:;?>?m;rkd45678:99n6}fg1234554;k1xej>?012071d<{`m;<=>?327a?vo`89:;<>=9b:qjc5678998;o5|if234564;1h0di?012376?e3zcl<=>?021bf>uno9:;<==?0110bg=tan:;<=><41`8wla789:;?9?m;rkd45678:>9n6}fg1234553;k1xej>?012001d<{`m;<=>?357a?vo`89:;<>:9b:qjc567899?;o5|if234564<1h0di?012371?e3zcl<=>?026bf>uno9:;<==;bc9pmb6789:88nl4she34567;=ni7~gh0123462bj2ybk=>?0117bg=tan:;<=><51`8wla789:;?8?m;rkd45678:?9n6}fg1234552;k1xej>?012011d<{`m;<=>?347a?vo`89:;<>;9b:qjc567899>;o5|if234564=1h0di?012370?e3zcl<=>?027bf>uno9:;<==:bc9pmb6789:89nl4she34567;?0116bg=tan:;<=><61`8wla789:;?;?m;rkd45678:<9n6}fg1234551;k1xej>?012021d<{`m;<=>?377a?vo`89:;<>89b:qjc567899=;o5|if234564>1h0di?012373?e3zcl<=>?024bf>uno9:;<==9bc9pmb6789:8:nl4she34567;?ni7~gh0123460bj2ybk=>?0115bg=tan:;<=><71`8wla789:;?:?m;rkd45678:=9n6}fg1234550;k1xej>?012031d<{`m;<=>?367a?vo`89:;<>99b:qjc567899<;o5|if234564?1h0di?012372?e3zcl<=>?025bf>uno9:;<==8bc9pmb6789:8;nl4she34567;>ni7~gh0123461bj2ybk=>?0114bg=tan:;<=><81`8wla789:;?5?m;rkd45678:29n6}fg123455?;k1xej>?0120<1d<{`m;<=>?397a?vo`89:;<>69b:qjc5678993;o5|if23456401h0di?01237=?e3zcl<=>?02:bf>uno9:;<==7bc9pmb6789:84nl4she34567;1ni7~gh012346>bj2ybk=>?011;bg=tan:;<=><91`8wla789:;?4?m;rkd45678:39n6}fg123455>;k1xej>?0120=1d<{`m;<=>?387a?vo`89:;<>79b:qjc5678992;o5|if23456411h0di?01237?02;bf>uno9:;<==6bc9pmb6789:85nl4she34567;0ni7~gh012346?bj2ybk=>?011:bg=tan:;<=>?0120e1d<{`m;<=>?3`7a?vo`89:;<>o9b:qjc567899j;o5|if234564i1h0di?01237d?e3zcl<=>?02cbf>uno9:;<==nbc9pmb6789:8mnl4she34567;hni7~gh012346gbj2ybk=>?011bbg=tan:;<=>?0120f1d<{`m;<=>?3c7a?vo`89:;<>l9b:qjc567899i;o5|if234564j1h0di?01237g?e3zcl<=>?02`bf>uno9:;<==mbc9pmb6789:8nnl4she34567;kni7~gh012346dbj2ybk=>?011abg=tan:;<=>?0120g1d<{`m;<=>?3b7a?vo`89:;<>m9b:qjc567899h;o5|if234564k1h0di?01237f?e3zcl<=>?02abf>uno9:;<==lbc9pmb6789:8onl4she34567;jni7~gh012346ebj2ybk=>?011`bg=tan:;<=>?0120`1d<{`m;<=>?3e7a?vo`89:;<>j9b:qjc567899o;o5|if234564l1h0di?01237a?e3zcl<=>?02fbf>uno9:;<==kbc9pmb6789:8hnl4she34567;mni7~gh012346bbj2ybk=>?011gbg=tan:;<=>?0120a1d<{`m;<=>?3d7a?vo`89:;<>k9b:qjc567899n;o5|if234564m1h0di?01237`?e3zcl<=>?02gbf>uno9:;<==jbc9pmb6789:8inl4she34567;lni7~gh012346cbj2ybk=>?011fbg=tan:;<=>?0120b1d<{`m;<=>?3g7a?vo`89:;<>h9b:qjc567899m;o5|if234564n1h0di?01237c?e3zcl<=>?02dbf>uno9:;<==ibc9pmb6789:8jnl4she34567;oni7~gh012346`bj2ybk=>?011ebg=tan:;<=>;01`8wla789:;8=?m;rkd45678=:9n6}fg1234527;k1xej>?012741d<{`m;<=>?417a?vo`89:;<9>9b:qjc56789>;;o5|if23456381h0di?012305?e3zcl<=>?052bf>uno9:;<=:?bc9pmb6789:??0163bg=tan:;<=>;11`8wla789:;8?012751d<{`m;<=>?407a?vo`89:;<9?9b:qjc56789>:;o5|if23456391h0di?012304?e3zcl<=>?053bf>uno9:;<=:>bc9pmb6789:?=nl4she34567<8ni7~gh0123417bj2ybk=>?0162bg=tan:;<=>;21`8wla789:;8??m;rkd45678=89n6}fg1234525;k1xej>?012761d<{`m;<=>?437a?vo`89:;<9<9b:qjc56789>9;o5|if234563:1h0di?012307?e3zcl<=>?050bf>uno9:;<=:=bc9pmb6789:?>nl4she34567<;ni7~gh0123414bj2ybk=>?0161bg=tan:;<=>;31`8wla789:;8>?m;rkd45678=99n6}fg1234524;k1xej>?012771d<{`m;<=>?427a?vo`89:;<9=9b:qjc56789>8;o5|if234563;1h0di?012306?e3zcl<=>?051bf>uno9:;<=:?0160bg=tan:;<=>;41`8wla789:;89?m;rkd45678=>9n6}fg1234523;k1xej>?012701d<{`m;<=>?457a?vo`89:;<9:9b:qjc56789>?;o5|if234563<1h0di?012301?e3zcl<=>?056bf>uno9:;<=:;bc9pmb6789:?8nl4she34567<=ni7~gh0123412bj2ybk=>?0167bg=tan:;<=>;51`8wla789:;88?m;rkd45678=?9n6}fg1234522;k1xej>?012711d<{`m;<=>?447a?vo`89:;<9;9b:qjc56789>>;o5|if234563=1h0di?012300?e3zcl<=>?057bf>uno9:;<=::bc9pmb6789:?9nl4she34567<?0166bg=tan:;<=>;61`8wla789:;8;?m;rkd45678=<9n6}fg1234521;k1xej>?012721d<{`m;<=>?477a?vo`89:;<989b:qjc56789>=;o5|if234563>1h0di?012303?e3zcl<=>?054bf>uno9:;<=:9bc9pmb6789:?:nl4she34567?0165bg=tan:;<=>;71`8wla789:;8:?m;rkd45678==9n6}fg1234520;k1xej>?012731d<{`m;<=>?467a?vo`89:;<999b:qjc56789><;o5|if234563?1h0di?012302?e3zcl<=>?055bf>uno9:;<=:8bc9pmb6789:?;nl4she34567<>ni7~gh0123411bj2ybk=>?0164bg=tan:;<=>;81`8wla789:;85?m;rkd45678=29n6}fg123452?;k1xej>?0127<1d<{`m;<=>?497a?vo`89:;<969b:qjc56789>3;o5|if23456301h0di?01230=?e3zcl<=>?05:bf>uno9:;<=:7bc9pmb6789:?4nl4she34567<1ni7~gh012341>bj2ybk=>?016;bg=tan:;<=>;91`8wla789:;84?m;rkd45678=39n6}fg123452>;k1xej>?0127=1d<{`m;<=>?487a?vo`89:;<979b:qjc56789>2;o5|if23456311h0di?01230?05;bf>uno9:;<=:6bc9pmb6789:?5nl4she34567<0ni7~gh012341?bj2ybk=>?016:bg=tan:;<=>;a1`8wla789:;8l?m;rkd45678=k9n6}fg123452f;k1xej>?0127e1d<{`m;<=>?4`7a?vo`89:;<9o9b:qjc56789>j;o5|if234563i1h0di?01230d?e3zcl<=>?05cbf>uno9:;<=:nbc9pmb6789:?mnl4she34567?016bbg=tan:;<=>;b1`8wla789:;8o?m;rkd45678=h9n6}fg123452e;k1xej>?0127f1d<{`m;<=>?4c7a?vo`89:;<9l9b:qjc56789>i;o5|if234563j1h0di?01230g?e3zcl<=>?05`bf>uno9:;<=:mbc9pmb6789:?nnl4she34567?016abg=tan:;<=>;c1`8wla789:;8n?m;rkd45678=i9n6}fg123452d;k1xej>?0127g1d<{`m;<=>?4b7a?vo`89:;<9m9b:qjc56789>h;o5|if234563k1h0di?01230f?e3zcl<=>?05abf>uno9:;<=:lbc9pmb6789:?onl4she34567?016`bg=tan:;<=>;d1`8wla789:;8i?m;rkd45678=n9n6}fg123452c;k1xej>?0127`1d<{`m;<=>?4e7a?vo`89:;<9j9b:qjc56789>o;o5|if234563l1h0di?01230a?e3zcl<=>?05fbf>uno9:;<=:kbc9pmb6789:?hnl4she34567?016gbg=tan:;<=>;e1`8wla789:;8h?m;rkd45678=o9n6}fg123452b;k1xej>?0127a1d<{`m;<=>?4d7a?vo`89:;<9k9b:qjc56789>n;o5|if234563m1h0di?01230`?e3zcl<=>?05gbf>uno9:;<=:jbc9pmb6789:?inl4she34567?016fbg=tan:;<=>;f1`8wla789:;8k?m;rkd45678=l9n6}fg123452a;k1xej>?0127b1d<{`m;<=>?4g7a?vo`89:;<9h9b:qjc56789>m;o5|if234563n1h0di?01230c?e3zcl<=>?05dbf>uno9:;<=:ibc9pmb6789:?jnl4she34567?016ebg=tan:;<=>:01`8wla789:;9=?m;rkd45678<:9n6}fg1234537;k1xej>?012641d<{`m;<=>?517a?vo`89:;<8>9b:qjc56789?;;o5|if23456281h0di?012315?e3zcl<=>?042bf>uno9:;<=;?bc9pmb6789:>?0173bg=tan:;<=>:11`8wla789:;9?012651d<{`m;<=>?507a?vo`89:;<8?9b:qjc56789?:;o5|if23456291h0di?012314?e3zcl<=>?043bf>uno9:;<=;>bc9pmb6789:>=nl4she34567=8ni7~gh0123407bj2ybk=>?0172bg=tan:;<=>:21`8wla789:;9??m;rkd45678<89n6}fg1234535;k1xej>?012661d<{`m;<=>?537a?vo`89:;<8<9b:qjc56789?9;o5|if234562:1h0di?012317?e3zcl<=>?040bf>uno9:;<=;=bc9pmb6789:>>nl4she34567=;ni7~gh0123404bj2ybk=>?0171bg=tan:;<=>:31`8wla789:;9>?m;rkd45678<99n6}fg1234534;k1xej>?012671d<{`m;<=>?527a?vo`89:;<8=9b:qjc56789?8;o5|if234562;1h0di?012316?e3zcl<=>?041bf>uno9:;<=;?nl4she34567=:ni7~gh0123405bj2ybk=>?0170bg=tan:;<=>:41`8wla789:;99?m;rkd45678<>9n6}fg1234533;k1xej>?012601d<{`m;<=>?557a?vo`89:;<8:9b:qjc56789??;o5|if234562<1h0di?012311?e3zcl<=>?046bf>uno9:;<=;;bc9pmb6789:>8nl4she34567==ni7~gh0123402bj2ybk=>?0177bg=tan:;<=>:51`8wla789:;98?m;rkd45678?012611d<{`m;<=>?547a?vo`89:;<8;9b:qjc56789?>;o5|if234562=1h0di?012310?e3zcl<=>?047bf>uno9:;<=;:bc9pmb6789:>9nl4she34567=?0176bg=tan:;<=>:61`8wla789:;9;?m;rkd45678<<9n6}fg1234531;k1xej>?012621d<{`m;<=>?577a?vo`89:;<889b:qjc56789?=;o5|if234562>1h0di?012313?e3zcl<=>?044bf>uno9:;<=;9bc9pmb6789:>:nl4she34567=?ni7~gh0123400bj2ybk=>?0175bg=tan:;<=>:71`8wla789:;9:?m;rkd45678<=9n6}fg1234530;k1xej>?012631d<{`m;<=>?567a?vo`89:;<899b:qjc56789?<;o5|if234562?1h0di?012312?e3zcl<=>?045bf>uno9:;<=;8bc9pmb6789:>;nl4she34567=>ni7~gh0123401bj2ybk=>?0174bg=tan:;<=>:81`8wla789:;95?m;rkd45678<29n6}fg123453?;k1xej>?0126<1d<{`m;<=>?597a?vo`89:;<869b:qjc56789?3;o5|if23456201h0di?01231=?e3zcl<=>?04:bf>uno9:;<=;7bc9pmb6789:>4nl4she34567=1ni7~gh012340>bj2ybk=>?017;bg=tan:;<=>:91`8wla789:;94?m;rkd45678<39n6}fg123453>;k1xej>?0126=1d<{`m;<=>?587a?vo`89:;<879b:qjc56789?2;o5|if23456211h0di?01231?04;bf>uno9:;<=;6bc9pmb6789:>5nl4she34567=0ni7~gh012340?bj2ybk=>?017:bg=tan:;<=>:a1`8wla789:;9l?m;rkd45678?0126e1d<{`m;<=>?5`7a?vo`89:;<8o9b:qjc56789?j;o5|if234562i1h0di?01231d?e3zcl<=>?04cbf>uno9:;<=;nbc9pmb6789:>mnl4she34567=hni7~gh012340gbj2ybk=>?017bbg=tan:;<=>:b1`8wla789:;9o?m;rkd45678?0126f1d<{`m;<=>?5c7a?vo`89:;<8l9b:qjc56789?i;o5|if234562j1h0di?01231g?e3zcl<=>?04`bf>uno9:;<=;mbc9pmb6789:>nnl4she34567=kni7~gh012340dbj2ybk=>?017abg=tan:;<=>:c1`8wla789:;9n?m;rkd45678?0126g1d<{`m;<=>?5b7a?vo`89:;<8m9b:qjc56789?h;o5|if234562k1h0di?01231f?e3zcl<=>?04abf>uno9:;<=;lbc9pmb6789:>onl4she34567=jni7~gh012340ebj2ybk=>?017`bg=tan:;<=>:d1`8wla789:;9i?m;rkd45678?0126`1d<{`m;<=>?5e7a?vo`89:;<8j9b:qjc56789?o;o5|if234562l1h0di?01231a?e3zcl<=>?04fbf>uno9:;<=;kbc9pmb6789:>hnl4she34567=mni7~gh012340bbj2ybk=>?017gbg=tan:;<=>:e1`8wla789:;9h?m;rkd45678?0126a1d<{`m;<=>?5d7a?vo`89:;<8k9b:qjc56789?n;o5|if234562m1h0di?01231`?e3zcl<=>?04gbf>uno9:;<=;jbc9pmb6789:>inl4she34567=lni7~gh012340cbj2ybk=>?017fbg=tan:;<=>:f1`8wla789:;9k?m;rkd45678?0126b1d<{`m;<=>?5g7a?vo`89:;<8h9b:qjc56789?m;o5|if234562n1h0di?01231c?e3zcl<=>?04dbf>uno9:;<=;ibc9pmb6789:>jnl4she34567=oni7~gh012340`bj2ybk=>?017ebg=tan:;<=>901`8wla789:;:=?m;rkd45678?:9n6}fg1234507;k1xej>?012541d<{`m;<=>?617a?vo`89:;<;>9b:qjc56789<;;o5|if23456181h0di?012325?e3zcl<=>?072bf>uno9:;<=8?bc9pmb6789:=9ni7~gh0123436bj2ybk=>?0143bg=tan:;<=>911`8wla789:;:?012551d<{`m;<=>?607a?vo`89:;<;?9b:qjc56789<:;o5|if23456191h0di?012324?e3zcl<=>?073bf>uno9:;<=8>bc9pmb6789:==nl4she34567>8ni7~gh0123437bj2ybk=>?0142bg=tan:;<=>921`8wla789:;:??m;rkd45678?89n6}fg1234505;k1xej>?012561d<{`m;<=>?637a?vo`89:;<;<9b:qjc56789<9;o5|if234561:1h0di?012327?e3zcl<=>?070bf>uno9:;<=8=bc9pmb6789:=>nl4she34567>;ni7~gh0123434bj2ybk=>?0141bg=tan:;<=>931`8wla789:;:>?m;rkd45678?99n6}fg1234504;k1xej>?012571d<{`m;<=>?627a?vo`89:;<;=9b:qjc56789<8;o5|if234561;1h0di?012326?e3zcl<=>?071bf>uno9:;<=8:ni7~gh0123435bj2ybk=>?0140bg=tan:;<=>941`8wla789:;:9?m;rkd45678?>9n6}fg1234503;k1xej>?012501d<{`m;<=>?657a?vo`89:;<;:9b:qjc56789?076bf>uno9:;<=8;bc9pmb6789:=8nl4she34567>=ni7~gh0123432bj2ybk=>?0147bg=tan:;<=>951`8wla789:;:8?m;rkd45678??9n6}fg1234502;k1xej>?012511d<{`m;<=>?647a?vo`89:;<;;9b:qjc56789<>;o5|if234561=1h0di?012320?e3zcl<=>?077bf>uno9:;<=8:bc9pmb6789:=9nl4she34567>?0146bg=tan:;<=>961`8wla789:;:;?m;rkd45678?<9n6}fg1234501;k1xej>?012521d<{`m;<=>?677a?vo`89:;<;89b:qjc56789<=;o5|if234561>1h0di?012323?e3zcl<=>?074bf>uno9:;<=89bc9pmb6789:=:nl4she34567>?ni7~gh0123430bj2ybk=>?0145bg=tan:;<=>971`8wla789:;::?m;rkd45678?=9n6}fg1234500;k1xej>?012531d<{`m;<=>?667a?vo`89:;<;99b:qjc56789<<;o5|if234561?1h0di?012322?e3zcl<=>?075bf>uno9:;<=88bc9pmb6789:=;nl4she34567>>ni7~gh0123431bj2ybk=>?0144bg=tan:;<=>981`8wla789:;:5?m;rkd45678?29n6}fg123450?;k1xej>?0125<1d<{`m;<=>?697a?vo`89:;<;69b:qjc56789<3;o5|if23456101h0di?01232=?e3zcl<=>?07:bf>uno9:;<=87bc9pmb6789:=4nl4she34567>1ni7~gh012343>bj2ybk=>?014;bg=tan:;<=>991`8wla789:;:4?m;rkd45678?39n6}fg123450>;k1xej>?0125=1d<{`m;<=>?687a?vo`89:;<;79b:qjc56789<2;o5|if23456111h0di?01232?07;bf>uno9:;<=86bc9pmb6789:=5nl4she34567>0ni7~gh012343?bj2ybk=>?014:bg=tan:;<=>9a1`8wla789:;:l?m;rkd45678?k9n6}fg123450f;k1xej>?0125e1d<{`m;<=>?6`7a?vo`89:;<;o9b:qjc56789?07cbf>uno9:;<=8nbc9pmb6789:=mnl4she34567>hni7~gh012343gbj2ybk=>?014bbg=tan:;<=>9b1`8wla789:;:o?m;rkd45678?h9n6}fg123450e;k1xej>?0125f1d<{`m;<=>?6c7a?vo`89:;<;l9b:qjc56789?07`bf>uno9:;<=8mbc9pmb6789:=nnl4she34567>kni7~gh012343dbj2ybk=>?014abg=tan:;<=>9c1`8wla789:;:n?m;rkd45678?i9n6}fg123450d;k1xej>?0125g1d<{`m;<=>?6b7a?vo`89:;<;m9b:qjc56789?07abf>uno9:;<=8lbc9pmb6789:=onl4she34567>jni7~gh012343ebj2ybk=>?014`bg=tan:;<=>9d1`8wla789:;:i?m;rkd45678?n9n6}fg123450c;k1xej>?0125`1d<{`m;<=>?6e7a?vo`89:;<;j9b:qjc56789?07fbf>uno9:;<=8kbc9pmb6789:=hnl4she34567>mni7~gh012343bbj2ybk=>?014gbg=tan:;<=>9e1`8wla789:;:h?m;rkd45678?o9n6}fg123450b;k1xej>?0125a1d<{`m;<=>?6d7a?vo`89:;<;k9b:qjc56789?07gbf>uno9:;<=8jbc9pmb6789:=inl4she34567>lni7~gh012343cbj2ybk=>?014fbg=tan:;<=>9f1`8wla789:;:k?m;rkd45678?l9n6}fg123450a;k1xej>?0125b1d<{`m;<=>?6g7a?vo`89:;<;h9b:qjc56789?07dbf>uno9:;<=8ibc9pmb6789:=jnl4she34567>oni7~gh012343`bj2ybk=>?014ebg=tan:;<=>801`8wla789:;;=?m;rkd45678>:9n6}fg1234517;k1xej>?012441d<{`m;<=>?717a?vo`89:;<:>9b:qjc56789=;;o5|if23456081h0di?012335?e3zcl<=>?062bf>uno9:;<=9?bc9pmb6789:<?0153bg=tan:;<=>811`8wla789:;;;9n6}fg1234516;k1xej>?012451d<{`m;<=>?707a?vo`89:;<:?9b:qjc56789=:;o5|if23456091h0di?012334?e3zcl<=>?063bf>uno9:;<=9>bc9pmb6789:<=nl4she34567?8ni7~gh0123427bj2ybk=>?0152bg=tan:;<=>821`8wla789:;;??m;rkd45678>89n6}fg1234515;k1xej>?012461d<{`m;<=>?737a?vo`89:;<:<9b:qjc56789=9;o5|if234560:1h0di?012337?e3zcl<=>?060bf>uno9:;<=9=bc9pmb6789:<>nl4she34567?;ni7~gh0123424bj2ybk=>?0151bg=tan:;<=>831`8wla789:;;>?m;rkd45678>99n6}fg1234514;k1xej>?012471d<{`m;<=>?727a?vo`89:;<:=9b:qjc56789=8;o5|if234560;1h0di?012336?e3zcl<=>?061bf>uno9:;<=9?0150bg=tan:;<=>841`8wla789:;;9?m;rkd45678>>9n6}fg1234513;k1xej>?012401d<{`m;<=>?757a?vo`89:;<::9b:qjc56789=?;o5|if234560<1h0di?012331?e3zcl<=>?066bf>uno9:;<=9;bc9pmb6789:<8nl4she34567?=ni7~gh0123422bj2ybk=>?0157bg=tan:;<=>851`8wla789:;;8?m;rkd45678>?9n6}fg1234512;k1xej>?012411d<{`m;<=>?747a?vo`89:;<:;9b:qjc56789=>;o5|if234560=1h0di?012330?e3zcl<=>?067bf>uno9:;<=9:bc9pmb6789:<9nl4she34567??0156bg=tan:;<=>861`8wla789:;;;?m;rkd45678><9n6}fg1234511;k1xej>?012421d<{`m;<=>?777a?vo`89:;<:89b:qjc56789==;o5|if234560>1h0di?012333?e3zcl<=>?064bf>uno9:;<=99bc9pmb6789:<:nl4she34567??ni7~gh0123420bj2ybk=>?0155bg=tan:;<=>871`8wla789:;;:?m;rkd45678>=9n6}fg1234510;k1xej>?012431d<{`m;<=>?767a?vo`89:;<:99b:qjc56789=<;o5|if234560?1h0di?012332?e3zcl<=>?065bf>uno9:;<=98bc9pmb6789:<;nl4she34567?>ni7~gh0123421bj2ybk=>?0154bg=tan:;<=>881`8wla789:;;5?m;rkd45678>29n6}fg123451?;k1xej>?0124<1d<{`m;<=>?797a?vo`89:;<:69b:qjc56789=3;o5|if23456001h0di?01233=?e3zcl<=>?06:bf>uno9:;<=97bc9pmb6789:<4nl4she34567?1ni7~gh012342>bj2ybk=>?015;bg=tan:;<=>891`8wla789:;;4?m;rkd45678>39n6}fg123451>;k1xej>?0124=1d<{`m;<=>?787a?vo`89:;<:79b:qjc56789=2;o5|if23456011h0di?01233?06;bf>uno9:;<=96bc9pmb6789:<5nl4she34567?0ni7~gh012342?bj2ybk=>?015:bg=tan:;<=>8a1`8wla789:;;l?m;rkd45678>k9n6}fg123451f;k1xej>?0124e1d<{`m;<=>?7`7a?vo`89:;<:o9b:qjc56789=j;o5|if234560i1h0di?01233d?e3zcl<=>?06cbf>uno9:;<=9nbc9pmb6789:?015bbg=tan:;<=>8b1`8wla789:;;o?m;rkd45678>h9n6}fg123451e;k1xej>?0124f1d<{`m;<=>?7c7a?vo`89:;<:l9b:qjc56789=i;o5|if234560j1h0di?01233g?e3zcl<=>?06`bf>uno9:;<=9mbc9pmb6789:?015abg=tan:;<=>8c1`8wla789:;;n?m;rkd45678>i9n6}fg123451d;k1xej>?0124g1d<{`m;<=>?7b7a?vo`89:;<:m9b:qjc56789=h;o5|if234560k1h0di?01233f?e3zcl<=>?06abf>uno9:;<=9lbc9pmb6789:?015`bg=tan:;<=>8d1`8wla789:;;i?m;rkd45678>n9n6}fg123451c;k1xej>?0124`1d<{`m;<=>?7e7a?vo`89:;<:j9b:qjc56789=o;o5|if234560l1h0di?01233a?e3zcl<=>?06fbf>uno9:;<=9kbc9pmb6789:?015gbg=tan:;<=>8e1`8wla789:;;h?m;rkd45678>o9n6}fg123451b;k1xej>?0124a1d<{`m;<=>?7d7a?vo`89:;<:k9b:qjc56789=n;o5|if234560m1h0di?01233`?e3zcl<=>?06gbf>uno9:;<=9jbc9pmb6789:?015fbg=tan:;<=>8f1`8wla789:;;k?m;rkd45678>l9n6}fg123451a;k1xej>?0124b1d<{`m;<=>?7g7a?vo`89:;<:h9b:qjc56789=m;o5|if234560n1h0di?01233c?e3zcl<=>?06dbf>uno9:;<=9ibc9pmb6789:?015ebg=tan:;<=>701`8wla789:;4=?m;rkd456781:9n6}fg12345>7;k1xej>?012;41d<{`m;<=>?817a?vo`89:;<5>9b:qjc567892;;o5|if23456?81h0di?0123<5?e3zcl<=>?092bf>uno9:;<=6?bc9pmb6789:3?01:3bg=tan:;<=>711`8wla789:;46;k1xej>?012;51d<{`m;<=>?807a?vo`89:;<5?9b:qjc567892:;o5|if23456?91h0di?0123<4?e3zcl<=>?093bf>uno9:;<=6>bc9pmb6789:3=nl4she3456708ni7~gh01234=7bj2ybk=>?01:2bg=tan:;<=>721`8wla789:;4??m;rkd45678189n6}fg12345>5;k1xej>?012;61d<{`m;<=>?837a?vo`89:;<5<9b:qjc5678929;o5|if23456?:1h0di?0123<7?e3zcl<=>?090bf>uno9:;<=6=bc9pmb6789:3>nl4she345670;ni7~gh01234=4bj2ybk=>?01:1bg=tan:;<=>731`8wla789:;4>?m;rkd45678199n6}fg12345>4;k1xej>?012;71d<{`m;<=>?827a?vo`89:;<5=9b:qjc5678928;o5|if23456?;1h0di?0123<6?e3zcl<=>?091bf>uno9:;<=6?01:0bg=tan:;<=>741`8wla789:;49?m;rkd456781>9n6}fg12345>3;k1xej>?012;01d<{`m;<=>?857a?vo`89:;<5:9b:qjc567892?;o5|if23456?<1h0di?0123<1?e3zcl<=>?096bf>uno9:;<=6;bc9pmb6789:38nl4she345670=ni7~gh01234=2bj2ybk=>?01:7bg=tan:;<=>751`8wla789:;48?m;rkd456781?9n6}fg12345>2;k1xej>?012;11d<{`m;<=>?847a?vo`89:;<5;9b:qjc567892>;o5|if23456?=1h0di?0123<0?e3zcl<=>?097bf>uno9:;<=6:bc9pmb6789:39nl4she345670?01:6bg=tan:;<=>761`8wla789:;4;?m;rkd456781<9n6}fg12345>1;k1xej>?012;21d<{`m;<=>?877a?vo`89:;<589b:qjc567892=;o5|if23456?>1h0di?0123<3?e3zcl<=>?094bf>uno9:;<=69bc9pmb6789:3:nl4she345670?ni7~gh01234=0bj2ybk=>?01:5bg=tan:;<=>771`8wla789:;4:?m;rkd456781=9n6}fg12345>0;k1xej>?012;31d<{`m;<=>?867a?vo`89:;<599b:qjc567892<;o5|if23456??1h0di?0123<2?e3zcl<=>?095bf>uno9:;<=68bc9pmb6789:3;nl4she345670>ni7~gh01234=1bj2ybk=>?01:4bg=tan:;<=>781`8wla789:;45?m;rkd45678129n6}fg12345>?;k1xej>?012;<1d<{`m;<=>?897a?vo`89:;<569b:qjc5678923;o5|if23456?01h0di?0123<=?e3zcl<=>?09:bf>uno9:;<=67bc9pmb6789:34nl4she3456701ni7~gh01234=>bj2ybk=>?01:;bg=tan:;<=>791`8wla789:;44?m;rkd45678139n6}fg12345>>;k1xej>?012;=1d<{`m;<=>?887a?vo`89:;<579b:qjc5678922;o5|if23456?11h0di?0123<?09;bf>uno9:;<=66bc9pmb6789:35nl4she3456700ni7~gh01234=?bj2ybk=>?01::bg=tan:;<=>7a1`8wla789:;4l?m;rkd456781k9n6}fg12345>f;k1xej>?012;e1d<{`m;<=>?8`7a?vo`89:;<5o9b:qjc567892j;o5|if23456?i1h0di?0123?09cbf>uno9:;<=6nbc9pmb6789:3mnl4she345670hni7~gh01234=gbj2ybk=>?01:bbg=tan:;<=>7b1`8wla789:;4o?m;rkd456781h9n6}fg12345>e;k1xej>?012;f1d<{`m;<=>?8c7a?vo`89:;<5l9b:qjc567892i;o5|if23456?j1h0di?0123?09`bf>uno9:;<=6mbc9pmb6789:3nnl4she345670kni7~gh01234=dbj2ybk=>?01:abg=tan:;<=>7c1`8wla789:;4n?m;rkd456781i9n6}fg12345>d;k1xej>?012;g1d<{`m;<=>?8b7a?vo`89:;<5m9b:qjc567892h;o5|if23456?k1h0di?0123?09abf>uno9:;<=6lbc9pmb6789:3onl4she345670jni7~gh01234=ebj2ybk=>?01:`bg=tan:;<=>7d1`8wla789:;4i?m;rkd456781n9n6}fg12345>c;k1xej>?012;`1d<{`m;<=>?8e7a?vo`89:;<5j9b:qjc567892o;o5|if23456?l1h0di?0123?09fbf>uno9:;<=6kbc9pmb6789:3hnl4she345670mni7~gh01234=bbj2ybk=>?01:gbg=tan:;<=>7e1`8wla789:;4h?m;rkd456781o9n6}fg12345>b;k1xej>?012;a1d<{`m;<=>?8d7a?vo`89:;<5k9b:qjc567892n;o5|if23456?m1h0di?0123<`?e3zcl<=>?09gbf>uno9:;<=6jbc9pmb6789:3inl4she345670lni7~gh01234=cbj2ybk=>?01:fbg=tan:;<=>7f1`8wla789:;4k?m;rkd456781l9n6}fg12345>a;k1xej>?012;b1d<{`m;<=>?8g7a?vo`89:;<5h9b:qjc567892m;o5|if23456?n1h0di?0123?09dbf>uno9:;<=6ibc9pmb6789:3jnl4she345670oni7~gh01234=`bj2ybk=>?01:ebg=tan:;<=>601`8wla789:;5=?m;rkd456780:9n6}fg12345?7;k1xej>?012:41d<{`m;<=>?917a?vo`89:;<4>9b:qjc567893;;o5|if23456>81h0di?0123=5?e3zcl<=>?082bf>uno9:;<=7?bc9pmb6789:2?01;3bg=tan:;<=>611`8wla789:;5?012:51d<{`m;<=>?907a?vo`89:;<4?9b:qjc567893:;o5|if23456>91h0di?0123=4?e3zcl<=>?083bf>uno9:;<=7>bc9pmb6789:2=nl4she3456718ni7~gh01234<7bj2ybk=>?01;2bg=tan:;<=>621`8wla789:;5??m;rkd45678089n6}fg12345?5;k1xej>?012:61d<{`m;<=>?937a?vo`89:;<4<9b:qjc5678939;o5|if23456>:1h0di?0123=7?e3zcl<=>?080bf>uno9:;<=7=bc9pmb6789:2>nl4she345671;ni7~gh01234<4bj2ybk=>?01;1bg=tan:;<=>631`8wla789:;5>?m;rkd45678099n6}fg12345?4;k1xej>?012:71d<{`m;<=>?927a?vo`89:;<4=9b:qjc5678938;o5|if23456>;1h0di?0123=6?e3zcl<=>?081bf>uno9:;<=7?01;0bg=tan:;<=>641`8wla789:;59?m;rkd456780>9n6}fg12345?3;k1xej>?012:01d<{`m;<=>?957a?vo`89:;<4:9b:qjc567893?;o5|if23456><1h0di?0123=1?e3zcl<=>?086bf>uno9:;<=7;bc9pmb6789:28nl4she345671=ni7~gh01234<2bj2ybk=>?01;7bg=tan:;<=>651`8wla789:;58?m;rkd456780?9n6}fg12345?2;k1xej>?012:11d<{`m;<=>?947a?vo`89:;<4;9b:qjc567893>;o5|if23456>=1h0di?0123=0?e3zcl<=>?087bf>uno9:;<=7:bc9pmb6789:29nl4she345671?01;6bg=tan:;<=>661`8wla789:;5;?m;rkd456780<9n6}fg12345?1;k1xej>?012:21d<{`m;<=>?977a?vo`89:;<489b:qjc567893=;o5|if23456>>1h0di?0123=3?e3zcl<=>?084bf>uno9:;<=79bc9pmb6789:2:nl4she345671?ni7~gh01234<0bj2ybk=>?01;5bg=tan:;<=>671`8wla789:;5:?m;rkd456780=9n6}fg12345?0;k1xej>?012:31d<{`m;<=>?967a?vo`89:;<499b:qjc567893<;o5|if23456>?1h0di?0123=2?e3zcl<=>?085bf>uno9:;<=78bc9pmb6789:2;nl4she345671>ni7~gh01234<1bj2ybk=>?01;4bg=tan:;<=>681`8wla789:;55?m;rkd45678029n6}fg12345??;k1xej>?012:<1d<{`m;<=>?997a?vo`89:;<469b:qjc5678933;o5|if23456>01h0di?0123==?e3zcl<=>?08:bf>uno9:;<=77bc9pmb6789:24nl4she3456711ni7~gh01234<>bj2ybk=>?01;;bg=tan:;<=>691`8wla789:;54?m;rkd45678039n6}fg12345?>;k1xej>?012:=1d<{`m;<=>?987a?vo`89:;<479b:qjc5678932;o5|if23456>11h0di?0123=?08;bf>uno9:;<=76bc9pmb6789:25nl4she3456710ni7~gh01234?01;:bg=tan:;<=>6a1`8wla789:;5l?m;rkd456780k9n6}fg12345?f;k1xej>?012:e1d<{`m;<=>?9`7a?vo`89:;<4o9b:qjc567893j;o5|if23456>i1h0di?0123=d?e3zcl<=>?08cbf>uno9:;<=7nbc9pmb6789:2mnl4she345671hni7~gh01234?01;bbg=tan:;<=>6b1`8wla789:;5o?m;rkd456780h9n6}fg12345?e;k1xej>?012:f1d<{`m;<=>?9c7a?vo`89:;<4l9b:qjc567893i;o5|if23456>j1h0di?0123=g?e3zcl<=>?08`bf>uno9:;<=7mbc9pmb6789:2nnl4she345671kni7~gh01234?01;abg=tan:;<=>6c1`8wla789:;5n?m;rkd456780i9n6}fg12345?d;k1xej>?012:g1d<{`m;<=>?9b7a?vo`89:;<4m9b:qjc567893h;o5|if23456>k1h0di?0123=f?e3zcl<=>?08abf>uno9:;<=7lbc9pmb6789:2onl4she345671jni7~gh01234?01;`bg=tan:;<=>6d1`8wla789:;5i?m;rkd456780n9n6}fg12345?c;k1xej>?012:`1d<{`m;<=>?9e7a?vo`89:;<4j9b:qjc567893o;o5|if23456>l1h0di?0123=a?e3zcl<=>?08fbf>uno9:;<=7kbc9pmb6789:2hnl4she345671mni7~gh01234?01;gbg=tan:;<=>6e1`8wla789:;5h?m;rkd456780o9n6}fg12345?b;k1xej>?012:a1d<{`m;<=>?9d7a?vo`89:;<4k9b:qjc567893n;o5|if23456>m1h0di?0123=`?e3zcl<=>?08gbf>uno9:;<=7jbc9pmb6789:2inl4she345671lni7~gh01234?01;fbg=tan:;<=>6f1`8wla789:;5k?m;rkd456780l9n6}fg12345?a;k1xej>?012:b1d<{`m;<=>?9g7a?vo`89:;<4h9b:qjc567893m;o5|if23456>n1h0di?0123=c?e3zcl<=>?08dbf>uno9:;<=7ibc9pmb6789:2jnl4she345671oni7~gh01234<`bj2ybk=>?01;ebg=tan:;<=>n01`8wla789:;m=?m;rkd45678h:9n6}fg12345g7;k1xej>?012b41d<{`m;<=>?a17a?vo`89:;9b:qjc56789k;;o5|if23456f81h0di?0123e5?e3zcl<=>?0`2bf>uno9:;<=o?bc9pmb6789:j?01c3bg=tan:;<=>n11`8wla789:;m?012b51d<{`m;<=>?a07a?vo`89:;?0`3bf>uno9:;<=o>bc9pmb6789:j=nl4she34567i8ni7~gh01234d7bj2ybk=>?01c2bg=tan:;<=>n21`8wla789:;m??m;rkd45678h89n6}fg12345g5;k1xej>?012b61d<{`m;<=>?a37a?vo`89:;?0`0bf>uno9:;<=o=bc9pmb6789:j>nl4she34567i;ni7~gh01234d4bj2ybk=>?01c1bg=tan:;<=>n31`8wla789:;m>?m;rkd45678h99n6}fg12345g4;k1xej>?012b71d<{`m;<=>?a27a?vo`89:;?0`1bf>uno9:;<=o?01c0bg=tan:;<=>n41`8wla789:;m9?m;rkd45678h>9n6}fg12345g3;k1xej>?012b01d<{`m;<=>?a57a?vo`89:;?0`6bf>uno9:;<=o;bc9pmb6789:j8nl4she34567i=ni7~gh01234d2bj2ybk=>?01c7bg=tan:;<=>n51`8wla789:;m8?m;rkd45678h?9n6}fg12345g2;k1xej>?012b11d<{`m;<=>?a47a?vo`89:;;o5|if23456f=1h0di?0123e0?e3zcl<=>?0`7bf>uno9:;<=o:bc9pmb6789:j9nl4she34567i?01c6bg=tan:;<=>n61`8wla789:;m;?m;rkd45678h<9n6}fg12345g1;k1xej>?012b21d<{`m;<=>?a77a?vo`89:;1h0di?0123e3?e3zcl<=>?0`4bf>uno9:;<=o9bc9pmb6789:j:nl4she34567i?ni7~gh01234d0bj2ybk=>?01c5bg=tan:;<=>n71`8wla789:;m:?m;rkd45678h=9n6}fg12345g0;k1xej>?012b31d<{`m;<=>?a67a?vo`89:;?0`5bf>uno9:;<=o8bc9pmb6789:j;nl4she34567i>ni7~gh01234d1bj2ybk=>?01c4bg=tan:;<=>n81`8wla789:;m5?m;rkd45678h29n6}fg12345g?;k1xej>?012b<1d<{`m;<=>?a97a?vo`89:;?0`:bf>uno9:;<=o7bc9pmb6789:j4nl4she34567i1ni7~gh01234d>bj2ybk=>?01c;bg=tan:;<=>n91`8wla789:;m4?m;rkd45678h39n6}fg12345g>;k1xej>?012b=1d<{`m;<=>?a87a?vo`89:;?0`;bf>uno9:;<=o6bc9pmb6789:j5nl4she34567i0ni7~gh01234d?bj2ybk=>?01c:bg=tan:;<=>na1`8wla789:;ml?m;rkd45678hk9n6}fg12345gf;k1xej>?012be1d<{`m;<=>?a`7a?vo`89:;?0`cbf>uno9:;<=onbc9pmb6789:jmnl4she34567ihni7~gh01234dgbj2ybk=>?01cbbg=tan:;<=>nb1`8wla789:;mo?m;rkd45678hh9n6}fg12345ge;k1xej>?012bf1d<{`m;<=>?ac7a?vo`89:;?0``bf>uno9:;<=ombc9pmb6789:jnnl4she34567ikni7~gh01234ddbj2ybk=>?01cabg=tan:;<=>nc1`8wla789:;mn?m;rkd45678hi9n6}fg12345gd;k1xej>?012bg1d<{`m;<=>?ab7a?vo`89:;?0`abf>uno9:;<=olbc9pmb6789:jonl4she34567ijni7~gh01234debj2ybk=>?01c`bg=tan:;<=>nd1`8wla789:;mi?m;rkd45678hn9n6}fg12345gc;k1xej>?012b`1d<{`m;<=>?ae7a?vo`89:;?0`fbf>uno9:;<=okbc9pmb6789:jhnl4she34567imni7~gh01234dbbj2ybk=>?01cgbg=tan:;<=>ne1`8wla789:;mh?m;rkd45678ho9n6}fg12345gb;k1xej>?012ba1d<{`m;<=>?ad7a?vo`89:;?0`gbf>uno9:;<=ojbc9pmb6789:jinl4she34567ilni7~gh01234dcbj2ybk=>?01cfbg=tan:;<=>nf1`8wla789:;mk?m;rkd45678hl9n6}fg12345ga;k1xej>?012bb1d<{`m;<=>?ag7a?vo`89:;?0`dbf>uno9:;<=oibc9pmb6789:jjnl4she34567ioni7~gh01234d`bj2ybk=>?01cebg=tan:;<=>m01`8wla789:;n=?m;rkd45678k:9n6}fg12345d7;k1xej>?012a41d<{`m;<=>?b17a?vo`89:;9b:qjc56789h;;o5|if23456e81h0di?0123f5?e3zcl<=>?0c2bf>uno9:;<=l?bc9pmb6789:i?01`3bg=tan:;<=>m11`8wla789:;n?012a51d<{`m;<=>?b07a?vo`89:;?0c3bf>uno9:;<=l>bc9pmb6789:i=nl4she34567j8ni7~gh01234g7bj2ybk=>?01`2bg=tan:;<=>m21`8wla789:;n??m;rkd45678k89n6}fg12345d5;k1xej>?012a61d<{`m;<=>?b37a?vo`89:;?0c0bf>uno9:;<=l=bc9pmb6789:i>nl4she34567j;ni7~gh01234g4bj2ybk=>?01`1bg=tan:;<=>m31`8wla789:;n>?m;rkd45678k99n6}fg12345d4;k1xej>?012a71d<{`m;<=>?b27a?vo`89:;?0c1bf>uno9:;<=l?01`0bg=tan:;<=>m41`8wla789:;n9?m;rkd45678k>9n6}fg12345d3;k1xej>?012a01d<{`m;<=>?b57a?vo`89:;?0c6bf>uno9:;<=l;bc9pmb6789:i8nl4she34567j=ni7~gh01234g2bj2ybk=>?01`7bg=tan:;<=>m51`8wla789:;n8?m;rkd45678k?9n6}fg12345d2;k1xej>?012a11d<{`m;<=>?b47a?vo`89:;;o5|if23456e=1h0di?0123f0?e3zcl<=>?0c7bf>uno9:;<=l:bc9pmb6789:i9nl4she34567j?01`6bg=tan:;<=>m61`8wla789:;n;?m;rkd45678k<9n6}fg12345d1;k1xej>?012a21d<{`m;<=>?b77a?vo`89:;1h0di?0123f3?e3zcl<=>?0c4bf>uno9:;<=l9bc9pmb6789:i:nl4she34567j?ni7~gh01234g0bj2ybk=>?01`5bg=tan:;<=>m71`8wla789:;n:?m;rkd45678k=9n6}fg12345d0;k1xej>?012a31d<{`m;<=>?b67a?vo`89:;?0c5bf>uno9:;<=l8bc9pmb6789:i;nl4she34567j>ni7~gh01234g1bj2ybk=>?01`4bg=tan:;<=>m81`8wla789:;n5?m;rkd45678k29n6}fg12345d?;k1xej>?012a<1d<{`m;<=>?b97a?vo`89:;?0c:bf>uno9:;<=l7bc9pmb6789:i4nl4she34567j1ni7~gh01234g>bj2ybk=>?01`;bg=tan:;<=>m91`8wla789:;n4?m;rkd45678k39n6}fg12345d>;k1xej>?012a=1d<{`m;<=>?b87a?vo`89:;?0c;bf>uno9:;<=l6bc9pmb6789:i5nl4she34567j0ni7~gh01234g?bj2ybk=>?01`:bg=tan:;<=>ma1`8wla789:;nl?m;rkd45678kk9n6}fg12345df;k1xej>?012ae1d<{`m;<=>?b`7a?vo`89:;?0ccbf>uno9:;<=lnbc9pmb6789:imnl4she34567jhni7~gh01234ggbj2ybk=>?01`bbg=tan:;<=>mb1`8wla789:;no?m;rkd45678kh9n6}fg12345de;k1xej>?012af1d<{`m;<=>?bc7a?vo`89:;?0c`bf>uno9:;<=lmbc9pmb6789:innl4she34567jkni7~gh01234gdbj2ybk=>?01`abg=tan:;<=>mc1`8wla789:;nn?m;rkd45678ki9n6}fg12345dd;k1xej>?012ag1d<{`m;<=>?bb7a?vo`89:;?0cabf>uno9:;<=llbc9pmb6789:ionl4she34567jjni7~gh01234gebj2ybk=>?01``bg=tan:;<=>md1`8wla789:;ni?m;rkd45678kn9n6}fg12345dc;k1xej>?012a`1d<{`m;<=>?be7a?vo`89:;?0cfbf>uno9:;<=lkbc9pmb6789:ihnl4she34567jmni7~gh01234gbbj2ybk=>?01`gbg=tan:;<=>me1`8wla789:;nh?m;rkd45678ko9n6}fg12345db;k1xej>?012aa1d<{`m;<=>?bd7a?vo`89:;?0cgbf>uno9:;<=ljbc9pmb6789:iinl4she34567jlni7~gh01234gcbj2ybk=>?01`fbg=tan:;<=>mf1`8wla789:;nk?m;rkd45678kl9n6}fg12345da;k1xej>?012ab1d<{`m;<=>?bg7a?vo`89:;?0cdbf>uno9:;<=libc9pmb6789:ijnl4she34567joni7~gh01234g`bj2ybk=>?01`ebg=tan:;<=>l01`8wla789:;o=?m;rkd45678j:9n6}fg12345e7;k1xej>?012`41d<{`m;<=>?c17a?vo`89:;9b:qjc56789i;;o5|if23456d81h0di?0123g5?e3zcl<=>?0b2bf>uno9:;<=m?bc9pmb6789:h?01a3bg=tan:;<=>l11`8wla789:;o?012`51d<{`m;<=>?c07a?vo`89:;?0b3bf>uno9:;<=m>bc9pmb6789:h=nl4she34567k8ni7~gh01234f7bj2ybk=>?01a2bg=tan:;<=>l21`8wla789:;o??m;rkd45678j89n6}fg12345e5;k1xej>?012`61d<{`m;<=>?c37a?vo`89:;?0b0bf>uno9:;<=m=bc9pmb6789:h>nl4she34567k;ni7~gh01234f4bj2ybk=>?01a1bg=tan:;<=>l31`8wla789:;o>?m;rkd45678j99n6}fg12345e4;k1xej>?012`71d<{`m;<=>?c27a?vo`89:;?0b1bf>uno9:;<=m?01a0bg=tan:;<=>l41`8wla789:;o9?m;rkd45678j>9n6}fg12345e3;k1xej>?012`01d<{`m;<=>?c57a?vo`89:;?0b6bf>uno9:;<=m;bc9pmb6789:h8nl4she34567k=ni7~gh01234f2bj2ybk=>?01a7bg=tan:;<=>l51`8wla789:;o8?m;rkd45678j?9n6}fg12345e2;k1xej>?012`11d<{`m;<=>?c47a?vo`89:;;o5|if23456d=1h0di?0123g0?e3zcl<=>?0b7bf>uno9:;<=m:bc9pmb6789:h9nl4she34567k?01a6bg=tan:;<=>l61`8wla789:;o;?m;rkd45678j<9n6}fg12345e1;k1xej>?012`21d<{`m;<=>?c77a?vo`89:;1h0di?0123g3?e3zcl<=>?0b4bf>uno9:;<=m9bc9pmb6789:h:nl4she34567k?ni7~gh01234f0bj2ybk=>?01a5bg=tan:;<=>l71`8wla789:;o:?m;rkd45678j=9n6}fg12345e0;k1xej>?012`31d<{`m;<=>?c67a?vo`89:;?0b5bf>uno9:;<=m8bc9pmb6789:h;nl4she34567k>ni7~gh01234f1bj2ybk=>?01a4bg=tan:;<=>l81`8wla789:;o5?m;rkd45678j29n6}fg12345e?;k1xej>?012`<1d<{`m;<=>?c97a?vo`89:;?0b:bf>uno9:;<=m7bc9pmb6789:h4nl4she34567k1ni7~gh01234f>bj2ybk=>?01a;bg=tan:;<=>l91`8wla789:;o4?m;rkd45678j39n6}fg12345e>;k1xej>?012`=1d<{`m;<=>?c87a?vo`89:;?0b;bf>uno9:;<=m6bc9pmb6789:h5nl4she34567k0ni7~gh01234f?bj2ybk=>?01a:bg=tan:;<=>la1`8wla789:;ol?m;rkd45678jk9n6}fg12345ef;k1xej>?012`e1d<{`m;<=>?c`7a?vo`89:;?0bcbf>uno9:;<=mnbc9pmb6789:hmnl4she34567khni7~gh01234fgbj2ybk=>?01abbg=tan:;<=>lb1`8wla789:;oo?m;rkd45678jh9n6}fg12345ee;k1xej>?012`f1d<{`m;<=>?cc7a?vo`89:;?0b`bf>uno9:;<=mmbc9pmb6789:hnnl4she34567kkni7~gh01234fdbj2ybk=>?01aabg=tan:;<=>lc1`8wla789:;on?m;rkd45678ji9n6}fg12345ed;k1xej>?012`g1d<{`m;<=>?cb7a?vo`89:;?0babf>uno9:;<=mlbc9pmb6789:honl4she34567kjni7~gh01234febj2ybk=>?01a`bg=tan:;<=>ld1`8wla789:;oi?m;rkd45678jn9n6}fg12345ec;k1xej>?012``1d<{`m;<=>?ce7a?vo`89:;?0bfbf>uno9:;<=mkbc9pmb6789:hhnl4she34567kmni7~gh01234fbbj2ybk=>?01agbg=tan:;<=>le1`8wla789:;oh?m;rkd45678jo9n6}fg12345eb;k1xej>?012`a1d<{`m;<=>?cd7a?vo`89:;?0bgbf>uno9:;<=mjbc9pmb6789:hinl4she34567klni7~gh01234fcbj2ybk=>?01afbg=tan:;<=>lf1`8wla789:;ok?m;rkd45678jl9n6}fg12345ea;k1xej>?012`b1d<{`m;<=>?cg7a?vo`89:;?0bdbf>uno9:;<=mibc9pmb6789:hjnl4she34567koni7~gh01234f`bj2ybk=>?01aebg=tan:;<=>k01`8wla789:;h=?m;rkd45678m:9n6}fg12345b7;k1xej>?012g41d<{`m;<=>?d17a?vo`89:;9b:qjc56789n;;o5|if23456c81h0di?0123`5?e3zcl<=>?0e2bf>uno9:;<=j?bc9pmb6789:o?01f3bg=tan:;<=>k11`8wla789:;h?012g51d<{`m;<=>?d07a?vo`89:;?0e3bf>uno9:;<=j>bc9pmb6789:o=nl4she34567l8ni7~gh01234a7bj2ybk=>?01f2bg=tan:;<=>k21`8wla789:;h??m;rkd45678m89n6}fg12345b5;k1xej>?012g61d<{`m;<=>?d37a?vo`89:;?0e0bf>uno9:;<=j=bc9pmb6789:o>nl4she34567l;ni7~gh01234a4bj2ybk=>?01f1bg=tan:;<=>k31`8wla789:;h>?m;rkd45678m99n6}fg12345b4;k1xej>?012g71d<{`m;<=>?d27a?vo`89:;?0e1bf>uno9:;<=j?01f0bg=tan:;<=>k41`8wla789:;h9?m;rkd45678m>9n6}fg12345b3;k1xej>?012g01d<{`m;<=>?d57a?vo`89:;?0e6bf>uno9:;<=j;bc9pmb6789:o8nl4she34567l=ni7~gh01234a2bj2ybk=>?01f7bg=tan:;<=>k51`8wla789:;h8?m;rkd45678m?9n6}fg12345b2;k1xej>?012g11d<{`m;<=>?d47a?vo`89:;;o5|if23456c=1h0di?0123`0?e3zcl<=>?0e7bf>uno9:;<=j:bc9pmb6789:o9nl4she34567l?01f6bg=tan:;<=>k61`8wla789:;h;?m;rkd45678m<9n6}fg12345b1;k1xej>?012g21d<{`m;<=>?d77a?vo`89:;1h0di?0123`3?e3zcl<=>?0e4bf>uno9:;<=j9bc9pmb6789:o:nl4she34567l?ni7~gh01234a0bj2ybk=>?01f5bg=tan:;<=>k71`8wla789:;h:?m;rkd45678m=9n6}fg12345b0;k1xej>?012g31d<{`m;<=>?d67a?vo`89:;?0e5bf>uno9:;<=j8bc9pmb6789:o;nl4she34567l>ni7~gh01234a1bj2ybk=>?01f4bg=tan:;<=>k81`8wla789:;h5?m;rkd45678m29n6}fg12345b?;k1xej>?012g<1d<{`m;<=>?d97a?vo`89:;?0e:bf>uno9:;<=j7bc9pmb6789:o4nl4she34567l1ni7~gh01234a>bj2ybk=>?01f;bg=tan:;<=>k91`8wla789:;h4?m;rkd45678m39n6}fg12345b>;k1xej>?012g=1d<{`m;<=>?d87a?vo`89:;?0e;bf>uno9:;<=j6bc9pmb6789:o5nl4she34567l0ni7~gh01234a?bj2ybk=>?01f:bg=tan:;<=>ka1`8wla789:;hl?m;rkd45678mk9n6}fg12345bf;k1xej>?012ge1d<{`m;<=>?d`7a?vo`89:;?0ecbf>uno9:;<=jnbc9pmb6789:omnl4she34567lhni7~gh01234agbj2ybk=>?01fbbg=tan:;<=>kb1`8wla789:;ho?m;rkd45678mh9n6}fg12345be;k1xej>?012gf1d<{`m;<=>?dc7a?vo`89:;?0e`bf>uno9:;<=jmbc9pmb6789:onnl4she34567lkni7~gh01234adbj2ybk=>?01fabg=tan:;<=>kc1`8wla789:;hn?m;rkd45678mi9n6}fg12345bd;k1xej>?012gg1d<{`m;<=>?db7a?vo`89:;?0eabf>uno9:;<=jlbc9pmb6789:oonl4she34567ljni7~gh01234aebj2ybk=>?01f`bg=tan:;<=>kd1`8wla789:;hi?m;rkd45678mn9n6}fg12345bc;k1xej>?012g`1d<{`m;<=>?de7a?vo`89:;?0efbf>uno9:;<=jkbc9pmb6789:ohnl4she34567lmni7~gh01234abbj2ybk=>?01fgbg=tan:;<=>ke1`8wla789:;hh?m;rkd45678mo9n6}fg12345bb;k1xej>?012ga1d<{`m;<=>?dd7a?vo`89:;?0egbf>uno9:;<=jjbc9pmb6789:oinl4she34567llni7~gh01234acbj2ybk=>?01ffbg=tan:;<=>kf1`8wla789:;hk?m;rkd45678ml9n6}fg12345ba;k1xej>?012gb1d<{`m;<=>?dg7a?vo`89:;?0edbf>uno9:;<=jibc9pmb6789:ojnl4she34567loni7~gh01234a`bj2ybk=>?01febg=tan:;<=>j01`8wla789:;i=?m;rkd45678l:9n6}fg12345c7;k1xej>?012f41d<{`m;<=>?e17a?vo`89:;9b:qjc56789o;;o5|if23456b81h0di?0123a5?e3zcl<=>?0d2bf>uno9:;<=k?bc9pmb6789:n?01g3bg=tan:;<=>j11`8wla789:;i?012f51d<{`m;<=>?e07a?vo`89:;?0d3bf>uno9:;<=k>bc9pmb6789:n=nl4she34567m8ni7~gh01234`7bj2ybk=>?01g2bg=tan:;<=>j21`8wla789:;i??m;rkd45678l89n6}fg12345c5;k1xej>?012f61d<{`m;<=>?e37a?vo`89:;?0d0bf>uno9:;<=k=bc9pmb6789:n>nl4she34567m;ni7~gh01234`4bj2ybk=>?01g1bg=tan:;<=>j31`8wla789:;i>?m;rkd45678l99n6}fg12345c4;k1xej>?012f71d<{`m;<=>?e27a?vo`89:;?0d1bf>uno9:;<=k?01g0bg=tan:;<=>j41`8wla789:;i9?m;rkd45678l>9n6}fg12345c3;k1xej>?012f01d<{`m;<=>?e57a?vo`89:;?0d6bf>uno9:;<=k;bc9pmb6789:n8nl4she34567m=ni7~gh01234`2bj2ybk=>?01g7bg=tan:;<=>j51`8wla789:;i8?m;rkd45678l?9n6}fg12345c2;k1xej>?012f11d<{`m;<=>?e47a?vo`89:;;o5|if23456b=1h0di?0123a0?e3zcl<=>?0d7bf>uno9:;<=k:bc9pmb6789:n9nl4she34567m?01g6bg=tan:;<=>j61`8wla789:;i;?m;rkd45678l<9n6}fg12345c1;k1xej>?012f21d<{`m;<=>?e77a?vo`89:;1h0di?0123a3?e3zcl<=>?0d4bf>uno9:;<=k9bc9pmb6789:n:nl4she34567m?ni7~gh01234`0bj2ybk=>?01g5bg=tan:;<=>j71`8wla789:;i:?m;rkd45678l=9n6}fg12345c0;k1xej>?012f31d<{`m;<=>?e67a?vo`89:;?0d5bf>uno9:;<=k8bc9pmb6789:n;nl4she34567m>ni7~gh01234`1bj2ybk=>?01g4bg=tan:;<=>j81`8wla789:;i5?m;rkd45678l29n6}fg12345c?;k1xej>?012f<1d<{`m;<=>?e97a?vo`89:;?0d:bf>uno9:;<=k7bc9pmb6789:n4nl4she34567m1ni7~gh01234`>bj2ybk=>?01g;bg=tan:;<=>j91`8wla789:;i4?m;rkd45678l39n6}fg12345c>;k1xej>?012f=1d<{`m;<=>?e87a?vo`89:;?0d;bf>uno9:;<=k6bc9pmb6789:n5nl4she34567m0ni7~gh01234`?bj2ybk=>?01g:bg=tan:;<=>ja1`8wla789:;il?m;rkd45678lk9n6}fg12345cf;k1xej>?012fe1d<{`m;<=>?e`7a?vo`89:;?0dcbf>uno9:;<=knbc9pmb6789:nmnl4she34567mhni7~gh01234`gbj2ybk=>?01gbbg=tan:;<=>jb1`8wla789:;io?m;rkd45678lh9n6}fg12345ce;k1xej>?012ff1d<{`m;<=>?ec7a?vo`89:;?0d`bf>uno9:;<=kmbc9pmb6789:nnnl4she34567mkni7~gh01234`dbj2ybk=>?01gabg=tan:;<=>jc1`8wla789:;in?m;rkd45678li9n6}fg12345cd;k1xej>?012fg1d<{`m;<=>?eb7a?vo`89:;?0dabf>uno9:;<=klbc9pmb6789:nonl4she34567mjni7~gh01234`ebj2ybk=>?01g`bg=tan:;<=>jd1`8wla789:;ii?m;rkd45678ln9n6}fg12345cc;k1xej>?012f`1d<{`m;<=>?ee7a?vo`89:;?0dfbf>uno9:;<=kkbc9pmb6789:nhnl4she34567mmni7~gh01234`bbj2ybk=>?01ggbg=tan:;<=>je1`8wla789:;ih?m;rkd45678lo9n6}fg12345cb;k1xej>?012fa1d<{`m;<=>?ed7a?vo`89:;?0dgbf>uno9:;<=kjbc9pmb6789:ninl4she34567mlni7~gh01234`cbj2ybk=>?01gfbg=tan:;<=>jf1`8wla789:;ik?m;rkd45678ll9n6}fg12345ca;k1xej>?012fb1d<{`m;<=>?eg7a?vo`89:;?0ddbf>uno9:;<=kibc9pmb6789:njnl4she34567moni7~gh01234``bj2ybk=>?01gebg=tan:;<=>i01`8wla789:;j=?m;rkd45678o:9n6}fg12345`7;k1xej>?012e41d<{`m;<=>?f17a?vo`89:;9b:qjc56789l;;o5|if23456a81h0di?0123b5?e3zcl<=>?0g2bf>uno9:;<=h?bc9pmb6789:m?01d3bg=tan:;<=>i11`8wla789:;j?012e51d<{`m;<=>?f07a?vo`89:;?0g3bf>uno9:;<=h>bc9pmb6789:m=nl4she34567n8ni7~gh01234c7bj2ybk=>?01d2bg=tan:;<=>i21`8wla789:;j??m;rkd45678o89n6}fg12345`5;k1xej>?012e61d<{`m;<=>?f37;?vvXzhgT=55|p^pbiZ46:2~f|"Qlg.ja``e5&gmd86x>.1CDu1?8<1KLu<:958E>3<6sZ;8n7?95g822?74;>3>=7=6574xj6bd281e?ij56:&0`d<4l?1v_<=6:046b?712898;4;>:2;623=T::81=;87:182761>=8085889;R30=?71>10;6<=<7872>6?2>11X>><530g960?22898;4;>:2;62<=T9:31?454?0?:6>7:689g5304290:6=894397<31>2|_?no50;395?d4:rY:?o4>64d953<6;:=29<4<9445?!5c:388?6Xj?;[d0>0}2l3?n6>:534814?{#;jo1=;8<;%1g=?71>;1b=;8l:188mgcf2900c<89b;29?j71>h0;66aj4b83>!5e=3lhm6`ibh4j=0:76aj4`83>!5e=3lhm6`ib<00;6)=m5;d`e>h4j=0876aj4983>!5e=3lhm6`ib<>0;6)=m5;d`e>h4j=0>76aj4783>!5e=3lhm6`ib<=0;6)=m5;d`e>h4j=0<76aj4283>!5e=3lhm6`ib<;0;6)=m5;d`e>h4j=0276aj4083>!5e=3lhm6`ib<90;6)=m5;d`e>h4j=0i76aj3g83>!5e=3lhm6`ib;l0;6)=m5;d`e>h4j=0o76aj3e83>!5e=3lhm6`ib;j0;6)=m5;d`e>h4j=0m76aj3c83>!5e=3lhm6`=hm:31<7*1=<54od1;>5<#;k?1jno4n2`7>44<3fo8;7>5$2`6>cef3g9i87?<;:mf73<72-9i97hla:l0f1<6<21di>;50;&0f0ib;;0;6)=m5;d`e>h4j=0:465`e2394?"4j<0mol5a3c695<=6kmn;o1a0?7e32en>i4?:%1a1?`di2d8n94>c:9la7e=83.8n84ic`9m7g2=9m10chl;:0g8?jc5i3:1(>l::gab?k5e<3;m76aj2883>!5e=3lhm6`=hm;21<7*1><54od04>5<#;k?1jno4n2`7>74<3fo9:7>5$2`6>cef3g9i87<<;:mf60<72-9i97hla:l0f1<5<21di8?50;&0f0ibh4j=09465`e5f94?"4j<0mol5a3c696<=>6=4+3c79bfg6kmn;o1a0?4e32en>k4?:%1a1?`di2d8n94=c:9la72=83.8n84ic`9m7g2=:m10ch<<:18'7g3=njk0b>l;:3g8?j`aj3:1(>l::021=>h4j=0;76aif`83>!5e=3;;>45a3c695>=hno31<7*5<#;k?1==<6;o1a0?5<3flm;7>5$2`6>46512d8n94;;:meb3<72-9i97??289m7g2==21djk;50;&0f0<68;30b>l;:798kc`4290/?o;5110:?k5e<3=07bhi2;29 6d228:956`ian80;6)=m5;336<=i;k>1565`fg294?"4j<0:d=3g9i87l4;ndfa?6=,:h>6<>=9:l0f103;8j6d32l10ckkm:18'7g3=99827c=m4;d8?j`bi3:1(>l::021=>h4j=0:<65`fd:94?"4j<0:47<3fln;7>5$2`6>46512d8n94>2:9lb`0=83.8n84>03;8j6d328907bhj5;29 6d228:956`=hnl>1<7*6<>=9:l0f1<6>21djh<50;&0f0<68;30b>l;:058?j`b93:1(>l::021=>h4j=0:465`fd294?"4j<0:4?<3floj7>5$2`6>46512d8n94>a:9lbab=83.8n84>03;8j6d328h07bhkc;29 6d228:956`=hnmh1<7*6<>=9:l0f1<6m21dji750;&0f0<68;30b>l;:0d8?j`c03:1(>l::021=>h4j=09<65`fe594?"4j<0:77<3flo:7>5$2`6>46512d8n94=2:9lba3=83.8n84>03;8j6d32;907bhk4;29 6d228:956`=h99:;6=4+3c79554>3g9i87<:;:mebc<72-9i97??289m7g2=:?10ckhj:18'7g3=99827c=m4;04?>ianm0;6)=m5;336<=i;k>1>554ogd`>5<#;k?1==<6;o1a0?4>32emj94?:%1a1?77:01e?o:52`98kcc>290/?o;5110:?k5e<38i76aidd83>!5e=3;;>45a3c696f=3g9i87l;:198mf25290/?o;5d1;8j6d32810en:>:18'7g3=l930b>l;:398mf27290/?o;5d1;8j6d32:10en=j:18'7g3=l930b>l;:598mf5c290/?o;5d1;8j6d32<10en=l:18'7g3=l930b>l;:798mf5e290/?o;5d1;8j6d32>10en=n:18'7g3=l930b>l;:998mf5>290/?o;5d1;8j6d32010en=7:18'7g3=l930b>l;:`98mf50290/?o;5d1;8j6d32k10en=9:18'7g3=l930b>l;:b98mf52290/?o;5d1;8j6d32m10en:n:18'7g3=l930b>l;:d98mf2>290/?o;5d1;8j6d32o10en:7:18'7g3=l930b>l;:028?le3?3:1(>l::e2:?k5e<3;:76gl4783>!5e=3n;56`=nk=?1<7*1=>54ib67>5<#;k?1h=74n2`7>42<3`i8j7>5$2`6>a6>3g9i87?:;:k`71<72-9i97j?9:l0f1<6>21bo>=50;&0f02900ei<<:18'7g3=l:k0b>l;:198ma45290/?o;5d2c8j6d32810ei<>:18'7g3=l:k0b>l;:398ma47290/?o;5d2c8j6d32:10ei?j:18'7g3=l:k0b>l;:598ma7c290/?o;5d2c8j6d32<10ei?l:18'7g3=l:k0b>l;:798ma7e290/?o;5d2c8j6d32>10ei?n:18'7g3=l:k0b>l;:998ma7>290/?o;5d2c8j6d32010ei?7:18'7g3=l:k0b>l;:`98ma70290/?o;5d2c8j6d32k10ei?9:18'7g3=l:k0b>l;:b98ma72290/?o;5d2c8j6d32m10eil;:d98ma4>290/?o;5d2c8j6d32o10ei<7:18'7g3=l:k0b>l;:028?lb5?3:1(>l::e1b?k5e<3;:76gk2783>!5e=3n8m6`=nl;?1<7*1=>54ie07>5<#;k?1h>o4n2`7>42<3`n:j7>5$2`6>a5f3g9i87?:;:kg51<72-9i97j21bh<=50;&0f0l;:198mg`?290/?o;5c1c8j6d32810eoh8:18'7g3=k9k0b>l;:398mg`1290/?o;5c1c8j6d32:10eoh::18'7g3=k9k0b>l;:598mg`3290/?o;5c1c8j6d32<10eoh<:18'7g3=k9k0b>l;:798mg`5290/?o;5c1c8j6d32>10en>>:18'7g3=k9k0b>l;:998mf67290/?o;5c1c8j6d32010eohi:18'7g3=k9k0b>l;:`98mg`b290/?o;5c1c8j6d32k10eohk:18'7g3=k9k0b>l;:b98mg`d290/?o;5c1c8j6d32m10eohm:18'7g3=k9k0b>l;:d98mg`f290/?o;5c1c8j6d32o10eoh>:18'7g3=k9k0b>l;:028?lda83:1(>l::b2b?k5e<3;:76g>67594?=njll1<75fc0594?=e;lk1<7?50;2xL6b73-9hi7=k1:m05c<722wi?hl50;394?6|@:n;7)=le;013>i5:?0;66sm3da94???l3>=j77v;9:4591=<213?j68l55b80a?5a2=:18<4;2;60>12=:m09i760=>;08;78<:2:921<413<>6>o53c80g?002?21:449a;4a>3e=>m09<7=k:74900<3>3><69654887e?0b2=h1:k4;c;53>1b=?80<>79<:66930<0>3?>6?m52981=?402;=1>=4=6;0`>62=;<08:7=8:2:97<<4i39i6>m52e81a?4a2::1?<4<2;10>7>=:00>97=k:7491a<3=327=>80?579=:7090d<0;3<869l575850?2d2>?1:84;d;55>00=;l0=;7;8:2d92=<203>;6;7558875?0f215=>j0>o7:;:7f9y!5dm3;=:;5+124953033-;8m7?9649'7f>=92.8o:4l::d:2?k5e<3:07bk8a;29 6d22l2:7c=m4;38?jc013:1(>l::d:2?k5e<3807bk88;29 6d22l2:7c=m4;18?jc0?3:1(>l::d:2?k5e<3>07bk86;29 6d22l2:7c=m4;78?jc0=3:1(>l::d:2?k5e<3<07bk83;29 6d22l2:7c=m4;58?jc0:3:1(>l::d:2?k5e<3207bk81;29 6d22l2:7c=m4;;8?jc083:1(>l::d:2?k5e<3k07bk9f;29 6d22l2:7c=m4;`8?jc1m3:1(>l::d:2?k5e<3i07bk9d;29 6d22l2:7c=m4;f8?jc1k3:1(>l::d:2?k5e<3o07bk9b;29 6d22l2:7c=m4;d8?jc1i3:1(>l::d:2?k5e<3;;76aj6983>!5e=3o3=6`=hm?=1<7*1=?54od45>5<#;k?1i5?4n2`7>45<3fo=97>5$2`6>`>63g9i87?;;:mf21<72-9i97k71:l0f1<6=21di;=50;&0f0ib>90;6)=m5;g;5>h4j=0:565`e4d94?"4j<0n4<5a3c695d=6h6>;o1a0?7d32en9o4?:%1a1?c?92d8n94>d:9la0g=83.8n84j809m7g2=9l10ch;6:18'7g3=m1;0b>l;:0d8?jc203:1(>l::d:2?k5e<38;76aj5683>!5e=3o3=6`=hm<<1<7*1>?54od76>5<#;k?1i5?4n2`7>75<3fo>87>5$2`6>`>63g9i87<;;:mf<5<72-9i97k71:l0f1<5=21di:h50;&0f0ib?j0;6)=m5;g;5>h4j=09565`e6694?"4j<0n4<5a3c696d=6h6>;o1a0?4d32en9>4?:%1a1?c?92d8n94=d:9la04=83.8n84j809m7g2=:l10chom:18'7g3=mk;0b>l;:198k`gf290/?o;5ec38j6d32810cho6:18'7g3=mk;0b>l;:398k`g?290/?o;5ec38j6d32:10cho8:18'7g3=mk;0b>l;:598k`g1290/?o;5ec38j6d32<10cho::18'7g3=mk;0b>l;:798k`g4290/?o;5ec38j6d32>10cho=:18'7g3=mk;0b>l;:998k`g6290/?o;5ec38j6d32010cho?:18'7g3=mk;0b>l;:`98k`?a290/?o;5ec38j6d32k10ch7j:18'7g3=mk;0b>l;:b98k`?c290/?o;5ec38j6d32m10ch7l:18'7g3=mk;0b>l;:d98k`?e290/?o;5ec38j6d32o10ch7n:18'7g3=mk;0b>l;:028?jc>03:1(>l::d`2?k5e<3;:76aj9683>!5e=3oi=6`=hm0<1<7*1=>54od;6>5<#;k?1io?4n2`7>42<3fo287>5$2`6>`d63g9i87?:;:mf=6<72-9i97km1:l0f1<6>21di4<50;&0f0ib0o0;6)=m5;ga5>h4j=0:m65`e9f94?"4j<0nn<5a3c695g=6hl>;o1a0?7c32en4l4?:%1a1?ce92d8n94>e:9la=?=83.8n84jb09m7g2=9o10ch67:18'7g3=mk;0b>l;:328?jc??3:1(>l::d`2?k5e<38:76aj8783>!5e=3oi=6`=hm1?1<7*1>>54od:7>5<#;k?1io?4n2`7>72<3foi<7>5$2`6>`d63g9i87<:;:mfec<72-9i97km1:l0f1<5>21dilk50;&0f0ibi=0;6)=m5;ga5>h4j=09m65`e8;94?"4j<0nn<5a3c696g=6hl>;o1a0?4c32en4?4?:%1a1?ce92d8n94=e:9laad=83.8n84je09m7g2=821diio50;&0f021dii=50;&0f0l;:038?jcd?3:1(>l::dg2?k5e<3;976ajc783>!5e=3on=6`=hmj?1<7*1=954oda7>5<#;k?1ih?4n2`7>43<3foh?7>5$2`6>`c63g9i87?9;:mfg7<72-9i97kj1:l0f1<6?21din?50;&0f0ibjm0;6)=m5;gf5>h4j=0:n65`eca94?"4j<0ni<5a3c695f=6hk>;o1a0?7b32enn44?:%1a1?cb92d8n94>f:9lag>=83.8n84je09m7g2=:910chl8:18'7g3=ml;0b>l;:338?jce>3:1(>l::dg2?k5e<38976ajb483>!5e=3on=6`=hmk>1<7*1>954odg3>5<#;k?1ih?4n2`7>73<3fooj7>5$2`6>`c63g9i87<9;:mf``<72-9i97kj1:l0f1<5?21diij50;&0f0ibk00;6)=m5;gf5>h4j=09n65`ecg94?"4j<0ni<5a3c696f=6hk>;o1a0?4b32em1:l0f1<632em<44?:%1a1?`692d8n94=;:me4=<72-9i97h>1:l0f1<432em<:4?:%1a1?`692d8n94;;:me43<72-9i97h>1:l0f1<232em<84?:%1a1?`692d8n949;:me46<72-9i97h>1:l0f1<032em1:l0f1<>32em<=4?:%1a1?`692d8n94n;:mfbc<72-9i97h>1:l0f11:l0f11:l0f10:9lac>=83.8n84i109m7g2=9810chh8:18'7g3=n8;0b>l;:008?jca>3:1(>l::g32?k5e<3;876ajf483>!5e=3l:=6`=hmo>1<7*1=854odd0>5<#;k?1j40<3fom>7>5$2`6>c763g9i87?8;:mfb4<72-9i97h>1:l0f1<6021dik>50;&0f0ibmj0;6)=m5;d25>h4j=0:o65`ed`94?"4j<0m=<5a3c695a=6k?>;o1a0?7a32eni54?:%1a1?`692d8n94=0:9la`1=83.8n84i109m7g2=:810chk9:18'7g3=n8;0b>l;:308?jcb=3:1(>l::g32?k5e<38876aje583>!5e=3l:=6`=hn8:1<7*1>854og2e>5<#;k?1j70<3fl;i7>5$2`6>c763g9i87<8;:me4a<72-9i97h>1:l0f1<5021dj=m50;&0f0ibml0;6)=m5;d25>h4j=09o65`ed194?"4j<0m=<5a3c696a=6k:>;o1a0?6<3fl8m7>5$2`6>c263g9i87?4;nd0=?6=,:h>6k:>;o1a0?4<3fl847>5$2`6>c263g9i87=4;nd03?6=,:h>6k:>;o1a0?2<3fl8:7>5$2`6>c263g9i87;4;nd01?6=,:h>6k:>;o1a0?0<3fl8?7>5$2`6>c263g9i8794;nd06?6=,:h>6k:>;o1a0?><3fl8=7>5$2`6>c263g9i8774;nd04?6=,:h>6k:>;o1a0?g<3fl9j7>5$2`6>c263g9i87l4;nd1a?6=,:h>6k:>;o1a0?e<3fl9h7>5$2`6>c263g9i87j4;nd1g?6=,:h>6k:>;o1a0?c<3fl9n7>5$2`6>c263g9i87h4;nd1e?6=,:h>6k:>;o1a0?7732em>54?:%1a1?`392d8n94>1:9lb71=83.8n84i409m7g2=9;10ck<9:18'7g3=n=;0b>l;:018?j`5=3:1(>l::g62?k5e<3;?76ai2583>!5e=3l?=6`=hn;91<7*1=;54og01>5<#;k?1j9?4n2`7>41<3fl9=7>5$2`6>c263g9i87?7;:me65<72-9i97h;1:l0f1<6121djc;29 6d22o>:7c=m4;3`?>ia9k0;6)=m5;d75>h4j=0:h65`f0c94?"4j<0m8<5a3c695`=6k:>;o1a0?4732em=:4?:%1a1?`392d8n94=1:9lb40=83.8n84i409m7g2=:;10ck?::18'7g3=n=;0b>l;:318?j`6<3:1(>l::g62?k5e<38?76ai4183>!5e=3l?=6`=hn:l1<7*1>;54og1f>5<#;k?1j9?4n2`7>71<3fl8h7>5$2`6>c263g9i87<7;:me7f<72-9i97h;1:l0f1<5121dj>:50;&0f0290/?o;5f538j6d32;h07bh>e;29 6d22o>:7c=m4;0`?>ia9:0;6)=m5;d75>h4j=09h65`f0094?"4j<0m8<5a3c696`=5<#;k?1j:?4n2`7>4=5<#;k?1j:?4n2`7>6=5<#;k?1j:?4n2`7>0=6=4+3c79b275<#;k?1j:?4n2`7>2=5<#;k?1j:?4n2`7><=5<#;k?1j:?4n2`7>g=5<#;k?1j:?4n2`7>a=5<#;k?1j:?4n2`7>c=4;nd66k9>;o1a0?7632em9:4?:%1a1?`092d8n94>2:9lb00=83.8n84i709m7g2=9:10ck;::18'7g3=n>;0b>l;:068?j`2<3:1(>l::g52?k5e<3;>76ai5283>!5e=3l<=6`=hn<81<7*1=:54og72>5<#;k?1j:?4n2`7>4><3fl><7>5$2`6>c163g9i87?6;:me0c<72-9i97h81:l0f1<6i21dj9j50;&0f0iah4j=0:i65`f5;94?"4j<0m;<5a3c695c=36=4+3c79b274;nd73?6=,:h>6k9>;o1a0?4632em8;4?:%1a1?`092d8n94=2:9lb13=83.8n84i709m7g2=::10ck:;:18'7g3=n>;0b>l;:368?j`083:1(>l::g52?k5e<38>76ai6g83>!5e=3l<=6`=hn?o1<7*1>:54og4g>5<#;k?1j:?4n2`7>7><3fl=o7>5$2`6>c163g9i87<6;:me21<72-9i97h81:l0f1<5i21dj8750;&0f0ia<;0;6)=m5;d45>h4j=09i65`f8`94?"4j<0mm<5a3c694>=hn0k1<7*1=65`f8;94?"4j<0mm<5a3c696>=hn021<7*1?65`f8594?"4j<0mm<5a3c690>=hn0<1<7*1965`f8794?"4j<0mm<5a3c692>=hn091<7*1;65`f8094?"4j<0mm<5a3c69<>=hn0;1<7*1565`f8294?"4j<0mm<5a3c69e>=hn1l1<7*1n65`f9g94?"4j<0mm<5a3c69g>=hn1n1<7*1h65`f9a94?"4j<0mm<5a3c69a>=hn1h1<7*1j65`f9c94?"4j<0mm<5a3c6955=6ko>;o1a0?7532em4;4?:%1a1?`f92d8n94>3:9lb=3=83.8n84ia09m7g2=9=10ck6;:18'7g3=nh;0b>l;:078?j`?;3:1(>l::gc2?k5e<3;=76ai8383>!5e=3lj=6`=hn1;1<7*1=554og:3>5<#;k?1jl?4n2`7>4?<3fl5$2`6>cg63g9i87?n;:me3a<72-9i97hn1:l0f1<6j21dj:m50;&0f0ia?00;6)=m5;db5>h4j=0:j65`f6:94?"4j<0mm<5a3c6965=6ko>;o1a0?4532em;84?:%1a1?`f92d8n94=3:9lb22=83.8n84ia09m7g2=:=10cko?:18'7g3=nh;0b>l;:378?j`>n3:1(>l::gc2?k5e<38=76ai9d83>!5e=3lj=6`=hn0n1<7*1>554og;`>5<#;k?1jl?4n2`7>7?<3fl287>5$2`6>cg63g9i87oemh0;66a>1b094?"4j<0:=no4n2`7>5=5$2`6>47di2d8n94>;:m25f6=83.8n84>1bc8j6d32;10ci69kn1<7*6l;:798k47ei3:1(>l::03`e>h4j=0<76a>1c;94?"4j<0:=no4n2`7>==5$2`6>47di2d8n946;:m25g1=83.8n84>1bc8j6d32h10ci69k?1<7*6l;:d98k47d03:1(>l::03`e>h4j=0m76a>1b594?"4j<0:=no4n2`7>46<3f;:o;4?:%1a1?76kh1e?o:51098k47d=3:1(>l::03`e>h4j=0:>65`10a7>5<#;k?1=l;:068?j76jl0;6)=m5;32gd=i;k>1=854o03a7?6=,:h>621d=i69mh1<7*6l;:398k47c03:1(>l::03f7>h4j=0876a>1e494?"4j<0:=h=4n2`7>1=5$2`6>47b;2d8n94:;:m25a2=83.8n84>1d18j6d32?10ci69m81<7*632e:=i>50;&0f0<69l90b>l;:`98k47dn3:1(>l::03f7>h4j=0i76a>1bg94?"4j<0:=h=4n2`7>f=5$2`6>47b;2d8n94k;:m25`4=83.8n84>1d18j6d32l10ci69l:1<7*4;n32`c<72-9i97?>e29m7g2=9810c=h98no6=4+3c7954c43g9i87?<;:m25ae=83.8n84>1d18j6d328>07b?>d683>!5e=3;:i>5a3c6950=5$2`6>47b;2d8n94>6:9l54ee290/?o;510g0?k5e<3;<76a>1g694?"4j<0:=km4n2`7>5=5$2`6>47ak2d8n94>;:m25c4=83.8n84>1ga8j6d32;10ci69ll1<7*6l;:798k47bk3:1(>l::03eg>h4j=0<76a>1d`94?"4j<0:=km4n2`7>==5$2`6>47ak2d8n946;:m25`?=83.8n84>1ga8j6d32h10ci69l=1<7*6l;:d98k47ai3:1(>l::03eg>h4j=0m76a>1g;94?"4j<0:=km4n2`7>46<3f;:j54?:%1a1?76nj1e?o:51098k47a?3:1(>l::03eg>h4j=0:>65`10d5>5<#;k?1=l;:068?j76n90;6)=m5;32bf=i;k>1=854o03f1?6=,:h>621d=i6:9n1<7*6<<>5:l0f1<632e:>=l50;&0f0<6:8?0b>l;:398k447i3:1(>l::0021>h4j=0876a>21:94?"4j<0:><;4n2`7>1=5$2`6>446=2d8n94:;:m2650=83.8n84>2078j6d32?10c<i6:9>1<7*6<<>5:l0f1<>32e:>=<50;&0f0<6:8?0b>l;:`98k44793:1(>l::0021>h4j=0i76a>21294?"4j<0:><;4n2`7>f=5$2`6>446=2d8n94k;:m2642=83.8n84>2078j6d32l10c<<>3;29 6d2288:96`i6:881<7*4;n3154<72-9i97?=149m7g2=9810c<<>0;29 6d2288:96`=h9;:m6=4+3c7957723g9i87?<;:m265c=83.8n84>2078j6d328>07b?=0883>!5e=3;9=85a3c6950=5$2`6>446=2d8n94>6:9l54`c290/?o;51336?k5e<3;<76a>23494?"4j<0:>?k4n2`7>5=5$2`6>445m2d8n94>;:m2672=83.8n84>23g8j6d32;10c<<=3;29 6d22889i6`i6:;;1<7*6<<=e:l0f1<232e:>l;:798k446m3:1(>l::001a>h4j=0<76a>20f94?"4j<0:>?k4n2`7>==5$2`6>445m2d8n946;:m264d=83.8n84>23g8j6d32h10c<<>a;29 6d22889i6`i6:831<7*6<<=e:l0f1?j50;&0f0<6:;o0b>l;:d98k445k3:1(>l::001a>h4j=0m76a>23`94?"4j<0:>?k4n2`7>46<3f;9>l4?:%1a1?75:l1e?o:51098k44513:1(>l::001a>h4j=0:>65`130;>5<#;k?1=??950;&0f0<6:;o0b>l;:068?j75:;0;6)=m5;316`=i;k>1=854o0023?6=,:h>6<<=e:l0f1<6>21d=??9:18'7g3=9;8n7c=m4;34?>i6::l1<7*6<<;7:l0f1<632e:>>j50;&0f0<6:==0b>l;:398k444k3:1(>l::0073>h4j=0876a>22c94?"4j<0:>994n2`7>1=5$2`6>443?2d8n94:;:m266>=83.8n84>2558j6d32?10c<<<7;29 6d2288?;6`i6::<1<7*6<<;7:l0f1<>32e:>>:50;&0f0<6:==0b>l;:`98k444;3:1(>l::0073>h4j=0i76a>22094?"4j<0:>994n2`7>f=5$2`6>443?2d8n94k;:m2610=83.8n84>2558j6d32l10c<<;5;29 6d2288?;6`i6:=>1<7*4;n3106<72-9i97?=469m7g2=9810c<<;2;29 6d2288?;6`=h9;>:6=4+3c7957203g9i87?<;:m2616=83.8n84>2558j6d328>07b?=3c83>!5e=3;98:5a3c6950=5$2`6>443?2d8n94>6:9l574a290/?o;51364?k5e<3;<76a>24:94?"4j<0:>;>4n2`7>5=;7>5$2`6>44182d8n94>;:m2600=83.8n84>2728j6d32;10c<<:5;29 6d2288=<6`i6:<91<7*6<<90:l0f1<232e:>8?50;&0f0<6:?:0b>l;:798k44283:1(>l::0054>h4j=0<76a>25d94?"4j<0:>;>4n2`7>==5$2`6>44182d8n946;:m261b=83.8n84>2728j6d32h10c<<;c;29 6d2288=<6`i6:=h1<7*6<<90:l0f18h50;&0f0<6:?:0b>l;:d98k442m3:1(>l::0054>h4j=0m76a>24f94?"4j<0:>;>4n2`7>46<3f;99n4?:%1a1?75>91e?o:51098k442j3:1(>l::0054>h4j=0:>65`137b>5<#;k?1=?8?;o1a0?7432e:>8750;&0f0<6:?:0b>l;:068?j75==0;6)=m5;3125=i;k>1=854o007=?6=,:h>6<<90:l0f1<6>21d=?:7:18'7g3=9;<;7c=m4;34?>i6>?h1<75fc4`94?"4j<0h:>5a3c694>=nk1=65fc4;94?"4j<0h:>5a3c696>=nk<21<7*1?65fc4494?"4j<0h:>5a3c690>=nk1965fc4694?"4j<0h:>5a3c692>=nk<91<7*1;65fc4094?"4j<0h:>5a3c69<>=nk<;1<7*1565fc4294?"4j<0h:>5a3c69e>=nk=l1<7*1n65fc5g94?"4j<0h:>5a3c69g>=nk=n1<7*1h65fc7094?"4j<0h:>5a3c69a>=nk?;1<7*1j65fc7294?"4j<0h:>5a3c6955=6n8<;o1a0?7532ch9i4?:%1a1?e1;2d8n94>3:9jg0e=83.8n84l629m7g2=9=10en;8:18'7g3=k?90b>l;:078?le3k3:1(>l::b40?k5e<3;=76gl4c83>!5e=3i=?6`=nk>>1<7*1<65fc6194?"4j<0h;n5a3c695>=nk>81<7*1>65fc6394?"4j<0h;n5a3c697>=nk?l1<7*1865fc7g94?"4j<0h;n5a3c691>=nk?n1<7*1:65fc7a94?"4j<0h;n5a3c693>=nk?h1<7*1465fc7c94?"4j<0h;n5a3c69=>=nk?31<7*1m65fc7:94?"4j<0h;n5a3c69f>=nk?=1<7*1o65fc7494?"4j<0h;n5a3c69`>=nk>h1<7*1i65fc6c94?"4j<0h;n5a3c69b>=nk>31<7*1==54ib5;>5<#;k?1o:m4n2`7>47<3`i<;7>5$2`6>f1d3g9i87?=;:k`33<72-9i97m8c:l0f1<6;21bo:;50;&0f0od>=0;6)=m5;a4g>h4j=0:;65fc9f94?"4j<0h585a3c694>=nk1i1<7*1=65fc9`94?"4j<0h585a3c696>=nk1k1<7*1?65fc9:94?"4j<0h585a3c690>=nk1=1<7*1965fc9494?"4j<0h585a3c692>=nk1?1<7*1;65fc9694?"4j<0h585a3c69<>=nk191<7*1565fc9094?"4j<0h585a3c69e>=nk1;1<7*1n65fc9294?"4j<0h585a3c69g>=nk>l1<7*1h65fc8694?"4j<0h585a3c69a>=nk091<7*1j65fc8094?"4j<0h585a3c6955=6n7:;o1a0?7532ch4k4?:%1a1?e>=2d8n94>3:9jg=c=83.8n84l949m7g2=9=10en66:18'7g3=k0?0b>l;:078?le0m3:1(>l::b;6?k5e<3;=76gl7e83>!5e=3i296`=nkh<1<7*1<65fc`794?"4j<0hmh5a3c695>=nkh>1<7*1>65fc`194?"4j<0hmh5a3c697>=nkh;1<7*1865fc`294?"4j<0hmh5a3c691>=nk0l1<7*1:65fc8g94?"4j<0hmh5a3c693>=nk0n1<7*1465fc8a94?"4j<0hmh5a3c69=>=nk0h1<7*1m65fc8c94?"4j<0hmh5a3c69f>=nk031<7*1o65fc8:94?"4j<0hmh5a3c69`>=nkhn1<7*1i65fc`a94?"4j<0hmh5a3c69b>=nkhh1<7*1==54ibcb>5<#;k?1olk4n2`7>47<3`ij57>5$2`6>fgb3g9i87?=;:k`e=<72-9i97mne:l0f1<6;21bol950;&0f0od1?0;6)=m5;aba>h4j=0:;65fccd94?"4j<0ho:5a3c694>=nkko1<7*1=65fccf94?"4j<0ho:5a3c696>=nkki1<7*1?65fccc94?"4j<0ho:5a3c690>=nkk31<7*1965fcc:94?"4j<0ho:5a3c692>=nkk=1<7*1;65fcc494?"4j<0ho:5a3c69<>=nkk?1<7*1565fcc694?"4j<0ho:5a3c69e>=nkk91<7*1n65fcc094?"4j<0ho:5a3c69g>=nkk;1<7*1h65fcb494?"4j<0ho:5a3c69a>=nkj?1<7*1j65fcb694?"4j<0ho:5a3c6955=6nm8;o1a0?7532cho<4?:%1a1?ed?2d8n94>3:9jgf6=83.8n84lc69m7g2=9=10enlm:18'7g3=kj=0b>l;:078?lee83:1(>l::ba4?k5e<3;=76glag83>!5e=3ih;6`=h9?5<#;k?1oh>4n2`7>5=5<#;k?1oh>4n2`7>7=6=4+3c79g`654ibf0>5<#;k?1oh>4n2`7>1=5<#;k?1oh>4n2`7>3=5<#;k?1oh>4n2`7>==5<#;k?1oh>4n2`7>d=5<#;k?1oh>4n2`7>f=5<#;k?1oh>4n2`7>`=5<#;k?1oh>4n2`7>46<3`ioo7>5$2`6>fc73g9i87?>;:k``g<72-9i97mj0:l0f1<6:21boio50;&0f0290/?o;5cd28j6d328>07dmk4;29 6d22jo;7c=m4;36?>odk00;6)=m5;af4>h4j=0::65fcb:94?"4j<0hi=5a3c6952=5<#;k?1ok74n2`7>4=5<#;k?1ok74n2`7>6=5<#;k?1ok74n2`7>0=5<#;k?1ok74n2`7>2=5<#;k?1ok74n2`7><=5<#;k?1ok74n2`7>g=5<#;k?1ok74n2`7>a=5<#;k?1ok74n2`7>c=4;hae1?6=,:h>6nh6;o1a0?7632chj94?:%1a1?ea12d8n94>2:9jgc5=83.8n84lf89m7g2=9:10enh=:18'7g3=ko30b>l;:068?lebl3:1(>l::bd:?k5e<3;>76gle383>!5e=3im56`=nkl;1<7*1=:54ib36>5<#;k?1o<84n2`7>5=h6=4+3c79bfg5<#;k?1jno4n2`7>4=j6=4+3c79bfg5<#;k?1jno4n2`7>6=36=4+3c79bfg5<#;k?1jno4n2`7>0==6=4+3c79bfg5<#;k?1jno4n2`7>2=86=4+3c79bfg5<#;k?1jno4n2`7><=:6=4+3c79bfg5<#;k?1jno4n2`7>g=5<#;k?1jno4n2`7>a=5<#;k?1jno4n2`7>c=4;ng0=?6=,:h>6kmn;o1a0?7632en?54?:%1a1?`di2d8n94>2:9la61=83.8n84ic`9m7g2=9:10ch=9:18'7g3=njk0b>l;:068?jc4=3:1(>l::gab?k5e<3;>76aj3583>!5e=3lhm6`=hm:91<7*1=:54od11>5<#;k?1jno4n2`7>4><3fo8=7>5$2`6>cef3g9i87?6;:mf75<72-9i97hla:l0f1<6i21di?k50;&0f0ib:k0;6)=m5;d`e>h4j=0:i65`e3c94?"4j<0mol5a3c695c=4;ng16kmn;o1a0?4632en>:4?:%1a1?`di2d8n94=2:9la70=83.8n84ic`9m7g2=::10ch<::18'7g3=njk0b>l;:368?jc293:1(>l::gab?k5e<38>76aj5183>!5e=3lhm6`=hm=l1<7*1>:54od6f>5<#;k?1jno4n2`7>7><3fo?h7>5$2`6>cef3g9i87<6;:mf00<72-9i97hla:l0f1<5i21di>o50;&0f0ib::0;6)=m5;d`e>h4j=09i65`fg`94?"4j<0:5=3g9i87?4;nde=?6=,:h>6<>=9:l0f1<532emj54?:%1a1?77:01e?o:53:9lbc1=83.8n84>03;8j6d32=10ckh9:18'7g3=99827c=m4;78?j`a=3:1(>l::021=>h4j=0=76aif283>!5e=3;;>45a3c693>=hno81<7*5<#;k?1==<6;o1a0??<3flm<7>5$2`6>46512d8n94n;:meac<72-9i97??289m7g2=j21djhk50;&0f0<68;30b>l;:b98kccc290/?o;5110:?k5e<3n07bhjc;29 6d228:956`iamk0;6)=m5;336<=i;k>1j65`fdc94?"4j<0:46<3fln47>5$2`6>46512d8n94>1:9lb`1=83.8n84>03;8j6d328807bhj6;29 6d228:956`=hnl?1<7*6<>=9:l0f1<6=21djh=50;&0f0<68;30b>l;:048?j`b:3:1(>l::021=>h4j=0:;65`fd394?"4j<0:4><3fln<7>5$2`6>46512d8n94>9:9lba`=83.8n84>03;8j6d328k07bhkd;29 6d228:956`=hnmi1<7*6<>=9:l0f1<6l21djio50;&0f0<68;30b>l;:0g8?j`c13:1(>l::021=>h4j=0:j65`fe:94?"4j<0:76<3flo;7>5$2`6>46512d8n94=1:9lba0=83.8n84>03;8j6d32;807bhk5;29 6d228:956`=hnm>1<7*ianl0;6)=m5;336<=i;k>1>:54ogdg>5<#;k?1==<6;o1a0?4?32emjn4?:%1a1?77:01e?o:52898kc`3290/?o;5110:?k5e<38j76aie883>!5e=3;;>45a3c696g=3g9i87i686<>90:l0f1<632e:<8650;&0f0<68?:0b>l;:398k462?3:1(>l::0254>h4j=0876a>04494?"4j<0:<;>4n2`7>1=97>5$2`6>46182d8n94:;:m2402=83.8n84>0728j6d32?10c<>:2;29 6d228:=<6`i68<;1<7*6<>90:l0f1<>32e:<9h50;&0f0<68?:0b>l;:`98k463m3:1(>l::0254>h4j=0i76a>05f94?"4j<0:<;>4n2`7>f=5$2`6>46182d8n94k;:m241d=83.8n84>0728j6d32l10c<>;a;29 6d228:=<6`i68=31<7*4;n3302<72-9i97??619m7g2=9810c<>;6;29 6d228:=<6`=h99>>6=4+3c7955073g9i87?<;:m2412=83.8n84>0728j6d328>07b??4283>!5e=3;;:=5a3c6950=7>5$2`6>46182d8n94>6:9l5526290/?o;51143?k5e<3;<76a>05294?"4j<0:<;>4n2`7>4><3f;;?k4?:%1a1?77>91e?o:51898k464m3:1(>l::0254>h4j=0:m65`111`>5<#;k?1==8?;o1a0?7e32e:<>l50;&0f0<68?:0b>l;:0a8?j77;h0;6)=m5;3325=i;k>1=i54o020=?6=,:h>6<>90:l0f1<6m21d===7:18'7g3=99<;7c=m4;3e?>i68:=1<7*4;n3373<72-9i97??619m7g2=:810c<><5;29 6d228:=<6`=h999?6=4+3c7955073g9i87<<;:m2465=83.8n84>0728j6d32;>07b??5g83>!5e=3;;:=5a3c6960=i7>5$2`6>46182d8n94=6:9l553c290/?o;51143?k5e<38<76a>04a94?"4j<0:<;>4n2`7>7><3f;;9o4?:%1a1?77>91e?o:52898k462;3:1(>l::0254>h4j=09m65`116;>5<#;k?1==8?;o1a0?4e32e:<>j50;&0f0<68?:0b>l;:3a8?j77;;0;6)=m5;3325=i;k>1>i54o0205?6=,:h>6<>90:l0f1<5m21do<750;9l55>f290/?o;511;3?k5e<3:07b??8883>!5e=3;;5=5a3c695>=h99236=4+3c7955?73g9i87<4;n33<2<72-9i97??919m7g2=;21d==69:18'7g3=993;7c=m4;68?j770<0;6)=m5;33=5=i;k>1965`11:7>5<#;k?1==7?;o1a0?0<3f;;4?4?:%1a1?77191e?o:57:9l55>6290/?o;511;3?k5e<3207b??8183>!5e=3;;5=5a3c69=>=h99=m6=4+3c7955?73g9i87o4;n333`<72-9i97??919m7g2=j21d==9k:18'7g3=993;7c=m4;a8?j77?j0;6)=m5;33=5=i;k>1h65`115a>5<#;k?1==7?;o1a0?c<3f;;;l4?:%1a1?77191e?o:5f:9l551>290/?o;511;3?k5e<3;;76a>06594?"4j<0:<4>4n2`7>47<3f;;;;4?:%1a1?77191e?o:51398k460=3:1(>l::02:4>h4j=0:?65`1157>5<#;k?1==7?;o1a0?7332e:<:=50;&0f0<680:0b>l;:078?j77?;0;6)=m5;33=5=i;k>1=;54o0245?6=,:h>6<>60:l0f1<6?21d==9?:18'7g3=993;7c=m4;3;?>i68?l1<7*9c;29 6d228:2<6`=h990828j6d328n07b??6883>!5e=3;;5=5a3c695`=5$2`6>46>82d8n94>f:9l5500290/?o;511;3?k5e<38;76a>07494?"4j<0:<4>4n2`7>77<3f;;:84?:%1a1?77191e?o:52398k461<3:1(>l::02:4>h4j=09?65`1140>5<#;k?1==7?;o1a0?4332e:<5h50;&0f0<680:0b>l;:378?j770l0;6)=m5;33=5=i;k>1>;54o02;`?6=,:h>6<>60:l0f1<5?21d==6l:18'7g3=993;7c=m4;0;?>i681h1<7*88;29 6d228:2<6`=h990828j6d32;n07b??6083>!5e=3;;5=5a3c696`=86=4+3c79`5?5<#;k?1h=74n2`7>4=:6=4+3c79`5?5<#;k?1h=74n2`7>6=5<#;k?1h=74n2`7>0=5<#;k?1h=74n2`7>2=5<#;k?1h=74n2`7><=5<#;k?1h=74n2`7>g=5<#;k?1h=74n2`7>a=j6=4+3c79`5?5<#;k?1h=74n2`7>c=36=4+3c79`5?4;ha73?6=,:h>6i>6;o1a0?7632ch8;4?:%1a1?b712d8n94>2:9jg13=83.8n84k089m7g2=9:10en:;:18'7g3=l930b>l;:068?le4n3:1(>l::e2:?k5e<3;>76gl3583>!5e=3n;56`=nk:91<7*1=:54ie00>5<#;k?1h>o4n2`7>5=5<#;k?1h>o4n2`7>7=54ie3f>5<#;k?1h>o4n2`7>1=5<#;k?1h>o4n2`7>3=5<#;k?1h>o4n2`7>==5<#;k?1h>o4n2`7>d=5<#;k?1h>o4n2`7>f=6=4+3c79`6g5<#;k?1h>o4n2`7>`=5<#;k?1h>o4n2`7>46<3`n9;7>5$2`6>a5f3g9i87?>;:kg63<72-9i97j07dj>f;29 6d22m9j7c=m4;36?>oc9=0;6)=m5;f0e>h4j=0::65fd0194?"4j<0o?l5a3c6952=5$2`6>46d82d8n94?;:m24g?=83.8n84>0b28j6d32810c<>m8;29 6d228:h<6`i68k=1<7*54o02a2?6=,:h>6<>l0:l0f1<332e:l;:498k46e<3:1(>l::02`4>h4j=0=76a>0c094?"4j<0:4n2`7>2=5$2`6>46d82d8n947;:m24g6=83.8n84>0b28j6d32010c<>nf;29 6d228:h<6`i68ho1<7*6<>l0:l0f1l;:e98k46fj3:1(>l::02`4>h4j=0n76a>0`c94?"4j<0:4n2`7>c=5$2`6>46d82d8n94>0:9l55g0290/?o;511a3?k5e<3;:76a>0`494?"4j<0:4n2`7>44<3f;;m84?:%1a1?77k91e?o:51298k46f<3:1(>l::02`4>h4j=0:865`11c0>5<#;k?1==m?;o1a0?7232e:l;:048?j77i80;6)=m5;33g5=i;k>1=:54o02b4?6=,:h>6<>l0:l0f1<6021d==7i:18'7g3=99i;7c=m4;3:?>i680o1<7*6b;29 6d228:h<6`=h993j6=4+3c7955e73g9i87?k;:m240b28j6d328o07b??9983>!5e=3;;o=5a3c695c=5$2`6>46d82d8n94=0:9l55?1290/?o;511a3?k5e<38:76a>08794?"4j<0:4n2`7>74<3f;;594?:%1a1?77k91e?o:52298k46>;3:1(>l::02`4>h4j=09865`11`e>5<#;k?1==m?;o1a0?4232e:l;:348?j77jm0;6)=m5;33g5=i;k>1>:54o02ag?6=,:h>6<>l0:l0f1<5021d==lm:18'7g3=99i;7c=m4;0:?>i68k91<7*6d;29 6d228:h<6`=h99396=4+3c7955e73g9i870b28j6d32;o07dj:4;29 6d22m?h7c=m4;28?lb2;3:1(>l::e7`?k5e<3;07dj:2;29 6d22m?h7c=m4;08?lb293:1(>l::e7`?k5e<3907dj;f;29 6d22m?h7c=m4;68?lb3m3:1(>l::e7`?k5e<3?07dj;d;29 6d22m?h7c=m4;48?lb3k3:1(>l::e7`?k5e<3=07dj;b;29 6d22m?h7c=m4;:8?lb3i3:1(>l::e7`?k5e<3307dj;9;29 6d22m?h7c=m4;c8?lb303:1(>l::e7`?k5e<3h07dj;7;29 6d22m?h7c=m4;a8?lb3>3:1(>l::e7`?k5e<3n07dj:b;29 6d22m?h7c=m4;g8?lb2i3:1(>l::e7`?k5e<3l07dj:9;29 6d22m?h7c=m4;33?>oc=10;6)=m5;f6g>h4j=0:=65fd4594?"4j<0o9n5a3c6957=6i;l;o1a0?7332co9=4?:%1a1?b2k2d8n94>5:9j`13=83.8n84k5b9m7g2=9?10ei:;:18'7g3=ll;:058?j77mh0;6)=m5;33b5=i;k>1<65`11g:>5<#;k?1==h?;o1a0?7<3f;;i54?:%1a1?77n91e?o:52:9l55c0290/?o;511d3?k5e<3907b??e783>!5e=3;;j=5a3c690>=h99o>6=4+3c7955`73g9i87;4;n33a1<72-9i97??f19m7g2=>21d==k=:18'7g3=99l;7c=m4;58?j77m80;6)=m5;33b5=i;k>1465`11g3>5<#;k?1==h?;o1a0??<3f;;hk4?:%1a1?77n91e?o:5a:9l55bb290/?o;511d3?k5e<3h07b??de83>!5e=3;;j=5a3c69g>=h99nh6=4+3c7955`73g9i87j4;n33`g<72-9i97??f19m7g2=m21d==jn:18'7g3=99l;7c=m4;d8?j77l00;6)=m5;33b5=i;k>1==54o02g3?6=,:h>6<>i0:l0f1<6921d==j9:18'7g3=99l;7c=m4;31?>i68m?1<7*k3;29 6d228:m<6`=h99n96=4+3c7955`73g9i87?9;:m24a7=83.8n84>0g28j6d328=07b??d183>!5e=3;;j=5a3c695==5$2`6>46a82d8n94>9:9l55eb290/?o;511d3?k5e<3;j76a>0ba94?"4j<0:4n2`7>4d<3f;;oo4?:%1a1?77n91e?o:51b98k46di3:1(>l::02e4>h4j=0:h65`11a:>5<#;k?1==h?;o1a0?7b32e:l;:0d8?j77k>0;6)=m5;33b5=i;k>1>=54o02`2?6=,:h>6<>i0:l0f1<5921d==m::18'7g3=99l;7c=m4;01?>i68j>1<7*jf;29 6d228:m<6`=h99on6=4+3c7955`73g9i87<9;:m24`b=83.8n84>0g28j6d32;=07b??eb83>!5e=3;;j=5a3c696==5$2`6>46a82d8n94=9:9l55c4290/?o;511d3?k5e<38j76a>0e:94?"4j<0:4n2`7>7d<3f;;oi4?:%1a1?77n91e?o:52b98k46d:3:1(>l::02e4>h4j=09h65`11a2>5<#;k?1==h?;o1a0?4b32co:i4?:%1a1?b0=2d8n94?;:kg2f<72-9i97j85:l0f1<632co:o4?:%1a1?b0=2d8n94=;:kg2d<72-9i97j85:l0f1<432co:54?:%1a1?b0=2d8n94;;:kg22<72-9i97j85:l0f1<232co:;4?:%1a1?b0=2d8n949;:kg20<72-9i97j85:l0f1<032co:94?:%1a1?b0=2d8n947;:kg26<72-9i97j85:l0f1<>32co:?4?:%1a1?b0=2d8n94n;:kg24<72-9i97j85:l0f10:9j`27=83.8n84k749m7g2=9810ei9?:18'7g3=l>?0b>l;:008?lb1n3:1(>l::e56?k5e<3;876gk6d83>!5e=3n<96`=nl?31<7*1=854ie7f>5<#;k?1h:;4n2`7>40<3`n>h7>5$2`6>a123g9i87?8;:m254g=83.8n84>1328j6d32910c9;29 6d228;9<6`i69821<7*6l;:598k476=3:1(>l::0314>h4j=0>76a>10694?"4j<0:=?>4n2`7>3=7>5$2`6>47582d8n948;:m2547=83.8n84>1328j6d32110c0;29 6d228;9<6`i699l1<7*6l;:b98k477k3:1(>l::0314>h4j=0o76a>11`94?"4j<0:=?>4n2`7>`=5$2`6>47582d8n94i;:m255?=83.8n84>1328j6d328:07b?>0683>!5e=3;:>=5a3c6954=5$2`6>47582d8n94>2:9l5462290/?o;51003?k5e<3;876a>11694?"4j<0:=?>4n2`7>42<3f;:<>4?:%1a1?76:91e?o:51498k477:3:1(>l::0314>h4j=0::65`1022>5<#;k?1=<50;&0f0<69;:0b>l;:0:8?j77no0;6)=m5;3265=i;k>1=454o02ea?6=,:h>6i68oh1<7*219m7g2=9m10c<>i9;29 6d228;9<6`=h99l36=4+3c7954473g9i87?i;:m24c1=83.8n84>1328j6d32;:07b??f783>!5e=3;:>=5a3c6964=5$2`6>47582d8n94=2:9l55`3290/?o;51003?k5e<38876a>0g194?"4j<0:=?>4n2`7>72<3f;:=k4?:%1a1?76:91e?o:52498k476m3:1(>l::0314>h4j=09:65`103g>5<#;k?1=<l;:3:8?j769k0;6)=m5;3265=i;k>1>454o0327?6=,:h>67:18'7g3=988;7c=m4;0a?>i68on1<7*219m7g2=:m10c<>i1;29 6d228;9<6`=nl1<1<7*1<65fd9794?"4j<0o4h5a3c695>=nl1>1<7*1>65fd9194?"4j<0o4h5a3c697>=nl1;1<7*1865fd9294?"4j<0o4h5a3c691>=nl>l1<7*1:65fd6g94?"4j<0o4h5a3c693>=nl>n1<7*1465fd6a94?"4j<0o4h5a3c69=>=nl>h1<7*1m65fd6c94?"4j<0o4h5a3c69f>=nl>31<7*1o65fd6:94?"4j<0o4h5a3c69`>=nl1n1<7*1i65fd9a94?"4j<0o4h5a3c69b>=nl1h1<7*1==54ie:b>5<#;k?1h5k4n2`7>47<3`n357>5$2`6>a>b3g9i87?=;:kg<=<72-9i97j7e:l0f1<6;21bh5950;&0f05290/?o;5d9g8j6d328?07dj87;29 6d22m2n7c=m4;35?>oc??0;6)=m5;f;a>h4j=0:;65`106b>5<#;k?1=<;?;o1a0?6<3f;:844?:%1a1?76=91e?o:51:9l542?290/?o;51073?k5e<3807b?>4683>!5e=3;:9=5a3c697>=h98>=6=4+3c7954373g9i87:4;n3200<72-9i97?>519m7g2==21d=<:;:18'7g3=98?;7c=m4;48?j76<;0;6)=m5;3215=i;k>1;65`1062>5<#;k?1=<;?;o1a0?><3f;:8=4?:%1a1?76=91e?o:59:9l545a290/?o;51073?k5e<3k07b?>3d83>!5e=3;:9=5a3c69f>=h989o6=4+3c7954373g9i87m4;n327f<72-9i97?>519m7g2=l21d=<=m:18'7g3=98?;7c=m4;g8?j76;h0;6)=m5;3215=i;k>1j65`101:>5<#;k?1=<;?;o1a0?7732e:=>950;&0f0<69<:0b>l;:038?j76;?0;6)=m5;3215=i;k>1=?54o0301?6=,:h>6i69:91<7*519m7g2=9?10c<6`=h989;6=4+3c7954373g9i87?7;:m257`=83.8n84>1428j6d328307b?>2d83>!5e=3;:9=5a3c695d=5$2`6>47282d8n94>b:9l544e290/?o;51073?k5e<3;h76a>13c94?"4j<0:=8>4n2`7>4b<3f;:>44?:%1a1?76=91e?o:51d98k47503:1(>l::0364>h4j=0:j65`1004>5<#;k?1=<;?;o1a0?4732e:=?850;&0f0<69<:0b>l;:338?j76:<0;6)=m5;3215=i;k>1>?54o0310?6=,:h>6i69=l1<7*519m7g2=:?10c<6`=h98>h6=4+3c7954373g9i87<7;:m251d=83.8n84>1428j6d32;307b?>4283>!5e=3;:9=5a3c696d=5$2`6>47282d8n94=b:9l544c290/?o;51073?k5e<38h76a>13094?"4j<0:=8>4n2`7>7b<3f;:><4?:%1a1?76=91e?o:52d98ma?a290/?o;5d`58j6d32910ei7j:18'7g3=lh=0b>l;:098ma?c290/?o;5d`58j6d32;10ei7l:18'7g3=lh=0b>l;:298ma?f290/?o;5d`58j6d32=10ei76:18'7g3=lh=0b>l;:498ma??290/?o;5d`58j6d32?10ei78:18'7g3=lh=0b>l;:698ma?1290/?o;5d`58j6d32110ei7::18'7g3=lh=0b>l;:898ma?3290/?o;5d`58j6d32h10ei7<:18'7g3=lh=0b>l;:c98ma?5290/?o;5d`58j6d32j10ei7>:18'7g3=lh=0b>l;:e98mag1290/?o;5d`58j6d32l10eio::18'7g3=lh=0b>l;:g98mag3290/?o;5d`58j6d328:07djn3;29 6d22mk<7c=m4;32?>oci;0;6)=m5;fb3>h4j=0:>65fd`394?"4j<0om:5a3c6956=6io8;o1a0?7232co5=4?:%1a1?bf?2d8n94>6:9j`=`=83.8n84ka69m7g2=9>10ci69>31<7*6l;:298k470>3:1(>l::03;4>h4j=0?76a>16794?"4j<0:=5>4n2`7>0=5$2`6>47?82d8n949;:m2524=83.8n84>1928j6d32>10ci69>:1<7*6l;:c98k471l3:1(>l::03;4>h4j=0h76a>17a94?"4j<0:=5>4n2`7>a=5$2`6>47?82d8n94j;:m253g=83.8n84>1928j6d32o10c=h98<<6=4+3c7954>73g9i87?>;:m2530=83.8n84>1928j6d328807b?>6483>!5e=3;:4=5a3c6956=5$2`6>47?82d8n94>4:9l5404290/?o;510:3?k5e<3;>76a>17094?"4j<0:=5>4n2`7>40<3f;::<4?:%1a1?76091e?o:51698k47183:1(>l::03;4>h4j=0:465`107e>5<#;k?1=<6?;o1a0?7>32e:=8k50;&0f0<691:0b>l;:0c8?j76=j0;6)=m5;32<5=i;k>1=o54o036f?6=,:h>6i69<31<7*819m7g2=9o10c=h98?=6=4+3c7954>73g9i87<>;:m2503=83.8n84>1928j6d32;807b?>5583>!5e=3;:4=5a3c6966=?7>5$2`6>47?82d8n94=4:9l541a290/?o;510:3?k5e<38>76a>16g94?"4j<0:=5>4n2`7>70<3f;:;i4?:%1a1?76091e?o:52698k470k3:1(>l::03;4>h4j=09465`105a>5<#;k?1=<6?;o1a0?4>32e:=:=50;&0f0<691:0b>l;:3c8?j76>10;6)=m5;32<5=i;k>1>o54o036`?6=,:h>6i69<;1<7*6im?;o1a0?6<3`ni;7>5$2`6>ae73g9i87?4;hfa2?6=,:h>6im?;o1a0?4<3`ni97>5$2`6>ae73g9i87=4;hfa7?6=,:h>6im?;o1a0?2<3`ni>7>5$2`6>ae73g9i87;4;hfa5?6=,:h>6im?;o1a0?0<3`ni<7>5$2`6>ae73g9i8794;hfbb?6=,:h>6im?;o1a0?><3`nji7>5$2`6>ae73g9i8774;hfb`?6=,:h>6im?;o1a0?g<3`njo7>5$2`6>ae73g9i87l4;hfbf?6=,:h>6im?;o1a0?e<3`njm7>5$2`6>ae73g9i87j4;hfab?6=,:h>6im?;o1a0?c<3`nii7>5$2`6>ae73g9i87h4;hfa`?6=,:h>6im?;o1a0?7732conn4?:%1a1?bd82d8n94>1:9j`gd=83.8n84kc19m7g2=9;10eiln:18'7g3=lj:0b>l;:018?lbe13:1(>l::ea3?k5e<3;?76gkb583>!5e=3nh<6`=nlh31<7*1=;54iec;>5<#;k?1hn>4n2`7>41<3`no=7>5$2`6>ab>3g9i87>4;hfg4?6=,:h>6ij6;o1a0?7<3`nhj7>5$2`6>ab>3g9i87<4;hf`a?6=,:h>6ij6;o1a0?5<3`nho7>5$2`6>ab>3g9i87:4;hf`f?6=,:h>6ij6;o1a0?3<3`nhm7>5$2`6>ab>3g9i8784;hf`=?6=,:h>6ij6;o1a0?1<3`nh47>5$2`6>ab>3g9i8764;hf`3?6=,:h>6ij6;o1a0??<3`nh:7>5$2`6>ab>3g9i87o4;hf`1?6=,:h>6ij6;o1a0?d<3`nh87>5$2`6>ab>3g9i87m4;hf`7?6=,:h>6ij6;o1a0?b<3`no47>5$2`6>ab>3g9i87k4;hfg3?6=,:h>6ij6;o1a0?`<3`no:7>5$2`6>ab>3g9i87??;:kg`0<72-9i97jk9:l0f1<6921bhi:50;&0f0ockm0;6)=m5;fg=>h4j=0:965fdb094?"4j<0oh45a3c6953=6ih=;o1a0?6<3`nn57>5$2`6>a`53g9i87?4;hff6ih=;o1a0?4<3`nn;7>5$2`6>a`53g9i87=4;hff1?6=,:h>6ih=;o1a0?2<3`nn87>5$2`6>a`53g9i87;4;hff7?6=,:h>6ih=;o1a0?0<3`nn>7>5$2`6>a`53g9i8794;hff5?6=,:h>6ih=;o1a0?><3`nn<7>5$2`6>a`53g9i8774;hfgb?6=,:h>6ih=;o1a0?g<3`noi7>5$2`6>a`53g9i87l4;hfg`?6=,:h>6ih=;o1a0?e<3`noo7>5$2`6>a`53g9i87j4;hfe5?6=,:h>6ih=;o1a0?c<3`nm<7>5$2`6>a`53g9i87h4;hffb?6=,:h>6ih=;o1a0?7732coih4?:%1a1?ba:2d8n94>1:9j``b=83.8n84kf39m7g2=9;10eikl:18'7g3=lo80b>l;:018?lbbj3:1(>l::ed1?k5e<3;?76gke783>!5e=3nm>6`=nlmh1<7*1=;54iefb>5<#;k?1hk<4n2`7>41<3f;8n?4?:%1a1?74j11e?o:50:9l56d6290/?o;512`;?k5e<3;07b?!5e=3;8n55a3c696>=h9:km6=4+3c7956d?3g9i87=4;n30e`<72-9i97?ok:18'7g3=9:h37c=m4;78?j74ij0;6)=m5;30f==i;k>1:65`12cb>5<#;k?1=>l7;o1a0?1<3f;8m44?:%1a1?74j11e?o:58:9l56g?290/?o;512`;?k5e<3307b?!5e=3;8n55a3c69e>=h9:k=6=4+3c7956d?3g9i87l4;n30e0<72-9i97?o;:18'7g3=9:h37c=m4;f8?j74i:0;6)=m5;30f==i;k>1i65`12c1>5<#;k?1=>l7;o1a0?`<3f;8m<4?:%1a1?74j11e?o:51198k45>n3:1(>l::01a<>h4j=0:=65`12;f>5<#;k?1=>l7;o1a0?7532e:?4j50;&0f0<6;k20b>l;:018?j741j0;6)=m5;30f==i;k>1=954o01:f?6=,:h>6<=m8:l0f1<6=21d=>7n:18'7g3=9:h37c=m4;35?>i6;031<7*=h9:3=6=4+3c7956d?3g9i87?n;:m27<2=83.8n84>3c:8j6d328h07b?<9283>!5e=3;8n55a3c695f=7>5$2`6>45e02d8n94>d:9l56?6290/?o;512`;?k5e<3;n76a>38294?"4j<0:?o64n2`7>4`<3f;84k4?:%1a1?74j11e?o:52198k45?m3:1(>l::01a<>h4j=09=65`12:g>5<#;k?1=>l7;o1a0?4532e:?5m50;&0f0<6;k20b>l;:318?j740k0;6)=m5;30f==i;k>1>954o01a3?6=,:h>6<=m8:l0f1<5=21d=>l9:18'7g3=9:h37c=m4;05?>i6;k?1<7*=h9:ki6=4+3c7956d?3g9i873c:8j6d32;h07b?<9483>!5e=3;8n55a3c696f=5$2`6>45e02d8n94=d:9l56>>290/?o;512`;?k5e<38n76a>3d094?"4j<0:?h64n2`7>5=5$2`6>45b02d8n94>;:m27`6=83.8n84>3d:8j6d32;10c<=kf;29 6d2289n46`i6;mo1<7*6<=j8:l0f1<232e:?im50;&0f0<6;l20b>l;:798k45ci3:1(>l::01f<>h4j=0<76a>3e;94?"4j<0:?h64n2`7>==5$2`6>45b02d8n946;:m27a1=83.8n84>3d:8j6d32h10c<=k6;29 6d2289n46`i6;m?1<7*6<=j8:l0f1l;:d98k45c:3:1(>l::01f<>h4j=0m76a>3e394?"4j<0:?h64n2`7>46<3f;8ok4?:%1a1?74m11e?o:51098k45dm3:1(>l::01f<>h4j=0:>65`12ag>5<#;k?1=>k7;o1a0?7432e:?nm50;&0f0<6;l20b>l;:068?j74kk0;6)=m5;30a==i;k>1=854o01`e?6=,:h>6<=j8:l0f1<6>21d=>m6:18'7g3=9:o37c=m4;34?>i6;j21<7*=h9:i?6=4+3c7956c?3g9i87?m;:m27f5=83.8n84>3d:8j6d328i07b?!5e=3;8i55a3c695a=5$2`6>45b02d8n94>e:9l56e7290/?o;512g;?k5e<3;m76a>3cd94?"4j<0:?h64n2`7>76<3f;8nh4?:%1a1?74m11e?o:52098k45el3:1(>l::01f<>h4j=09>65`12``>5<#;k?1=>k7;o1a0?4432e:?ol50;&0f0<6;l20b>l;:368?j74m>0;6)=m5;30a==i;k>1>854o01f2?6=,:h>6<=j8:l0f1<5>21d=>k::18'7g3=9:o37c=m4;04?>i6;l>1<7*=h9:n;6=4+3c7956c?3g9i873d:8j6d32;i07b?!5e=3;8i55a3c696a=5$2`6>45b02d8n94=e:9l5175290/?o;5153;?k5e<3:07b?;1083>!5e=3;?=55a3c695>=h9=;;6=4+3c79517?3g9i87<4;n374c<72-9i97?;199m7g2=;21d=9>j:18'7g3=9=;37c=m4;68?j738m0;6)=m5;375==i;k>1965`152`>5<#;k?1=9?7;o1a0?0<3f;?290/?o;5153;?k5e<3207b?;0983>!5e=3;?=55a3c69=>=h9=:<6=4+3c79517?3g9i87o4;n3743<72-9i97?;199m7g2=j21d=9>::18'7g3=9=;37c=m4;a8?j738=0;6)=m5;375==i;k>1h65`1520>5<#;k?1=9?7;o1a0?c<3f;?3gd94?"4j<0:8<64n2`7>47<3f;8jh4?:%1a1?73911e?o:51398k45al3:1(>l::062<>h4j=0:?65`12d`>5<#;k?1=9?7;o1a0?7332e:?kl50;&0f0<6<820b>l;:078?j74nh0;6)=m5;375==i;k>1=;54o01e=?6=,:h>6<:>8:l0f1<6?21d=>h7:18'7g3=9=;37c=m4;3;?>i6;o=1<7*:46`=h9:l86=4+3c79517?3g9i87?l;:m27c4=83.8n84>40:8j6d328n07b?!5e=3;?=55a3c695`=5$2`6>42602d8n94>f:9l56ca290/?o;5153;?k5e<38;76a>3dg94?"4j<0:8<64n2`7>77<3f;8ii4?:%1a1?73911e?o:52398k45bk3:1(>l::062<>h4j=09?65`12ga>5<#;k?1=9?7;o1a0?4332e:8<950;&0f0<6<820b>l;:378?j739?0;6)=m5;375==i;k>1>;54o0621?6=,:h>6<:>8:l0f1<5?21d=9?;:18'7g3=9=;37c=m4;0;?>i6<891<7*:46`=h9:l>6=4+3c79517?3g9i8740:8j6d32;n07b?!5e=3;?=55a3c696`=?>7>5$2`6>42302d8n94?;:m2017=83.8n84>45:8j6d32810c<:;0;29 6d228>?46`i6<:l1<7*54o060a?6=,:h>6<:;8:l0f1<332e:8>j50;&0f0<6<=20b>l;:498k424k3:1(>l::067<>h4j=0=76a>42c94?"4j<0:8964n2`7>2=857>5$2`6>42302d8n947;:m206>=83.8n84>45:8j6d32010c<:<7;29 6d228>?46`i6<:<1<7*6<:;8:l0f1:50;&0f0<6<=20b>l;:e98k424;3:1(>l::067<>h4j=0n76a>42094?"4j<0:8964n2`7>c=8=7>5$2`6>42302d8n94>0:9l514a290/?o;5156;?k5e<3;:76a>43g94?"4j<0:8964n2`7>44<3f;?>i4?:%1a1?73<11e?o:51298k425k3:1(>l::067<>h4j=0:865`150a>5<#;k?1=9:7;o1a0?7232e:8?o50;&0f0<6<=20b>l;:048?j73:00;6)=m5;370==i;k>1=:54o0616<:;8:l0f1<6021d=9<8:18'7g3=9=>37c=m4;3:?>i6<;<1<7*?46`=h9=896=4+3c79512?3g9i87?k;:m2077=83.8n84>45:8j6d328o07b?;2183>!5e=3;?855a3c695c=:j7>5$2`6>42302d8n94=0:9l517b290/?o;5156;?k5e<38:76a>40f94?"4j<0:8964n2`7>74<3f;?=n4?:%1a1?73<11e?o:52298k426j3:1(>l::067<>h4j=09865`1564>5<#;k?1=9:7;o1a0?4232e:89850;&0f0<6<=20b>l;:348?j73<<0;6)=m5;370==i;k>1>:54o0670?6=,:h>6<:;8:l0f1<5021d=9:<:18'7g3=9=>37c=m4;0:?>i6<:h1<7*?46`=h9=;j6=4+3c79512?3g9i8745:8j6d32;o07b?;7383>!5e=3;?;55a3c694>=h9==:6=4+3c79511?3g9i87?4;n3735<72-9i97?;799m7g2=:21d=98i:18'7g3=9==37c=m4;18?j73>l0;6)=m5;373==i;k>1865`154g>5<#;k?1=997;o1a0?3<3f;?:n4?:%1a1?73?11e?o:56:9l510f290/?o;5155;?k5e<3=07b?;6883>!5e=3;?;55a3c69<>=h9=<36=4+3c79511?3g9i8774;n3722<72-9i97?;799m7g2=i21d=989:18'7g3=9==37c=m4;`8?j73><0;6)=m5;373==i;k>1o65`1547>5<#;k?1=997;o1a0?b<3f;?:>4?:%1a1?73?11e?o:5e:9l5105290/?o;5155;?k5e<3l07b?;6083>!5e=3;?;55a3c6955=>j7>5$2`6>42002d8n94>1:9l513b290/?o;5155;?k5e<3;976a>44f94?"4j<0:8:64n2`7>45<3f;?9n4?:%1a1?73?11e?o:51598k422j3:1(>l::064<>h4j=0:965`157b>5<#;k?1=997;o1a0?7132e:88750;&0f0<6<>20b>l;:058?j73=10;6)=m5;373==i;k>1=554o0663?6=,:h>6<:88:l0f1<6121d=9;9:18'7g3=9==37c=m4;3b?>i6<<>1<7*<46`=h9=?:6=4+3c79511?3g9i87?j;:m2006=83.8n84>46:8j6d328l07b?;4g83>!5e=3;?;55a3c6965=?i7>5$2`6>42002d8n94=1:9l512c290/?o;5155;?k5e<38976a>45a94?"4j<0:8:64n2`7>75<3f;?8o4?:%1a1?73?11e?o:52598k420?3:1(>l::064<>h4j=09965`1555>5<#;k?1=997;o1a0?4132e:8:;50;&0f0<6<>20b>l;:358?j73?=0;6)=m5;373==i;k>1>554o0647?6=,:h>6<:88:l0f1<5121d=98m:18'7g3=9==37c=m4;0b?>i6<46`=h9=>26=4+3c79511?3g9i87l;:198k42f93:1(>l::06b<>h4j=0:76a>4`294?"4j<0:8l64n2`7>7=2j7>5$2`6>42f02d8n94<;:m204`:8j6d32=10c<:6d;29 6d228>j46`i6<0i1<7*6<:n8:l0f1<032e:84750;&0f0<6l;:998k42>03:1(>l::06b<>h4j=0276a>48594?"4j<0:8l64n2`7>d=2:7>5$2`6>42f02d8n94m;:m20<3=83.8n84>4`:8j6d32j10c<:64;29 6d228>j46`i6<091<7*6<:n8:l0f1l;:028?j730o0;6)=m5;37e==i;k>1=<54o06;a?6=,:h>6<:n8:l0f1<6:21d=96k:18'7g3=9=k37c=m4;30?>i6<1i1<7*j46`=h9=226=4+3c7951g?3g9i87?8;:m20=>=83.8n84>4`:8j6d328207b?;8683>!5e=3;?m55a3c695<=3:7>5$2`6>42f02d8n94>a:9l51>3290/?o;515c;?k5e<3;i76a>49194?"4j<0:8l64n2`7>4e<3f;?4?4?:%1a1?73i11e?o:51e98k42?93:1(>l::06b<>h4j=0:i65`15:3>5<#;k?1=9o7;o1a0?7a32e:8:h50;&0f0<6l;:328?j73?l0;6)=m5;37e==i;k>1><54o064`?6=,:h>6<:n8:l0f1<5:21d=99l:18'7g3=9=k37c=m4;00?>i6<>h1<7*j46`=h9=k>6=4+3c7951g?3g9i87<8;:m20d2=83.8n84>4`:8j6d32;207b?;a283>!5e=3;?m55a3c696<=2n7>5$2`6>42f02d8n94=a:9l51?7290/?o;515c;?k5e<38i76a>49794?"4j<0:8l64n2`7>7e<3f;?;l4?:%1a1?73i11e?o:52e98k42013:1(>l::06b<>h4j=09i65`15f1>5<#;k?1=9j7;o1a0?6<3f;?h<4?:%1a1?73l11e?o:51:9l51b7290/?o;515f;?k5e<3807b?;cg83>!5e=3;?h55a3c697>=h9=in6=4+3c7951b?3g9i87:4;n37ga<72-9i97?;d99m7g2==21d=9ml:18'7g3=9=n37c=m4;48?j73kh0;6)=m5;37`==i;k>1;65`15a:>5<#;k?1=9j7;o1a0?><3f;?o54?:%1a1?73l11e?o:59:9l51e0290/?o;515f;?k5e<3k07b?;c783>!5e=3;?h55a3c69f>=h9=i>6=4+3c7951b?3g9i87m4;n37g1<72-9i97?;d99m7g2=l21d=9m<:18'7g3=9=n37c=m4;g8?j73k;0;6)=m5;37`==i;k>1j65`15a2>5<#;k?1=9j7;o1a0?7732e:8oh50;&0f0<6l;:038?j73jl0;6)=m5;37`==i;k>1=?54o06a`?6=,:h>6<:k8:l0f1<6;21d=9ll:18'7g3=9=n37c=m4;37?>i6o46`=h9=h36=4+3c7951b?3g9i87?7;:m20g1=83.8n84>4e:8j6d328307b?;b783>!5e=3;?h55a3c695d=i87>5$2`6>42c02d8n94>b:9l51d4290/?o;515f;?k5e<3;h76a>4c094?"4j<0:8i64n2`7>4b<3f;?n<4?:%1a1?73l11e?o:51d98k42e83:1(>l::06g<>h4j=0:j65`15ce>5<#;k?1=9j7;o1a0?4732e:8lk50;&0f0<6l;:338?j73im0;6)=m5;37`==i;k>1>?54o06bg?6=,:h>6<:k8:l0f1<5;21d=9om:18'7g3=9=n37c=m4;07?>i6o46`=h9=n?6=4+3c7951b?3g9i87<7;:m20a5=83.8n84>4e:8j6d32;307b?;cc83>!5e=3;?h55a3c696d=h<7>5$2`6>42c02d8n94=b:9l51d2290/?o;515f;?k5e<38h76a>4`c94?"4j<0:8i64n2`7>7b<3f;?m44?:%1a1?73l11e?o:52d98mg`>290/?o;5c1c8j6d32910eoh7:18'7g3=k9k0b>l;:098mg`0290/?o;5c1c8j6d32;10eoh9:18'7g3=k9k0b>l;:298mg`2290/?o;5c1c8j6d32=10eoh;:18'7g3=k9k0b>l;:498mg`4290/?o;5c1c8j6d32?10eoh=:18'7g3=k9k0b>l;:698mf66290/?o;5c1c8j6d32110en>?:18'7g3=k9k0b>l;:898mg`a290/?o;5c1c8j6d32h10eohj:18'7g3=k9k0b>l;:c98mg`c290/?o;5c1c8j6d32j10eohl:18'7g3=k9k0b>l;:e98mg`e290/?o;5c1c8j6d32l10eohn:18'7g3=k9k0b>l;:g98mg`6290/?o;5c1c8j6d328:07dli0;29 6d22j:j7c=m4;32?>o6>?=1<75`10;2>5<#;k?1=<76;o1a0?6<3f;:5=4?:%1a1?76101e?o:51:9l54>a290/?o;510;:?k5e<3807b?>8d83>!5e=3;:545a3c697>=h982h6=4+3c7954?>3g9i87:4;n32989m7g2==21d=<6n:18'7g3=98327c=m4;48?j76000;6)=m5;32=<=i;k>1;65`10:;>5<#;k?1=<76;o1a0?><3f;:4:4?:%1a1?76101e?o:59:9l54>1290/?o;510;:?k5e<3k07b?>8483>!5e=3;:545a3c69f>=h982?6=4+3c7954?>3g9i87m4;n32<6<72-9i97?>989m7g2=l21d=<77:18'7g3=98327c=m4;g8?j761>0;6)=m5;32=<=i;k>1j65`10;5>5<#;k?1=<76;o1a0?7732e:=4;50;&0f0<69030b>l;:038?j761=0;6)=m5;32=<=i;k>1=?54o03:7?6=,:h>6i691n1<7*989m7g2=9?10c=h9:?86=4+3c79563>3g9i87>4;n3017<72-9i97?<589m7g2=921d=>;>:18'7g3=9:?27c=m4;08?j74=90;6)=m5;301<=i;k>1?65`126e>5<#;k?1=>;6;o1a0?2<3f;88h4?:%1a1?74=01e?o:55:9l562c290/?o;5127:?k5e<3<07b?<4c83>!5e=3;8945a3c693>=h9:>j6=4+3c79563>3g9i8764;n300<<72-9i97?<589m7g2=121d=>:7:18'7g3=9:?27c=m4;c8?j74<>0;6)=m5;301<=i;k>1n65`1265>5<#;k?1=>;6;o1a0?e<3f;8884?:%1a1?74=01e?o:5d:9l5623290/?o;5127:?k5e<3o07b?<4283>!5e=3;8945a3c69b>=h9:>96=4+3c79563>3g9i87??;:m2716=83.8n84>34;8j6d328;07b?<3g83>!5e=3;8945a3c6957=5$2`6>45212d8n94>3:9l565c290/?o;5127:?k5e<3;?76a>32a94?"4j<0:?874n2`7>43<3f;8?o4?:%1a1?74=01e?o:51798k454i3:1(>l::016=>h4j=0:;65`121:>5<#;k?1=>;6;o1a0?7?32e:?>650;&0f0<6;<30b>l;:0;8?j74;>0;6)=m5;301<=i;k>1=l54o0101?6=,:h>6<=:9:l0f1<6j21d=>=;:18'7g3=9:?27c=m4;3`?>i6;:91<7*56`=h9:9;6=4+3c79563>3g9i8734;8j6d32;;07b?<2d83>!5e=3;8945a3c6967=5$2`6>45212d8n94=3:9l564d290/?o;5127:?k5e<38?76a>34:94?"4j<0:?874n2`7>73<3f;89:4?:%1a1?74=01e?o:52798k452>3:1(>l::016=>h4j=09;65`1276>5<#;k?1=>;6;o1a0?4?32e:?8:50;&0f0<6;<30b>l;:3;8?j741>l54o0175?6=,:h>6<=:9:l0f1<5j21d=>=9:18'7g3=9:?27c=m4;0`?>i6;;h1<7*l::0055>h4j=0;76a>1`;94?"4j<0:>;?4n2`7>4=5$2`6>44192d8n94=;:m25d1=83.8n84>2738j6d32:10ci69h>1<7*6<<91:l0f1<132e:=l<50;&0f0<6:?;0b>l;:698k47f93:1(>l::0055>h4j=0376a>1`294?"4j<0:>;?4n2`7><=5$2`6>44192d8n94n;:m252738j6d32k10ci690i1<7*6<<91:l0f150;&0f0<6:?;0b>l;:g98k47fn3:1(>l::0055>h4j=0:<65`10cf>5<#;k?1=?8>;o1a0?7632e:=lj50;&0f0<6:?;0b>l;:008?j76ij0;6)=m5;3124=i;k>1=>54o03bf?6=,:h>6<<91:l0f1<6<21d=i690h1<7*10c<<82;29 6d2288i6:>;1<7*6<<8a:l0f1<532e:>;h50;&0f0<6:>k0b>l;:298k441l3:1(>l::004e>h4j=0?76a>27a94?"4j<0:>:o4n2`7>0=5$2`6>440i2d8n949;:m263g=83.8n84>26c8j6d32>10c<<99;29 6d2288i6:?21<7*6<<8a:l0f1;850;&0f0<6:>k0b>l;:c98k441=3:1(>l::004e>h4j=0h76a>27694?"4j<0:>:o4n2`7>a=5$2`6>440i2d8n94j;:m262>=83.8n84>26c8j6d32o10c<<87;29 6d2288=h9;==6=4+3c79571f3g9i87?>;:m2623=83.8n84>26c8j6d328807b?=7583>!5e=3;9;l5a3c6956=5$2`6>440i2d8n94>4:9l570b290/?o;5135b?k5e<3;>76a>27194?"4j<0:>:o4n2`7>40<3f;9:?4?:%1a1?75?h1e?o:51698k44?j3:1(>l::00:7>h4j=0;76a>29c94?"4j<0:>4=4n2`7>4=5$2`6>44>;2d8n94=;:m26=>=83.8n84>2818j6d32:10c<<76;29 6d22882?6`i6:1?1<7*6<<63:l0f1<132e:>5=50;&0f0<6:090b>l;:698k44?:3:1(>l::00:7>h4j=0376a>29394?"4j<0:>4=4n2`7><=5$2`6>44>;2d8n94n;:m262`=83.8n84>2818j6d32k10c<<8e;29 6d22882?6`i6:>n1<7*6<<63:l0f14?50;&0f0<6:090b>l;:g98k44>83:1(>l::00:7>h4j=0:<65`13:e>5<#;k?1=?7<;o1a0?7632e:>5k50;&0f0<6:090b>l;:008?j750m0;6)=m5;31=6=i;k>1=>54o00;g?6=,:h>6<<63:l0f1<6<21d=?68:18'7g3=9;387c=m4;36?>i6:>i1<7*10c<i6:h91<7*6<l?50;&0f0<6:hi0b>l;:298k44>n3:1(>l::00bg>h4j=0?76a>28g94?"4j<0:>lm4n2`7>0=5$2`6>44fk2d8n949;:m262`a8j6d32>10c<<6b;29 6d2288jo6`i6:0k1<7*6<4650;&0f0<6:hi0b>l;:c98k44>?3:1(>l::00bg>h4j=0h76a>28494?"4j<0:>lm4n2`7>a=5$2`6>44fk2d8n94j;:m26dg=83.8n84>2`a8j6d32o10c<=h9;k36=4+3c7957gd3g9i87?>;:m26d1=83.8n84>2`a8j6d328807b?=a783>!5e=3;9mn5a3c6956=5$2`6>44fk2d8n94>4:9l57g7290/?o;513c`?k5e<3;>76a>28794?"4j<0:>lm4n2`7>40<3f;9594?:%1a1?75ij1e?o:51698k44el3:1(>l::00`1>h4j=0;76a>2ca94?"4j<0:>n;4n2`7>4=5$2`6>44d=2d8n94=;:m26gg=83.8n84>2b78j6d32:10c<i6:k=1<7*6<o;50;&0f0<6:j?0b>l;:698k44e<3:1(>l::00`1>h4j=0376a>2c194?"4j<0:>n;4n2`7><=7>5$2`6>44d=2d8n94n;:m26g7=83.8n84>2b78j6d32k10c<i6:hl1<7*6<n=50;&0f0<6:j?0b>l;:g98k44d:3:1(>l::00`1>h4j=0:<65`13a2>5<#;k?1=?m:;o1a0?7632e:>n>50;&0f0<6:j?0b>l;:008?j75jo0;6)=m5;31g0=i;k>1=>54o00aa?6=,:h>6<7c=m4;36?>i6:ho1<7*10c<i6:m?1<7*6<i=50;&0f0<6:mo0b>l;:298k44c93:1(>l::00ga>h4j=0?76a>2e294?"4j<0:>ik4n2`7>0=5$2`6>44cm2d8n949;:m26fc=83.8n84>2eg8j6d32>10c<i6:ji1<7*6<no50;&0f0<6:mo0b>l;:c98k44d13:1(>l::00ga>h4j=0h76a>2b:94?"4j<0:>ik4n2`7>a=5$2`6>44cm2d8n94j;:m26ae=83.8n84>2eg8j6d32o10c<=h9;nj6=4+3c7957bb3g9i87?>;:m26a?=83.8n84>2eg8j6d328807b?=d983>!5e=3;9hh5a3c6956=5$2`6>44cm2d8n94>4:9l57b5290/?o;513ff?k5e<3;>76a>2b594?"4j<0:>ik4n2`7>40<3f;9o;4?:%1a1?75ll1e?o:51698k45?;3:1(>l::06g=>h4j=0;76a>39094?"4j<0:8i74n2`7>4=5$2`6>42c12d8n94=;:m27=6=83.8n84>4e;8j6d32:10c<=8f;29 6d228>o56`i6;>o1<7*6<:k9:l0f1<132e:?:l50;&0f0<6l;:698k450i3:1(>l::06g=>h4j=0376a>36;94?"4j<0:8i74n2`7><=5$2`6>42c12d8n94n;:m2721=83.8n84>4e;8j6d32k10c<=86;29 6d228>o56`i6;>?1<7*6<:k9:l0f1l;:g98k450:3:1(>l::06g=>h4j=0:<65`1253>5<#;k?1=9j6;o1a0?7632e:?;h50;&0f0<6l;:008?j74>l0;6)=m5;37`<=i;k>1=>54o015`?6=,:h>6<:k9:l0f1<6<21d=>8l:18'7g3=9=n27c=m4;36?>i6;?h1<7*10c<=99;29 6d228>o56`=h9:<36=4+3c7951b>3g9i87?6;:m2731=83.8n84>4e;8j6d328k07b?<6483>!5e=3;?h45a3c695g=5$2`6>42c12d8n94>c:9l5604290/?o;515f:?k5e<3;o76a>37094?"4j<0:8i74n2`7>4c<3f;8:<4?:%1a1?73l01e?o:51g98k45183:1(>l::06g=>h4j=09<65`127e>5<#;k?1=9j6;o1a0?4632e:?8k50;&0f0<6l;:308?j74=m0;6)=m5;37`<=i;k>1>>54o016g?6=,:h>6<:k9:l0f1<5<21d=>67:18'7g3=9=n27c=m4;06?>i6;1=1<7*10c<=75;29 6d228>o56`=h9:2?6=4+3c7951b>3g9i87<6;:m272e=83.8n84>4e;8j6d32;k07b?<7083>!5e=3;?h45a3c696g=5$2`6>42c12d8n94=c:9l563e290/?o;515f:?k5e<38o76a>34c94?"4j<0:8i74n2`7>7c<3f;9ik4?:%1a1?75n>1e?o:50:9l57cb290/?o;513d4?k5e<3;07b?=ee83>!5e=3;9j:5a3c696>=h9;oh6=4+3c7957`03g9i87=4;n31ad<72-9i97?=f69m7g2=<21d=?k6:18'7g3=9;l<7c=m4;78?j75m10;6)=m5;31b2=i;k>1:65`13g4>5<#;k?1=?h8;o1a0?1<3f;9i;4?:%1a1?75n>1e?o:58:9l57c2290/?o;513d4?k5e<3307b?=e583>!5e=3;9j:5a3c69e>=h9;o86=4+3c7957`03g9i87l4;n31a7<72-9i97?=f69m7g2=k21d=?k>:18'7g3=9;l<7c=m4;f8?j75n?0;6)=m5;31b2=i;k>1i65`13d6>5<#;k?1=?h8;o1a0?`<3f;9j94?:%1a1?75n>1e?o:51198k44a;3:1(>l::00e3>h4j=0:=65`13d1>5<#;k?1=?h8;o1a0?7532e:>k?50;&0f0<6:o=0b>l;:018?j75n90;6)=m5;31b2=i;k>1=954o00ff?6=,:h>6<i6:ml1<7*=:18'7g3=9<:27c=m4;38?j72880;6)=m5;364<=i;k>1>65`1423>5<#;k?1=8>6;o1a0?5<3f;?jk4?:%1a1?72801e?o:54:9l51`b290/?o;5142:?k5e<3?07b?;fe83>!5e=3;><45a3c692>=h9=li6=4+3c79506>3g9i8794;n37bd<72-9i97?:089m7g2=021d=9h6:18'7g3=9<:27c=m4;;8?j73n10;6)=m5;364<=i;k>1m65`15d4>5<#;k?1=8>6;o1a0?d<3f;?j;4?:%1a1?72801e?o:5c:9l51`2290/?o;5142:?k5e<3n07b?;f583>!5e=3;><45a3c69a>=h9=l86=4+3c79506>3g9i87h4;n37b7<72-9i97?:089m7g2=9910c<:i0;29 6d228?;56`=h9=om6=4+3c79506>3g9i87?=;:m20`c=83.8n84>51;8j6d328907b?;ee83>!5e=3;><45a3c6951=no7>5$2`6>43712d8n94>5:9l51ce290/?o;5142:?k5e<3;=76a>4dc94?"4j<0:9=74n2`7>41<3f;?i44?:%1a1?72801e?o:51998k42b03:1(>l::073=>h4j=0:565`15g4>5<#;k?1=8>6;o1a0?7f32e:8h;50;&0f0<6=930b>l;:0`8?j73m=0;6)=m5;364<=i;k>1=n54o06f7?6=,:h>6<;?9:l0f1<6l21d=9k=:18'7g3=9<:27c=m4;3f?>i6=h9=nn6=4+3c79506>3g9i87<=;:m20ab=83.8n84>51;8j6d32;907b?;db83>!5e=3;><45a3c6961=5$2`6>43712d8n94=5:9l5060290/?o;5142:?k5e<38=76a>51494?"4j<0:9=74n2`7>71<3f;><84?:%1a1?72801e?o:52998k437<3:1(>l::073=>h4j=09565`15d`>5<#;k?1=8>6;o1a0?4f32e:8k?50;&0f0<6=930b>l;:3`8?j73m?0;6)=m5;364<=i;k>1>n54o06gf?6=,:h>6<;?9:l0f1<5l21d=9jn:18'7g3=9<:27c=m4;0f?>i6;921<7*6<=>0:l0f1<632e:?=850;&0f0<6;8:0b>l;:398k457=3:1(>l::0124>h4j=0876a>31194?"4j<0:?<>4n2`7>1=7>5$2`6>45682d8n94:;:m2757=83.8n84>3028j6d32?10c<=?0;29 6d2289:<6`i6:ol1<7*6<=>0:l0f1<>32e:>kj50;&0f0<6;8:0b>l;:`98k44ak3:1(>l::0124>h4j=0i76a>2g`94?"4j<0:?<>4n2`7>f=5$2`6>45682d8n94k;:m275`=83.8n84>3028j6d32l10c<=?e;29 6d2289:<6`i6;9n1<7*4;n304f<72-9i97?<119m7g2=9810c<=?b;29 6d2289:<6`=h9::j6=4+3c7956773g9i87?<;:m275?=83.8n84>3028j6d328>07b?<0583>!5e=3;8==5a3c6950=5$2`6>45682d8n94>6:9l57`?290/?o;51233?k5e<3;<76a>52194?"4j<0:9>74n2`7>5=7>5$2`6>43412d8n94>;:m2167=83.8n84>52;8j6d32;10c<;<0;29 6d228?856`i6=;l1<7*6<;<9:l0f1<232e:9?j50;&0f0<6=:30b>l;:798k435j3:1(>l::070=>h4j=0<76a>53c94?"4j<0:9>74n2`7>==5$2`6>43412d8n946;:m217>=83.8n84>52;8j6d32h10c<;=7;29 6d228?856`i6=;<1<7*6<;<9:l0f1l;:d98k435;3:1(>l::070=>h4j=0m76a>53094?"4j<0:9>74n2`7>46<3f;>>=4?:%1a1?72;01e?o:51098k436n3:1(>l::070=>h4j=0:>65`143f>5<#;k?1=8=6;o1a0?7432e:9l;:068?j729j0;6)=m5;367<=i;k>1=854o072f?6=,:h>6<;<9:l0f1<6>21d=8?n:18'7g3=9<927c=m4;34?>i6=831<7*7;29 6d228?856`=h9<;>6=4+3c79505>3g9i87?m;:m2142=83.8n84>52;8j6d328i07b?:1283>!5e=3;>?45a3c695a=7>5$2`6>43412d8n94>e:9l5076290/?o;5141:?k5e<3;m76a>50294?"4j<0:9>74n2`7>76<3f;>l::070=>h4j=09>65`142g>5<#;k?1=8=6;o1a0?4432e:9=m50;&0f0<6=:30b>l;:368?j72;10;6)=m5;367<=i;k>1>854o0703?6=,:h>6<;<9:l0f1<5>21d=8=9:18'7g3=9<927c=m4;04?>i6=:?1<7*=h9<8:6=4+3c79505>3g9i8752;8j6d32;i07b?:0c83>!5e=3;>?45a3c696a=5$2`6>43412d8n94=e:9l5646290/?o;5120:?k5e<3:07b?<2183>!5e=3;8>45a3c695>=h9:;m6=4+3c79564>3g9i87<4;n305`<72-9i97?<289m7g2=;21d=>?l:18'7g3=9:827c=m4;68?j749k0;6)=m5;306<=i;k>1965`123b>5<#;k?1=><6;o1a0?0<3f;8=44?:%1a1?74:01e?o:57:9l567?290/?o;5120:?k5e<3207b?<1683>!5e=3;8>45a3c69=>=h9:;=6=4+3c79564>3g9i87o4;n3050<72-9i97?<289m7g2=j21d=>?;:18'7g3=9:827c=m4;a8?j749:0;6)=m5;306<=i;k>1h65`120;>5<#;k?1=><6;o1a0?c<3f;8>:4?:%1a1?74:01e?o:5f:9l5641290/?o;5120:?k5e<3;;76a>33794?"4j<0:??74n2`7>47<3f;8>94?:%1a1?74:01e?o:51398k455;3:1(>l::011=>h4j=0:?65`1201>5<#;k?1=><6;o1a0?7332e:?l;:078?j749;0;6)=m5;306<=i;k>1=;54o0125?6=,:h>6<==9:l0f1<6?21d=88<:18'7g3=9<<27c=m4;28?j72>;0;6)=m5;362<=i;k>1=65`1442>5<#;k?1=886;o1a0?4<3f;>:=4?:%1a1?72>01e?o:53:9l503a290/?o;5144:?k5e<3>07b?:5d83>!5e=3;>:45a3c691>=h93g9i8784;n361g<72-9i97?:689m7g2=?21d=8;n:18'7g3=9<<27c=m4;:8?j72=00;6)=m5;362<=i;k>1565`147;>5<#;k?1=886;o1a0?g<3f;>9:4?:%1a1?72>01e?o:5b:9l5031290/?o;5144:?k5e<3i07b?:5483>!5e=3;>:45a3c69`>=h93g9i87k4;n3616<72-9i97?:689m7g2=n21d=8;=:18'7g3=9<<27c=m4;33?>i6=<:1<7*=h9<>o6=4+3c79500>3g9i87?;;:m211e=83.8n84>57;8j6d328?07b?:4c83>!5e=3;>:45a3c6953=5$2`6>43112d8n94>7:9l502>290/?o;5144:?k5e<3;376a>55:94?"4j<0:9;74n2`7>4?<3f;>8:4?:%1a1?72>01e?o:51`98k433=3:1(>l::075=>h4j=0:n65`1467>5<#;k?1=886;o1a0?7d32e:99=50;&0f0<6=?30b>l;:0f8?j72<;0;6)=m5;362<=i;k>1=h54o0775?6=,:h>6<;99:l0f1<6n21d=8:?:18'7g3=9<<27c=m4;03?>i6=:l1<7*=h9<9h6=4+3c79500>3g9i87<;;:m213>=83.8n84>57;8j6d32;?07b?:6683>!5e=3;>:45a3c6963=5$2`6>43112d8n94=7:9l5002290/?o;5144:?k5e<38376a>57694?"4j<0:9;74n2`7>7?<3f;>9n4?:%1a1?72>01e?o:52`98k43293:1(>l::075=>h4j=09n65`1465>5<#;k?1=886;o1a0?4d32e:9>l50;&0f0<6=?30b>l;:3f8?j72;h0;6)=m5;362<=i;k>1>h54o07:7?6=,:h>6<;69:l0f1<732e:94<50;&0f0<6=030b>l;:098k43>93:1(>l::07:=>h4j=0976a>58294?"4j<0:9474n2`7>6=5$2`6>43>12d8n94;;:m21=c=83.8n84>58;8j6d32<10c<;7d;29 6d228?256`i6=1h1<7*6<;69:l0f1l;:898k43?03:1(>l::07:=>h4j=0j76a>59594?"4j<0:9474n2`7>g=5$2`6>43>12d8n94l;:m21=3=83.8n84>58;8j6d32m10c<;74;29 6d228?256`i6=191<7*6<;69:l0f1<6821d=86?:18'7g3=9<327c=m4;32?>i6=>l1<7*=h9<=h6=4+3c7950?>3g9i87?:;:m212d=83.8n84>58;8j6d328<07b?:7`83>!5e=3;>545a3c6952=5$2`6>43>12d8n94>8:9l501?290/?o;514;:?k5e<3;276a>56594?"4j<0:9474n2`7>4g<3f;>;84?:%1a1?72101e?o:51c98k430<3:1(>l::07:=>h4j=0:o65`1450>5<#;k?1=876;o1a0?7c32e:9:<50;&0f0<6=030b>l;:0g8?j72?80;6)=m5;36=<=i;k>1=k54o0744?6=,:h>6<;69:l0f1<5821d=88i:18'7g3=9<327c=m4;02?>i6=?o1<7*=h9<336=4+3c7950?>3g9i87<:;:m21<1=83.8n84>58;8j6d32;<07b?:9783>!5e=3;>545a3c6962=5$2`6>43>12d8n94=8:9l50?3290/?o;514;:?k5e<38276a>59a94?"4j<0:9474n2`7>7g<3f;>4<4?:%1a1?72101e?o:52c98k430>3:1(>l::07:=>h4j=09o65`144a>5<#;k?1=876;o1a0?4c32e:9;o50;&0f0<6=030b>l;:3g8?j72k:0;6)=m5;36g<=i;k>1<65`14a1>5<#;k?1=8m6;o1a0?7<3f;>o<4?:%1a1?72k01e?o:52:9l50e7290/?o;514a:?k5e<3907b?:bg83>!5e=3;>o45a3c690>=h93g9i87;4;n36fa<72-9i97?:c89m7g2=>21d=8lm:18'7g3=91465`14`:>5<#;k?1=8m6;o1a0??<3f;>n54?:%1a1?72k01e?o:5a:9l50d0290/?o;514a:?k5e<3h07b?:b783>!5e=3;>o45a3c69g>=h96=4+3c7950e>3g9i87j4;n36f1<72-9i97?:c89m7g2=m21d=8l<:18'7g3=91==54o07a4?6=,:h>6<;l9:l0f1<6921d=8oi:18'7g3=9i6=ho1<7*=h93g9i87?9;:m21dg=83.8n84>5b;8j6d328=07b?:a883>!5e=3;>o45a3c695==5$2`6>43d12d8n94>9:9l50g0290/?o;514a:?k5e<3;j76a>5`794?"4j<0:9n74n2`7>4d<3f;>m94?:%1a1?72k01e?o:51b98k43f;3:1(>l::07`=>h4j=0:h65`14c1>5<#;k?1=8m6;o1a0?7b32e:9l?50;&0f0<6=j30b>l;:0d8?j72i90;6)=m5;36g<=i;k>1>=54o07:b?6=,:h>6<;l9:l0f1<5921d=87j:18'7g3=9i6=0n1<7*=h93g9i87<9;:m21f0=83.8n84>5b;8j6d32;=07b?:c483>!5e=3;>o45a3c696==5$2`6>43d12d8n94=9:9l50dd290/?o;514a:?k5e<38j76a>5c394?"4j<0:9n74n2`7>7d<3f;>m;4?:%1a1?72k01e?o:52b98k43>j3:1(>l::07`=>h4j=09h65`14;b>5<#;k?1=8m6;o1a0?4b32e:9k=50;&0f0<6=o30b>l;:198k43a:3:1(>l::07e=>h4j=0:76a>5g394?"4j<0:9k74n2`7>7=5$2`6>43a12d8n94<;:m21``=83.8n84>5g;8j6d32=10c<;je;29 6d228?m56`i6=ln1<7*6<;i9:l0f1<032e:9ho50;&0f0<6=o30b>l;:998k43b13:1(>l::07e=>h4j=0276a>5d:94?"4j<0:9k74n2`7>d=5$2`6>43a12d8n94m;:m21`0=83.8n84>5g;8j6d32j10c<;j5;29 6d228?m56`i6=l>1<7*6<;i9:l0f1l;:028?j72m90;6)=m5;36b<=i;k>1=<54o07gb?6=,:h>6<;i9:l0f1<6:21d=8jj:18'7g3=9i6=mn1<7*=h93g9i87?8;:m21a?=83.8n84>5g;8j6d328207b?:d983>!5e=3;>j45a3c695<=5$2`6>43a12d8n94>a:9l50b2290/?o;514d:?k5e<3;i76a>5e694?"4j<0:9k74n2`7>4e<3f;>h>4?:%1a1?72n01e?o:51e98k43c:3:1(>l::07e=>h4j=0:i65`14f2>5<#;k?1=8h6;o1a0?7a32e:9i>50;&0f0<6=o30b>l;:328?j72ko0;6)=m5;36b<=i;k>1><54o07`a?6=,:h>6<;i9:l0f1<5:21d=8mk:18'7g3=9i6=ji1<7*=h93g9i87<8;:m21c3=83.8n84>5g;8j6d32;207b?:f583>!5e=3;>j45a3c696<=5$2`6>43a12d8n94=a:9l50c6290/?o;514d:?k5e<38i76a>5e494?"4j<0:9k74n2`7>7e<3f;>oo4?:%1a1?72n01e?o:52e98k43di3:1(>l::07e=>h4j=09i65`1700>5<#;k?1=;<6;o1a0?6<3f;=>?4?:%1a1?71:01e?o:51:9l5346290/?o;5170:?k5e<3807b?92183>!5e=3;=>45a3c697>=h9?;m6=4+3c79534>3g9i87:4;n355`<72-9i97?9289m7g2==21d=;?k:18'7g3=9?827c=m4;48?j719k0;6)=m5;356<=i;k>1;65`173b>5<#;k?1=;<6;o1a0?><3f;==44?:%1a1?71:01e?o:59:9l537?290/?o;5170:?k5e<3k07b?91683>!5e=3;=>45a3c69f>=h9?;=6=4+3c79534>3g9i87m4;n3550<72-9i97?9289m7g2=l21d=;?;:18'7g3=9?827c=m4;g8?j719:0;6)=m5;356<=i;k>1j65`1731>5<#;k?1=;<6;o1a0?7732e::<>50;&0f0<6>;30b>l;:038?j718o0;6)=m5;356<=i;k>1=?54o043a?6=,:h>6<8=9:l0f1<6;21d=;>k:18'7g3=9?827c=m4;37?>i6>9i1<7*=h9?:26=4+3c79534>3g9i87?7;:m225>=83.8n84>63;8j6d328307b?90683>!5e=3;=>45a3c695d=5$2`6>40512d8n94>b:9l5363290/?o;5170:?k5e<3;h76a>61194?"4j<0::?74n2`7>4b<3f;=l::041=>h4j=0:j65`1723>5<#;k?1=;<6;o1a0?4732e:9kh50;&0f0<6>;30b>l;:338?j72nl0;6)=m5;356<=i;k>1>?54o07e`?6=,:h>6<8=9:l0f1<5;21d=8hl:18'7g3=9?827c=m4;07?>i6>;21<7*=h9?8>6=4+3c79534>3g9i87<7;:m2272=83.8n84>63;8j6d32;307b?91b83>!5e=3;=>45a3c696d=5$2`6>40512d8n94=b:9l5361290/?o;5170:?k5e<38h76a>5g`94?"4j<0::?74n2`7>7b<3f;>jl4?:%1a1?71:01e?o:52d98k402;3:1(>l::046=>h4j=0;76a>64094?"4j<0::874n2`7>4==7>5$2`6>40212d8n94=;:m2206=83.8n84>64;8j6d32:10c<8;f;29 6d228<>56`i6>=o1<7*6<8:9:l0f1<132e::9l50;&0f0<6><30b>l;:698k403i3:1(>l::046=>h4j=0376a>65;94?"4j<0::874n2`7><=5$2`6>40212d8n94n;:m2211=83.8n84>64;8j6d32k10c<8;6;29 6d228<>56`i6>=?1<7*6<8:9:l0f1<30b>l;:g98k403:3:1(>l::046=>h4j=0:<65`1763>5<#;k?1=;;6;o1a0?7632e::>h50;&0f0<6><30b>l;:008?j71;l0;6)=m5;351<=i;k>1=>54o040`?6=,:h>6<8:9:l0f1<6<21d=;=l:18'7g3=9??27c=m4;36?>i6>:h1<7*10c<8<9;29 6d228<>56`=h9?936=4+3c79533>3g9i87?6;:m2261=83.8n84>64;8j6d328k07b?93483>!5e=3;=945a3c695g=5$2`6>40212d8n94>c:9l5354290/?o;5177:?k5e<3;o76a>62094?"4j<0::874n2`7>4c<3f;=?<4?:%1a1?71=01e?o:51g98k40483:1(>l::046=>h4j=09<65`170e>5<#;k?1=;;6;o1a0?4632e::?k50;&0f0<6><30b>l;:308?j71:m0;6)=m5;351<=i;k>1>>54o041g?6=,:h>6<8:9:l0f1<5<21d=;;7:18'7g3=9??27c=m4;06?>i6><=1<7*10c<8:5;29 6d228<>56`=h9???6=4+3c79533>3g9i87<6;:m221e=83.8n84>64;8j6d32;k07b?94083>!5e=3;=945a3c696g=5$2`6>40212d8n94=c:9l534e290/?o;5177:?k5e<38o76a>63c94?"4j<0::874n2`7>7c<3fo:o7>5$2`6>`453g9i87>4;ng2f?6=,:h>6h<=;o1a0?7<3fo:m7>5$2`6>`453g9i87<4;ng2=?6=,:h>6h<=;o1a0?5<3fo:47>5$2`6>`453g9i87:4;ng23?6=,:h>6h<=;o1a0?3<3fo::7>5$2`6>`453g9i8784;ng20?6=,:h>6h<=;o1a0?1<3fo:?7>5$2`6>`453g9i8764;ng26?6=,:h>6h<=;o1a0??<3fo:=7>5$2`6>`453g9i87o4;ng24?6=,:h>6h<=;o1a0?d<3fo;j7>5$2`6>`453g9i87m4;ng3a?6=,:h>6h<=;o1a0?b<3fo;h7>5$2`6>`453g9i87k4;ng3g?6=,:h>6h<=;o1a0?`<3fo;n7>5$2`6>`453g9i87??;:mf4<<72-9i97k=2:l0f1<6921di=650;&0f0ib8<0;6)=m5;g16>h4j=0:965`e1694?"4j<0n>?5a3c6953=6h<=;o1a0?7?32en<<4?:%1a1?c5:2d8n94>9:9la56=83.8n84j239m7g2=9h10cihj:18'7g3=m;80b>l;:0`8?jbal3:1(>l::d01?k5e<3;h76akfb83>!5e=3o9>6`=hloh1<7*1=h54oedb>5<#;k?1i?<4n2`7>4`<3fnm57>5$2`6>`453g9i87ib:80;6)=m5;g16>h4j=09965`e3294?"4j<0n>?5a3c6963=6h<=;o1a0?4?32en=i4?:%1a1?c5:2d8n94=9:9la43=83.8n84j239m7g2=:h10ch>n:18'7g3=m;80b>l;:3`8?jban3:1(>l::d01?k5e<38h76akf583>!5e=3o9>6`=hlo91<7*1>h54ib0b>5<#;k?1o><4n2`7>5=5<#;k?1o><4n2`7>7=54ib06>5<#;k?1o><4n2`7>1=5<#;k?1o><4n2`7>3=5<#;k?1o><4n2`7>==5<#;k?1o><4n2`7>d=5<#;k?1o><4n2`7>f=5<#;k?1o><4n2`7>`=5<#;k?1o><4n2`7>46<3`i9i7>5$2`6>f553g9i87?>;:k`6a<72-9i97m<2:l0f1<6:21bo?m50;&0f007dm=6;29 6d22j997c=m4;36?>od9k0;6)=m5;a06>h4j=0::65fc0c94?"4j<0h??5a3c6952=5<#;k?1nhk4n2`7>5=5$2`6>40192d8n94?;:k`52<722h8ii4?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a7`c=83;1<7>t$2af>6b63A9o46Fki:1821d<729q/?nk52058L6b?3A9o<6Ti3;`xi1=h4>b;72>x"49m0::;74n8294>h>:3;0bo950:lah59?0:7c<:e;38j67d291e?o951:&0g1<4km1b=>>50;9j7gc=831b?oh50;9j567=831b?n>50;9j562=831b=?h50;9l57g=831b?n<50;9j7f7=831b=>650;9j7gb=831b=>=50;9j57c=831b=?850;9j563=831b=?j50;9l57?=831b?om50;9j7f5=831bo?4?:%1a1?e63g9i87>4;ha3>5<#;k?1o<5a3c695>=njo0;6)=m5;a2?k5e<3807dlj:18'7g3=k81e?o:53:9jfa<72-9i97m>;o1a0?2<3`hh6=4+3c79g4=i;k>1965fbc83>!5e=3i:7c=m4;48?ldf290/?o;5c09m7g2=?21boo4?:%1a1?e63g9i8764;hab>5<#;k?1o<5a3c69=>=nk00;6)=m5;a2?k5e<3k07dm7:18'7g3=k81e?o:5b:9jg2<72-9i97m>;o1a0?e<3`i=6=4+3c79g4=i;k>1h65fc483>!5e=3i:7c=m4;g8?le3290/?o;5c09m7g2=n21bo>4?:%1a1?e63g9i87??;:ka=?6=,:h>6n?4n2`7>47<3fo36=4+3c79a2=i;k>1<65`e783>!5e=3o<7c=m4;38?jc2290/?o;5e69m7g2=:21di94?:%1a1?c03g9i87=4;ng0>5<#;k?1i:5a3c690>=hm;0;6)=m5;g4?k5e<3?07bk>:18'7g3=m>1e?o:56:9la5<72-9i97k8;o1a0?1<3fl:6=4+3c79a2=i;k>1465`f183>!5e=3o<7c=m4;;8?jca290/?o;5e69m7g2=i21dih4?:%1a1?c03g9i87l4;ngg>5<#;k?1i:5a3c69g>=hmj0;6)=m5;g4?k5e<3n07bkm:18'7g3=m>1e?o:5e:9lad<72-9i97k8;o1a0?`<3fo26=4+3c79a2=i;k>1==54oed94?"4j<0n;6`=n;>i1<7*1<65f36c94?"4j<08;o5a3c695>=n;>31<7*1>65f36:94?"4j<08;o5a3c697>=n;>=1<7*1865f36494?"4j<08;o5a3c691>=n;>?1<7*1:65f36694?"4j<08;o5a3c693>=n;>81<7*1465f36394?"4j<08;o5a3c69=>=n;>:1<7*1m65f37d94?"4j<08;o5a3c69f>=n;?o1<7*1o65f37f94?"4j<08;o5a3c69`>=n;?i1<7*1i65f37`94?"4j<08;o5a3c69b>=n;?k1<7*1==54i24:>5<#;k?1?:l4n2`7>47<3`9=;7>5$2`6>61e3g9i87?=;:k023<72-9i97=8b:l0f1<6;21b?;;50;&0f0<4?k1e?o:51598m603290/?o;536`8j6d328?07d=93;29 6d22:=i7c=m4;35?>o4>;0;6)=m5;14f>h4j=0:;65f37394?"4j<08;o5a3c695==6>9m;o1a0?7f32c89h4?:%1a1?50j2d8n94>b:9j70e=83.8n84<7c9m7g2=9j10e>;m:18'7g3=;>h0b>l;:0f8?l52i3:1(>l::25a?k5e<3;n76g<5883>!5e=39=n;<21<7*1>=54i274>5<#;k?1?:l4n2`7>77<3`9>:7>5$2`6>61e3g9i87<=;:k010<72-9i97=8b:l0f1<5;21b?8:50;&0f0<4?k1e?o:52598m634290/?o;536`8j6d32;?07d=72;29 6d22:=i7c=m4;05?>o4080;6)=m5;14f>h4j=09;65f39294?"4j<08;o5a3c696==6>9m;o1a0?4f32c8;i4?:%1a1?50j2d8n94=b:9j725=83.8n84<7c9m7g2=:j10e>87:18'7g3=;>h0b>l;:3f8?l52l3:1(>l::25a?k5e<38n76g<5383>!5e=39=n98l1<7*1<65f10f94?"4j<0:=h5a3c695>=n98i1<7*1>65f10`94?"4j<0:=h5a3c697>=n98k1<7*1865f10;94?"4j<0:=h5a3c691>=n9821<7*1:65f10594?"4j<0:=h5a3c693>=n98?1<7*1465f10694?"4j<0:=h5a3c69=>=n9891<7*1m65f10094?"4j<0:=h5a3c69f>=n98;1<7*1o65f10294?"4j<0:=h5a3c69`>=n99l1<7*1i65f11g94?"4j<0:=h5a3c69b>=n99n1<7*1==54i02`>5<#;k?1=47<3`;;m7>5$2`6>47b3g9i87?=;:k24<<72-9i97?>e:l0f1<6;21b==650;&0f0<69l1e?o:51598m460290/?o;510g8j6d328?07d??6;29 6d228;n7c=m4;35?>o68<0;6)=m5;32a>h4j=0:;65f11694?"4j<0:=h5a3c695==6b:9jbc<72-9i97?>e:l0f1<6k21bjh4?:%1a1?76m2d8n94>d:9jba<72-9i97?>e:l0f1<6m21bjn4?:%1a1?76m2d8n94>f:9jbg<72-9i97?>e:l0f1<5821bjl4?:%1a1?76m2d8n94=1:9jb<<72-9i97?>e:l0f1<5:21bj54?:%1a1?76m2d8n94=3:9jb2<72-9i97?>e:l0f1<5<21bj;4?:%1a1?76m2d8n94=5:9j573=83.8n84>1d9m7g2=:?10e<<;:18'7g3=98o0b>l;:358?l75;3:1(>l::03f?k5e<38376g>2383>!5e=3;:i6`=n9;;1<7*1>l54i003>5<#;k?1=7d<3`;::7>5$2`6>47b3g9i87e:l0f1<5l21b==>50;&0f0<69l1e?o:52d98mc3=83.8n84>1d9m7g2=:o10c>:n:18'7g3=;=30b>l;:198k62?290/?o;535;8j6d32810c>:8:18'7g3=;=30b>l;:398k621290/?o;535;8j6d32:10c>:::18'7g3=;=30b>l;:598k623290/?o;535;8j6d32<10c>:<:18'7g3=;=30b>l;:798k625290/?o;535;8j6d32>10c>:?:18'7g3=;=30b>l;:998k65a290/?o;535;8j6d32010c>=j:18'7g3=;=30b>l;:`98k65c290/?o;535;8j6d32k10c>=l:18'7g3=;=30b>l;:b98k65e290/?o;535;8j6d32m10c>=n:18'7g3=;=30b>l;:d98k65>290/?o;535;8j6d32o10c>=7:18'7g3=;=30b>l;:028?j54?3:1(>l::26:?k5e<3;:76a<3483>!5e=39?56`=h;:>1<7*1=>54o210>5<#;k?1?974n2`7>42<3f98>7>5$2`6>62>3g9i87?:;:m074<72-9i97=;9:l0f1<6>21d?>>50;&0f0<4<01e?o:51698k64a290/?o;535;8j6d328207b==e;29 6d22:>27c=m4;3:?>i4:m0;6)=m5;17=>h4j=0:m65`33a94?"4j<08845a3c695g=6>:6;o1a0?7c32e8>54?:%1a1?5312d8n94>e:9l771=83.8n84<489m7g2=9o10c><9:18'7g3=;=30b>l;:328?j55=3:1(>l::26:?k5e<38:76a<2583>!5e=39?56`=h;;91<7*1>>54o201>5<#;k?1?974n2`7>72<3f99=7>5$2`6>62>3g9i87<:;:m015<72-9i97=;9:l0f1<5>21d?9h50;&0f0<4<01e?o:52698k62b290/?o;535;8j6d32;207b=;d;29 6d22:>27c=m4;0:?>i4h4j=09m65`35`94?"4j<08845a3c696g=:6=4+3c7971?6>:6;o1a0?4c32e8>o4?:%1a1?5312d8n94=e:9l776=83.8n84<489m7g2=:o10e>?m:18'7g3=;8k0b>l;:198m67>290/?o;530c8j6d32810e>?7:18'7g3=;8k0b>l;:398m670290/?o;530c8j6d32:10e>?9:18'7g3=;8k0b>l;:598m672290/?o;530c8j6d32<10e>?;:18'7g3=;8k0b>l;:798m674290/?o;530c8j6d32>10e<:7:18'7g3=9==0b>l;:198m421290/?o;51558j6d32810e<:::18'7g3=9==0b>l;:398m423290/?o;51558j6d32:10e<:<:18'7g3=9==0b>l;:598m425290/?o;51558j6d32<10e<:>:18'7g3=9==0b>l;:798m427290/?o;51558j6d32>10e<;>:18'7g3=9==0b>l;:998m437290/?o;51558j6d32010e<:i:18'7g3=9==0b>l;:`98m42b290/?o;51558j6d32k10e<:k:18'7g3=9==0b>l;:b98m42d290/?o;51558j6d32m10e<:m:18'7g3=9==0b>l;:d98m42f290/?o;51558j6d32o10e<:6:18'7g3=9==0b>l;:028?l74n3:1(>l::064?k5e<3;:76a!5e=39jo6`i4ik0;6)=m5;1bg>h4j=0:76a!5e=39jo6`i4i00;6)=m5;1bg>h4j=0876a!5e=39jo6`i4i>0;6)=m5;1bg>h4j=0>76a!5e=39jo6`i4i<0;6)=m5;1bg>h4j=0<76a!5e=39jo6`i4i;0;6)=m5;1bg>h4j=0276a!5e=39jo6`i4i90;6)=m5;1bg>h4j=0i76a<9g83>!5e=39jo6`i41l0;6)=m5;1bg>h4j=0o76a<9e83>!5e=39jo6`i41j0;6)=m5;1bg>h4j=0m76a<9c83>!5e=39jo6`=h;0k1<7*1=<54o2;;>5<#;k?1?lm4n2`7>44<3f92;7>5$2`6>6gd3g9i87?<;:m0=3<72-9i97=nc:l0f1<6<21d?4;50;&0f0<4ij1e?o:51498k6?3290/?o;53`a8j6d328<07b=63;29 6d22:kh7c=m4;34?>i41;0;6)=m5;1bg>h4j=0:465`38394?"4j<08mn5a3c695<=6>ol;o1a0?7e32e84i4?:%1a1?5fk2d8n94>c:9l7=e=83.8n846m:18'7g3=;hi0b>l;:0g8?j5?i3:1(>l::2c`?k5e<3;m76a<8883>!5e=39jo6`=h;121<7*1><54o2:4>5<#;k?1?lm4n2`7>74<3f93:7>5$2`6>6gd3g9i87<<;:m0<0<72-9i97=nc:l0f1<5<21d?5:50;&0f0<4ij1e?o:52498k6d4290/?o;53`a8j6d32;<07b=m2;29 6d22:kh7c=m4;04?>i4j80;6)=m5;1bg>h4j=09465`3c294?"4j<08mn5a3c696<=6>ol;o1a0?4e32e8m94?:%1a1?5fk2d8n94=c:9l76j:18'7g3=;hi0b>l;:3g8?j5?;3:1(>l::2c`?k5e<38m76g6e;29 6d220n0b>l;:198m5$2`6>o>13:1(>l::8f8j6d32=10e4650;&0f0<>l2d8n94:;:k:3?6=,:h>64j4n2`7>3=h4j=0<76gn7;29 6d220n0b>l;:998md0=83.8n846d:l0f1<>32cj97>5$2`6>of;3:1(>l::8f8j6d32j10el<50;&0f0<>l2d8n94k;:kb5?6=,:h>64j4n2`7>`=h4j=0m76g6f;29 6d220n0b>l;:028?l?2290/?o;59e9m7g2=9810c?;9:18'7g3=:l;:198k733290/?o;52478j6d32810c?;<:18'7g3=:l;:398k735290/?o;52478j6d32:10c?;>:18'7g3=:l;:598k737290/?o;52478j6d32<10c?:j:18'7g3=:l;:798k72c290/?o;52478j6d32>10c?:l:18'7g3=:l;:998k72e290/?o;52478j6d32010c?:n:18'7g3=:l;:`98k72>290/?o;52478j6d32k10c?:7:18'7g3=:l;:b98k720290/?o;52478j6d32m10c?:9:18'7g3=:l;:d98k722290/?o;52478j6d32o10c?:<:18'7g3=:l;:028?j43:3:1(>l::376?k5e<3;:76a=4083>!5e=38>96`=h:=:1<7*1=>54o31e>5<#;k?1>8;4n2`7>42<3f88i7>5$2`6>7323g9i87?:;:m17a<72-9i97<:5:l0f1<6>21d>>m50;&0f0<5=<1e?o:51698k75e290/?o;52478j6d328207b<7c=m4;3:?>i5=m0;6)=m5;061>h4j=0:m65`24a94?"4j<09985a3c695g=6?;:;o1a0?7c32e9944?:%1a1?42=2d8n94>e:9l60>=83.8n84=549m7g2=9o10c?;8:18'7g3=:l;:328?j43n3:1(>l::376?k5e<38:76a=4583>!5e=38>96`=h::31<7*1>>54ie794?"4j<0o86`4?:%1a1?b33g9i87?4H2a:?>oc:3:1(>l::e68j6d32;1C?n74;hf2>5<#;k?1h95a3c697>N4k010ei>50;&0f0=nko0;6)=m5;f7?k5e<3?0D>m6;:k`a?6=,:h>6i:4n2`7>3=O;j307dmk:18'7g3=l=1e?o:57:J0g<=h4j=037E=l9:9j`a<72-9i97j;;o1a0??<@:i276gkc;29 6d22m>0b>l;:`9K7f?<3`ni6=4+3c79`1=i;k>1n6F!5e=3n?7c=m4;f8L6e>32co47>5$2`6>a2N4k010enm50;&0f01:J0g<=514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?65<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd4n80;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn>h<:180>5<7s-9hi7<>d:J0`==O;m:0(?N4l91/>?k52:ke0?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn>h8:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm3g:94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`0b<<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg5ai3:187>50z&0g`<5=o1C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75rb2da>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::a7ce=83?1<7>t$2af>7073A9o46F40112d2<7?4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a7cc=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190:7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb2de>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=92d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:?0;2950g=83:p(>mj:334?M5c02B8h=5Uf28a=d=:804=i1;0:7cl8:09mf=<63g;9;7>4$00;>6753g;9n7>4n01`>4=i:8<1=6`=5d82?k56k3;0b>l8:09'7f2=;jn0e<=?:188m6db2900e>li:188m4562900e>m?:188m4532900e<m=:188m6e62900e<=7:188m6dc2900e<=<:188m44b2900e<<9:188m4522900e<2900e>ll:188m6e42900en<50;&0f06n?4n2`7>4=h4j=0976gme;29 6d22j;0b>l;:298mgb=83.8n84l1:l0f1<332cio7>5$2`6>f7oei3:1(>l::b38j6d32>10enl50;&0f06n?4n2`7><=h4j=0j76gl8;29 6d22j;0b>l;:c98mf1=83.8n84l1:l0f15$2`6>f7od<3:1(>l::b38j6d32o10en=50;&0f00:9jf<<72-9i97m>;o1a0?7632en47>5$2`6>`1ib=3:1(>l::d58j6d32;10ch:50;&0f06h94n2`7>1=h4j=0>76aj1;29 6d22l=0b>l;:798k`6=83.8n84j7:l0f1<032em=7>5$2`6>`1ibn3:1(>l::d58j6d32h10chk50;&0f06h94n2`7>f=h4j=0o76ajb;29 6d22l=0b>l;:d98k`g=83.8n84j7:l0f15$2`6>`14;nfe>5<#;k?1i:5a3c6954=5<#;k?1?:l4n2`7>4=5<#;k?1?:l4n2`7>6=5<#;k?1?:l4n2`7>0=6=4+3c7972d5<#;k?1?:l4n2`7>2=5<#;k?1?:l4n2`7><=5<#;k?1?:l4n2`7>g=5<#;k?1?:l4n2`7>a=5<#;k?1?:l4n2`7>c=4;h15=?6=,:h>6>9m;o1a0?7632c8::4?:%1a1?50j2d8n94>2:9j730=83.8n84<7c9m7g2=9:10e>8::18'7g3=;>h0b>l;:068?l51<3:1(>l::25a?k5e<3;>76g<6283>!5e=39=n;?81<7*1=:54i242>5<#;k?1?:l4n2`7>4><3`9=<7>5$2`6>61e3g9i87?6;:k01c<72-9i97=8b:l0f1<6i21b?8k50;&0f0<4?k1e?o:51c98m63d290/?o;536`8j6d328i07d=:b;29 6d22:=i7c=m4;3g?>o4=h0;6)=m5;14f>h4j=0:i65f34;94?"4j<08;o5a3c695c=4;h163?6=,:h>6>9m;o1a0?4632c89;4?:%1a1?50j2d8n94=2:9j703=83.8n84<7c9m7g2=::10e>;;:18'7g3=;>h0b>l;:368?l52;3:1(>l::25a?k5e<38>76g<8383>!5e=39=n;1;1<7*1>:54i2:3>5<#;k?1?:l4n2`7>7><3`95$2`6>61e3g9i87<6;:k03`<72-9i97=8b:l0f1<5i21b?:j50;&0f0<4?k1e?o:52c98m614290/?o;536`8j6d32;i07d=98;29 6d22:=i7c=m4;0g?>o4=m0;6)=m5;14f>h4j=09i65f34094?"4j<08;o5a3c696c=5<#;k?1=4=5<#;k?1=6=5<#;k?1=0=5<#;k?1=2=6=4+3c7954c5<#;k?1=<=5<#;k?1=g=5<#;k?1=a=5<#;k?1=c=4;h33g?6=,:h>62:9j55?=83.8n84>1d9m7g2=9:10e<>7:18'7g3=98o0b>l;:068?l77?3:1(>l::03f?k5e<3;>76g>0783>!5e=3;:i6`=n99?1<7*1=:54i027>5<#;k?1=4><3`;;?7>5$2`6>47b3g9i87?6;:k247<72-9i97?>e:l0f1<6i21b==?50;&0f0<69l1e?o:51c98mc`=83.8n84>1d9m7g2=9j10ekk50;&0f0<69l1e?o:51e98mcb=83.8n84>1d9m7g2=9l10ekm50;&0f0<69l1e?o:51g98mcd=83.8n84>1d9m7g2=:910eko50;&0f0<69l1e?o:52098mc?=83.8n84>1d9m7g2=:;10ek650;&0f0<69l1e?o:52298mc1=83.8n84>1d9m7g2=:=10ek850;&0f0<69l1e?o:52498m442290/?o;510g8j6d32;<07d?=4;29 6d228;n7c=m4;04?>o6::0;6)=m5;32a>h4j=09465f13094?"4j<0:=h5a3c696<=61d9m7g2=:m10e<>?:18'7g3=98o0b>l;:3g8?l`2290/?o;510g8j6d32;l07b=;a;29 6d22:>27c=m4;28?j5303:1(>l::26:?k5e<3;07b=;7;29 6d22:>27c=m4;08?j53>3:1(>l::26:?k5e<3907b=;5;29 6d22:>27c=m4;68?j53<3:1(>l::26:?k5e<3?07b=;3;29 6d22:>27c=m4;48?j53:3:1(>l::26:?k5e<3=07b=;0;29 6d22:>27c=m4;:8?j54n3:1(>l::26:?k5e<3307b=27c=m4;c8?j54l3:1(>l::26:?k5e<3h07b=27c=m4;a8?j54j3:1(>l::26:?k5e<3n07b=27c=m4;g8?j5413:1(>l::26:?k5e<3l07b=<8;29 6d22:>27c=m4;33?>i4;>0;6)=m5;17=>h4j=0:=65`32794?"4j<08845a3c6957=6>:6;o1a0?7332e8??4?:%1a1?5312d8n94>5:9l767=83.8n84<489m7g2=9?10c>=?:18'7g3=;=30b>l;:058?j55n3:1(>l::26:?k5e<3;376a<2d83>!5e=39?56`=h;;n1<7*1=l54o20`>5<#;k?1?974n2`7>4d<3f99m7>5$2`6>62>3g9i87?l;:m06<<72-9i97=;9:l0f1<6l21d??650;&0f0<4<01e?o:51d98k640290/?o;535;8j6d328l07b==6;29 6d22:>27c=m4;03?>i4:<0;6)=m5;17=>h4j=09=65`33694?"4j<08845a3c6967=6>:6;o1a0?4332e8><4?:%1a1?5312d8n94=5:9l706=83.8n84<489m7g2=:?10c>:i:18'7g3=;=30b>l;:358?j53m3:1(>l::26:?k5e<38376a<4e83>!5e=39?56`=h;=i1<7*1>l54o26a>5<#;k?1?974n2`7>7d<3f9?=7>5$2`6>62>3g9i87b;29 6d22:;j7c=m4;28?l5613:1(>l::23b?k5e<3;07d=>8;29 6d22:;j7c=m4;08?l56?3:1(>l::23b?k5e<3907d=>6;29 6d22:;j7c=m4;68?l56=3:1(>l::23b?k5e<3?07d=>4;29 6d22:;j7c=m4;48?l56;3:1(>l::23b?k5e<3=07d?;8;29 6d228><7c=m4;28?l73>3:1(>l::064?k5e<3;07d?;5;29 6d228><7c=m4;08?l73<3:1(>l::064?k5e<3907d?;3;29 6d228><7c=m4;68?l73:3:1(>l::064?k5e<3?07d?;1;29 6d228><7c=m4;48?l7383:1(>l::064?k5e<3=07d?:1;29 6d228><7c=m4;:8?l7283:1(>l::064?k5e<3307d?;f;29 6d228><7c=m4;c8?l73m3:1(>l::064?k5e<3h07d?;d;29 6d228><7c=m4;a8?l73k3:1(>l::064?k5e<3n07d?;b;29 6d228><7c=m4;g8?l73i3:1(>l::064?k5e<3l07d?;9;29 6d228><7c=m4;33?>o6;o0;6)=m5;373>h4j=0:=65`3`f94?"4j<08mn5a3c694>=h;hh1<7*1=65`3`c94?"4j<08mn5a3c696>=h;h31<7*1?65`3`:94?"4j<08mn5a3c690>=h;h=1<7*1965`3`494?"4j<08mn5a3c692>=h;h?1<7*1;65`3`194?"4j<08mn5a3c69<>=h;h81<7*1565`3`394?"4j<08mn5a3c69e>=h;h:1<7*1n65`38d94?"4j<08mn5a3c69g>=h;0o1<7*1h65`38f94?"4j<08mn5a3c69a>=h;0i1<7*1j65`38`94?"4j<08mn5a3c6955=6>ol;o1a0?7532e85:4?:%1a1?5fk2d8n94>3:9l7<0=83.8n847::18'7g3=;hi0b>l;:078?j5><3:1(>l::2c`?k5e<3;=76a<9283>!5e=39jo6`=h;081<7*1=554o2;2>5<#;k?1?lm4n2`7>4?<3f92<7>5$2`6>6gd3g9i87?n;:m0d290/?o;53`a8j6d328n07b=7b;29 6d22:kh7c=m4;3f?>i40h0;6)=m5;1bg>h4j=0:j65`39;94?"4j<08mn5a3c6965=6>ol;o1a0?4532e84;4?:%1a1?5fk2d8n94=3:9l7=3=83.8n846;:18'7g3=;hi0b>l;:378?j5e;3:1(>l::2c`?k5e<38=76a!5e=39jo6`=h;k;1<7*1>554o2`3>5<#;k?1?lm4n2`7>7?<3f9jj7>5$2`6>6gd3g9i87290/?o;53`a8j6d32;n07b=7e;29 6d22:kh7c=m4;0f?>i40:0;6)=m5;1bg>h4j=09j65f9d83>!5e=33o7c=m4;28?l?d290/?o;59e9m7g2=921b5o4?:%1a1??c3g9i87<4;h;b>5<#;k?15i5a3c697>=n100;6)=m5;;g?k5e<3>07d77:18'7g3=1m1e?o:55:9j=2<72-9i977k;o1a0?0<3`3=6=4+3c79=a=i;k>1;65fa683>!5e=33o7c=m4;:8?lg1290/?o;59e9m7g2=121bm84?:%1a1??c3g9i87o4;hc7>5<#;k?15i5a3c69f>=ni:0;6)=m5;;g?k5e<3i07do=:18'7g3=1m1e?o:5d:9je4<72-9i977k;o1a0?c<3`k;6=4+3c79=a=i;k>1j65f9g83>!5e=33o7c=m4;33?>o>=3:1(>l::8f8j6d328;07b<:6;29 6d22;?>7c=m4;28?j42<3:1(>l::376?k5e<3;07b<:3;29 6d22;?>7c=m4;08?j42:3:1(>l::376?k5e<3907b<:1;29 6d22;?>7c=m4;68?j4283:1(>l::376?k5e<3?07b<;e;29 6d22;?>7c=m4;48?j43l3:1(>l::376?k5e<3=07b<;c;29 6d22;?>7c=m4;:8?j43j3:1(>l::376?k5e<3307b<;a;29 6d22;?>7c=m4;c8?j4313:1(>l::376?k5e<3h07b<;8;29 6d22;?>7c=m4;a8?j43?3:1(>l::376?k5e<3n07b<;6;29 6d22;?>7c=m4;g8?j43=3:1(>l::376?k5e<3l07b<;3;29 6d22;?>7c=m4;33?>i5<;0;6)=m5;061>h4j=0:=65`25394?"4j<09985a3c6957=;6=4+3c796036?;:;o1a0?7332e9?h4?:%1a1?42=2d8n94>5:9l66b=83.8n84=549m7g2=9?10c?=l:18'7g3=:l;:058?j44j3:1(>l::376?k5e<3;376a=3`83>!5e=38>96`=h:1=l54o37`>5<#;k?1>8;4n2`7>4d<3f8>n7>5$2`6>7323g9i87?l;:m11d<72-9i97<:5:l0f1<6l21d>8750;&0f0<5=<1e?o:51d98k73?290/?o;52478j6d328l07b<:7;29 6d22;?>7c=m4;03?>i5h4j=09=65`25694?"4j<09985a3c6967=5<#;k?1h95a3c694>N4k010ei=50;&0f0;I1`=>=nl;0;6)=m5;f7?k5e<380D>m6;:kg5?6=,:h>6i:4n2`7>6=O;j307dj?:18'7g3=l=1e?o:54:J0g<=h4j=0>7E=l9:9jg`<72-9i97j;;o1a0?0<@:i276gld;29 6d22m>0b>l;:69K7f?<3`nn6=4+3c79`1=i;k>146F3A9h565fdb83>!5e=3n?7c=m4;c8L6e>32con7>5$2`6>a2oc?3:1(>l::e68j6d32o1C?n74;hf5>5<#;k?1h95a3c6955=O;j307dml:18'7g3=l=1e?o:5109K7f?<3th?<<4?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:?2;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj=:86=4::183!5dm38=<6FN4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;0483>1<729q/?nk524d8L6b?3A9o<6*<1e8223?5<6=44o233>5<;:7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9>8:187>5<7s-9hi7<:f:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>{e<921<7;50;2x 6eb2;897E=k8:J0`5=#;8n1=;86;%01a?723`l?6=44i00`>5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a05d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a05b=83?1<7>t$2af>7463A9o46F=831vn9>j:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg27n3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;1183>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e<8;1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb531>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<:?7>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`751<72<0;6=u+3bg9674<@:n37E=k0:&05a<6>?30(?=831vn9?::186>5<7s-9hi7<=1:J0`==O;m:0ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f171290>6=4?{%1`a?45:2B8h55G3e28mc2=831b=?m50;9j56b=831b?n;50;9l7g>=831vn9?8:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi8<650;794?6|,:in6?<>;I1g<>N4l91bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a04?=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`75d<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb53`>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm40f94???2?8154uG3e28 6eb28<>m6Ti3;7x0c<003?;6:o57c8~mdc=83.8n84nd:l0f1<732cjo7>5$2`6>db5<#;k?1=l<4n2`7>5=5<#;k?1=l<4n2`7>7=54i0;f>5<#;k?1=l<4n2`7>1=5<#;k?1=l<4n2`7>3=5<#;k?1=l<4n2`7>==5<#;k?1=l<4n2`7>d=5<#;k?1=l<4n2`7>f=5<#;k?1=l<4n2`7>`=5<#;k?1=l<4n2`7>46<3`;2<7>5$2`6>4g53g9i87?>;:k2<`<72-9i97?n2:l0f1<6:21b=5j50;&0f0<6i;1e?o:51298m4>d290/?o;51`08j6d328>07d?7b;29 6d228k97c=m4;36?>o60h0;6)=m5;3b6>h4j=0::65f19;94?"4j<0:m?5a3c6952=632c:4;4?:%1a1?7f:2d8n94>a:9j5=3=83.8n84>a39m7g2=9k10e<6<:18'7g3=9h80b>l;:0a8?l7?:3:1(>l::0c1?k5e<3;o76g>8083>!5e=3;j>6`=n9>l1<7*1=k54i05f>5<#;k?1=l<4n2`7>76<3`;5$2`6>4g53g9i87<>;:k23f<72-9i97?n2:l0f1<5:21b=:l50;&0f0<6i;1e?o:52298m41f290/?o;51`08j6d32;>07d?89;29 6d228k97c=m4;06?>o6i00;6)=m5;3b6>h4j=09:65f1`:94?"4j<0:m?5a3c6962=632c:m84?:%1a1?7f:2d8n94=a:9j5d2=83.8n84>a39m7g2=:k10e<7n:18'7g3=9h80b>l;:3a8?l7?n3:1(>l::0c1?k5e<38o76g>8583>!5e=3;j>6`=n9>21<7*1>k54ic694?"4j<0i?6`oe:3:1(>l::c18j6d32810e>j::188m44d2900e>m9:188m45?2900c0b>l;:198k4b4290/?o;51e68j6d32810c0b>l;:398k4b6290/?o;51e68j6d32:10c0b>l;:598k4ea290/?o;51e68j6d32<10c0b>l;:798k4ec290/?o;51e68j6d32>10c0b>l;:998k4ef290/?o;51e68j6d32010c0b>l;:`98k4e?290/?o;51e68j6d32k10c0b>l;:b98k4e1290/?o;51e68j6d32m10c0b>l;:d98k4e3290/?o;51e68j6d32o10c0b>l;:028?j7d:3:1(>l::0f7?k5e<3;:76a>c183>!5e=3;o86`=h9kl1<7*1=>54o0`f>5<#;k?1=i:4n2`7>42<3f;ih7>5$2`6>4b33g9i87?:;:m2ff<72-9i97?k4:l0f1<6>21d=ol50;&0f0<6l=1e?o:51698k4df290/?o;51e68j6d328207b?m9;29 6d228n?7c=m4;3:?>i6j10;6)=m5;3g0>h4j=0:m65`1c594?"4j<0:h95a3c695g=6=4+3c795a264?:%1a1?7c<2d8n94>e:9l5g7=83.8n84>d59m7g2=9o10c0b>l;:328?j7fn3:1(>l::0f7?k5e<38:76a>ad83>!5e=3;o86`=h9hn1<7*1>>54o0c`>5<#;k?1=i:4n2`7>72<3f;jn7>5$2`6>4b33g9i87<:;:m2`g<72-9i97?k4:l0f1<5>21d=io50;&0f0<6l=1e?o:52698k4b>290/?o;51e68j6d32;207b?k8;29 6d228n?7c=m4;0:?>i6l>0;6)=m5;3g0>h4j=09m65`1e494?"4j<0:h95a3c696g=6d59m7g2=:o10c?>8:18'7g3=:9<0b>l;:198k762290/?o;52148j6d32810c?>;:18'7g3=:9<0b>l;:398k764290/?o;52148j6d32:10c?>=:18'7g3=:9<0b>l;:598k766290/?o;52148j6d32<10c?>?:18'7g3=:9<0b>l;:798k4`a290/?o;52148j6d32>10cl;:998k4`d290/?o;52148j6d32010cl;:`98k4`f290/?o;52148j6d32k10cl;:b98k4`?290/?o;52148j6d32m10cl;:d98k4`1290/?o;52148j6d32o10cl;:028?j7a<3:1(>l::325?k5e<3;:76a>f383>!5e=38;:6`=h9o;1<7*1=>54o0d3>5<#;k?1>=84n2`7>42<3f;nj7>5$2`6>7613g9i87?:;:m2a`<72-9i9721d=hj50;&0f0<58?1e?o:51698k4cd290/?o;52148j6d328207b?jb;29 6d22;:=7c=m4;3:?>i6mh0;6)=m5;032>h4j=0:m65`1d;94?"4j<09<;5a3c695g=6?>9;o1a0?7c32e:i84?:%1a1?47>2d8n94>e:9l5`5=83.8n84=079m7g2=9o10cl;:328?j7b93:1(>l::325?k5e<38:76a>e183>!5e=38;:6`=h9ml1<7*1>>54o0ff>5<#;k?1>=84n2`7>72<3f;oh7>5$2`6>7613g9i87<:;:m14a<72-9i9721d>=m50;&0f0<58?1e?o:52698k76e290/?o;52148j6d32;207bi5800;6)=m5;032>h4j=09m65`21:94?"4j<09<;5a3c696g=6?>9;o1a0?4c32e:i54?:%1a1?47>2d8n94=e:9l5ae=83.8n84=079m7g2=:o10n9?j:182>5<7s-9hi7<=7:J0`==O;m:0c?<9:188yg26n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn95<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a077=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a?4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=8?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm43794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3:?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:=7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f14?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8?750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`76d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<9n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb50`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<;n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg25n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9=?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a067=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=9?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm42794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3;?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:<7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f15?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8>750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`77d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<8n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb51`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<:n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg24n3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn9:?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a017=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=>?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm45794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:;7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f12?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi89750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`70d<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<?n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb56`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e<=n1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg23n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::1;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::5;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::9;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>m7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo::d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<>i7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg22n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:91;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:95;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:99;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=m7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:9d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<=i7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg21n3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:81;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<<>7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20;3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:85;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<<:7>5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20?3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:89;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20j3:1h7>50z&0g`<4jk1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?5>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo:8d;29`?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg20n3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7d<=9;29?l45i3:17b=>0;29?xu6nh31<7=>{_cf?823n33:70::0;;2?822933:70::2;;2?822;33:70::4;;2?822=33:70::6;;2?822?33:70::8;;2?822133:70::a;;2?822j33:70::c;;2?822l33:70::e;;2?822n33:70:90;;2?821933:70:92;;2?821;33:70:94;;2?821=33:70:96;;2?821?33:70:98;;2?821133:70:9a;;2?821j33:70:9c;;2?821l33:70:9e;;2?821n33:70:80;;2?820933:70:82;;2?820;33:70:84;;2?820=33:70:86;;2?820?33:70:88;;2?820133:70:8a;;2?820j33:70:8c;;2?820l33:70:8e;;2?xu6nhk1<7=>{_c`?823n32m70::0;:e?822932m70::2;:e?822;32m70::4;:e?822=32m70::6;:e?822?32m70::8;:e?822132m70::a;:e?822j32m70::c;:e?822l32m70::e;:e?822n32m70:90;:e?821932m70:92;:e?821;32m70:94;:e?821=32m70:96;:e?821?32m70:98;:e?821132m70:9a;:e?821j32m70:9c;:e?821l32m70:9e;:e?821n32m70:80;:e?820932m70:82;:e?820;32m70:84;:e?820=32m70:86;:e?820?32m70:88;:e?820132m70:8a;:e?820j32m70:8c;:e?820l32m70:8e;:e?xu6nhh1<75<5sW;j=63;7d827a=z{8ljh7>52z\2e5=:<>n1=>j4}r3ee`<72;qU=4h4=55:>45c3ty:jlh50;0xZ4?b34>fc194?4|V83270:87;30`>{t9oh?6=4={_3:<>;3?:0:?i5rs0da1?6=:rT:5:52467956b<27?;?4>3e9~w4`e13:1>vP>929>027=9:n0q~?ib`83>7}Y9080198k:01g?xu6nkh1<75<5sW;2<63;6d827a=z{8lih7>52z\2<`=:j4}r3ef`<72;qU=5j4=54`>45c3ty:joh50;0xZ4>d34>=n7?fb194?4|V82370:94;30`>{t9oi?6=4={_3;3>;3>?0:?i5rs0d`1?6=:rT:4;52477956b3e9~w4`d13:1>vP>809>00c=9:n0q~?ic`83>7}Y9>l0198?:01g?xu6njh1<75<5sW;52z\23f=:<j4}r3eg`<72;qU=:l4=57`>45c3ty:jnh50;0xZ41f34>>47?fe194?4|V8k<70::7;30`>{t9on?6=4={_3b2>;3=?0:?i5rs0dg1?6=:rT:m852440956b3e9~w4`c13:1>vP>859>007=9:n0q~?id`83>7}Y9>2019;?:01g?xu6nmh1<7=>{_`7?823n38:?63;518156=:<<;1><=4=571>77434>>?7<>3:?711<59:1688;5201891312;;870::7;027>;3=109=>5244;9645<5=?j6??<;<66f?46;27?9n4=129>00b=:89019;j:330?822n38:?63;618156=:<=4=541>77434>=?7<>3:?721<59:168;;5201891012;;870:97;027>;3>109=>5247;9645<5=03b=:890198j:330?821n38:?63;718156=:<>;1><=4=551>77434>3:?731<59:168:;5201891112;;870:87;027>;3?109=>5246;9645<5==j6??<;<64f?46;27?;n4=129>02b=:890199j:330?xu6nmi1<7=>{_`1?823n38:>63;518157=:<<;1><<4=571>77534>>?7<>2:?711<59;1688;5200891312;;970::7;026>;3=109=?5244;9644<5=?j6??=;<66f?46:27?9n4=139>00b=:88019;j:331?822n38:>63;618157=:<<4=541>77534>=?7<>2:?721<59;168;;5200891012;;970:97;026>;3>109=?5247;9644<5=03b=:880198j:331?821n38:>63;718157=:<>;1><<4=551>77534>2:?731<59;168:;5200891112;;970:87;026>;3?109=?5246;9644<5==j6??=;<64f?46:27?;n4=139>02b=:880199j:331?xu6nmn1<75<4:rT:>n5240d957e<5=8;6<?4>2b9>075=9;i019<;:00`?825=3;9o63;27826f=:<;=1=?m4=50;>44d34>957?=c:?76d<6:j168?l513a8914d288h70:=d;31g>;3:l0:>n5243d957e<5=9;6<2b9>065=9;i019=;:00`?824=3;9o63;37826f=:<:=1=?m4=51;>44d34>857?=c:?77d<6:j168>l513a8915d288h70:;3;l0:>n5242d957e<5=>;6<2b9>015=9;i019:;:00`?823=3;9o63;47826f=:<==1=?m4=56;>44d34>?57?=c:?70d<6:j1689l513a8912d288h70:;d;31g>;3n5246d967g:j7h;;<614?`334>9=7h;;<616?`334>9?7h;;<610?`334>997h;;<612?`334>9;7h;;<61957h;;<61e?`334>9n7h;;<61g?`334>9h7h;;<61a?`334>9j7h;;<604?`334>8=7h;;<606?`334>8?7h;;<600?`334>897h;;<602?`334>8;7h;;<60857h;;<60e?`334>8n7h;;<60g?`334>8h7h;;<60a?`334>8j7h;;<674?`334>?=7h;;<676?`334>??7h;;<670?`334>?97h;;<672?`334>?;7h;;<67?57h;;<67e?`334>?n7h;;<67g?`334>?h7h;;<67a?`334>?j7=k3:?715<4l:1688?53e1891352:n870::3;1g7>;3==08h>5244797a5<5=?=6>j<;<663?5c;27?95400?=;m9019;n:2f0?822j39o?63;5b80`6=:<6b434>>j7=k3:?725<4l:168;?53e1891052:n870:93;1g7>;3>=08h>5247797a5<5=<=6>j<;<653?5c;27?:5403?=;m90198n:2f0?821j39o?63;6b80`6=:6b434>=j7=k3:?735<4l:168:?53e1891152:n870:83;1g7>;3?=08h>5246797a5<5===6>j<;<643?5c;27?;5402?=;m90199n:2f0?820j39o?63;7b80`6=:<>n1?i=4=55f>6b43ty:jh>50;0xZ76034>?i7=m8:p5cc62909wSfd694?4|V;:970:;a;1a<>{t9oo>6=4={_035>;3<008n55rs0df2?6=:rT9<=5245:97g><6>l7;|q2b`>=838pRvP>fc9>012=;k20q~?iec83>7}Y9ok019:<:2`;?xu6nli1<75<5sW;m463;4080f==z{8lni7>52z\2b2=:<=:1?o64}r3eac<72;qU=k84=51e>6d?3ty:jk>50;0xZ4`234>8i7=m8:p5c`62909wS?i4:?77a<4j11vm53c:8yv7an:0;6?uQ1g38915e2:h37p}>fg694?4|V8l;70:{t9ol>6=4={_3fb>;3;008n55rs0de2?6=:rT:ih5242:97g>l7;|q2bc>=838pRvP>e`9>062=;k20q~?ifc83>7}Y9l3019=<:2`;?xu6noi1<75<5sW;n:63;3080f==z{8lmi7>52z\2a0=:<::1?o64}r3ebc<72;qU=h=4=50e>6d?3ty9<=>50;0xZ4c534>9i7=m8:p65662909wS?j1:?76a<4j11v?>?2;296~X6m9168?m53c:8yv478:0;6?uQ1ed8914e2:h37p}=01694?4|V8nn70:=a;1a<>{t:9:>6=4={_3g`>;3:008n55rs3232?6=:rT9l7;|q145>=838pR?>m;<612?5e02wx>=>6:181[47i27?>84vP=089>072=;k20q~7}Y:92019<<:2`;?xu589i1<75<5sW;m?63;2080f==z{;:;i7>52z\2a==:<;:1?o64}r034c<72;qU=im4=53e>6d?3ty9<<>50;a2826m389:63;4g8:7>;3<;4=573><5<5=?;64:4=573>77334>><7<>5:?714<>;27?9<464:?714<59=1688?520789135209019;=:86891352;;?70::2;021>;3=:02?63;528:0>;3=:09=9524419643<5=??64=4=577><2<5=??6??;;<660?46=27?98463:?710<><27?984=159>003=:8?019;9:818913120>019;9:337?822>38:963;568:7>;3=>02863;568151=:<<=1><;4=57;><5<5=?364:4=57;>77334>>47<>5:?71<<>;27?94464:?71<<59=1688752078913f209019;n:868913f2;;?70::a;021>;3=k02?63;5c8:0>;3=k09=95244`9643<5=?h64=4=57`><2<5=?h6??;;<66g?46=27?9i463:?71a<><27?9i4=159>00b=:8?019;j:818913b20>019;j:337?822m38:963;5g8:7>;3=o02863;5g8151=:<<;4=543><5<5=<;64:4=543>77334>=<7<>5:?724<>;27?:<464:?724<59=168;?5207891052090198=:86891052;;?70:92;021>;3>:02?63;628:0>;3>:09=9524719643<5=<2<5=<27?:84=159>033=:8?01989:818910120>01989:337?821>38:963;668:7>;3>>02863;668151=:<;4=54;><5<5=<364:4=54;>77334>=47<>5:?72<<>;27?:4464:?72<<59=168;752078910f2090198n:868910f2;;?70:9a;021>;3>k02?63;6c8:0>;3>k09=95247`9643<5=<2<5=<27?:i4=159>03b=:8?0198j:818910b20>0198j:337?821m38:963;6g8:7>;3>o02863;6g8151=:<;4=553><5<5==;64:4=553>77334><<7<>5:?734<>;27?;<464:?734<59=168:?5207891152090199=:86891152;;?70:82;021>;3?:02?63;728:0>;3?:09=9524619643<5==?64=4=557><2<5==?6??;;<640?46=27?;8463:?730<><27?;84=159>023=:8?01999:818911120>01999:337?820>38:963;768:7>;3?>02863;768151=:<>=1><;4=55;><5<5==364:4=55;>77334><47<>5:?73<<>;27?;4464:?73<<59=168:752078911f2090199n:868911f2;;?70:8a;021>;3?k02?63;7c8:0>;3?k09=95246`9643<5==h64=4=55`><2<5==h6??;;<64g?46=27?;i463:?73a<><27?;i4=159>02b=:8?0199j:818911b20>0199j:337?820m38:96s|2132>5<5s4>:j7?>2;296~;3:90:?i5244396474?:3y>077=9:n019:i:332?xu588>1<745c34>>?7<>1:p65722909w0:=3;30`>;3==09=<5rs3222?6=:r7?>94>3e9>004=:8;0q~7}:<;?1=>j4=575>7763ty9<<650;0x9141289o70::7;025>{t:9;26=4={<613?74l27?984=109~w766i3:1>v3;29827a=:<<31>=?k:181825j3;8h63;5b8154=z{;::i7>52z?76f<6;m1688j52038yv479o0;6?u243f956b<5=?i6??>;|q1476=838p195<5s4>9j7?=2;296~;3;90:?i5244g9647>4?:3y>067=9:n0198=:332?xu58;>1<745c34>=?7<>1:p65422909w0:<3;30`>;3>809=<5rs3212?6=:r7??94>3e9>033=:8;0q~7}:<:?1=>j4=545>7763ty9{t:9826=4={<603?74l27?:54=109~w765i3:1>v3;39827a=:7512f891002;;:7p}=03a94?4|5=9j6<=k;<65f?4692wx>=52z?77f<6;m168;o52038yv47:o0;6?u242f956b<5=;|q1466=838p19=j:01g?821n38:=6s|2112>5<5s4>8j7?<2;296~;3<90:?i5246396474?:3y>017=9:n0199=:332?xu58:>1<745c34><<7<>1:p65522909w0:;3;30`>;3?=09=<5rs3202?6=:r7?894>3e9>023=:8;0q~7}:<=?1=>j4=550>7763ty9<>650;0x9121289o70:87;025>{t:9926=4={<673?74l27?;54=109~w764i3:1>v3;49827a=:<><1>j6<=k;<64f?4692wx>==k:181823j3;8h63;788154=z{;:8i7>52z?70f<6;m168:j52038yv47;o0;6?u245f956b<5==n6??>;|q1416=838p19:j:01g?820k38:=6s|2162>5<49r7?8k4006=;m>019;>:2f7?822:39o863;5280`1=:<<>1?i:4=576>6b334>>:7=k4:?712<4l=1688653e68913>2:n?70::a;1g0>;3=k08h95244a97a2<5=?o6>j;;<66a?5c<27?9k4036=;m>0198>:2f7?821:39o863;6280`1=:1?i:4=546>6b334>=:7=k4:?722<4l=168;653e68910>2:n?70:9a;1g0>;3>k08h95247a97a2<5=j;;<65a?5c<27?:k4026=;m>0199>:2f7?820:39o863;7280`1=:<>>1?i:4=556>6b334><:7=k4:?732<4l=168:653e68911>2:n?70:8a;1g0>;3?k08h95246a97a2<5==o6>j;;<64a?5c<27?;k4<119~yg2?83:197>50z&0g`<5:;1C?i64H2f3?!56l3;=:45+23g950=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm49394?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj=296=4::183!5dm38=<6FN4l91/?0;29?l5d=3:17b=>0;29?j4493:17pl;8583>6<729q/?nk53e58L6b?3A9o<6*<1e8223?5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1>1290?6=4?{%1`a?42n2B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66sm49594?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7<=<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg2?13:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=2j6=4;:183!5dm38>j6Ft$2af>6b03A9o46F0;29?xd30j0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<3h7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn96j:187>5<7s-9hi7<:f:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>{e<1l1<7=50;2x 6eb2:n<7E=k8:J0`5=#;8n1=;86;h303?6=3`88<7>5;n124?6=3th?5=4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:61;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj=396=4<:183!5dm39o;6F;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<297>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`7=3<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi84950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a0t$2af>7463A9o46F=831vn97n:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg2>j3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl;9b83>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg2>l3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn97j:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a0<`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj=k96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm4`194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd3i=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo:n5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f1g1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi8l950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`7e=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j57>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb5cb>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e5;n124?6=3th?mn4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:nd;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb5cf>5<3290;w)=le;055>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m174<722wi8lh50;194?6|,:in6>j8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j666=831d?<>50;9~f1d5290?6=4?{%1`a?4192B8h55G3e28 67c28<=56g>3683>>o5990;66g>i5;80;66sm4c194?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7f1<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2e=3:187>50z&0g`<5=o1C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75rb5`5>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0g1=83>1<7>t$2af>7063A9o46F1<729q/?nk52738L6b?3A9o<6*<1e8223?5<6=44o312>5<i57>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9ln:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4c`94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`7ff<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb5`f>5<3290;w)=le;02b>N4l11C?i>4ig694?=n9;i1<75f12f94?=h;k21<75rb5`e>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::a0f6=83?1<7>t$2af>7073A9o46Fj7;I1g4>"49m0::;74i014>5<5<5<h>7>55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn9m<:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4b694?3=83:p(>mj:343?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3f88=7>5;|`7g0<72<0;6=u+3bg9636<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k6772900c?=>:188yg2d>3:197>50z&0g`<5>91C?i64H2f3?!56l3;=:45f12594?=n:8:1<75f3b794?=h;8:1<75`22394?=zj=i<6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k5149jb1<722c:>n4?::k27a<722c8o84?::m0f=<722wi8n750;194?6|,:in6>j8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l746=831d>>?50;9~f1ed290>6=4?{%1`a?4182B8h55G3e28 67c28<=56g>3683>>o5990;66g>i4990;66a=3083>>{e5;h1`1?6=3f9:<7>5;n005?6=3th?oh4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:lf;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5f3>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<o=7>55;294~"4kl09>?5G3e:8L6b73-9:h7?9689'67c=9<1bj94?::k26f<722c:?i4?::k0g0<722e8n54?::a0a4=83?1<7>t$2af>7463A9o46F=831vn9j<:186>5<7s-9hi7<90:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a<1183>>i5;80;66sm4e694?2=83:p(>mj:37e?M5c02B8h=5+30f9530>3`;8;7>5;h024?6=3`9h97>5;n124?6=3th?h84?:283>5}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo:k6;291?6=8r.8oh4=619K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=h::;1<75rb5f4>5<2290;w)=le;054>N4l11C?i>4$23g>40112c:?:4?::k155<722c8o84?::m055<722e9?<4?::a0a>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`7`<<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg2ci3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=ni6=4;:183!5dm38>j6Ft$2af>7073A9o46Fj7;I1g4>"49m0::;74i014>5<5<j?;%12`?71>01b=>950;9j666=831d?<>50;9~f1ba29086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;h1`1?6=3f9:<7>5;n005?6=3th?i<4?:583>5}#;jo1>;?4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c?=>:188yg2b:3:187>50z&0g`<59o1C?i64H2f3?l`32900e<l7:188yg2b;3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj=o?6=4<:183!5dm39o;6FN4l91/?0;29?l5d=3:17b=>0;29?xd3m?0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<n;7>54;294~"4kl09:<5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d>>?50;9~f1c?29086=4?{%1`a?5c?2B8h55G3e28 67c28<=56g>3683>>o5;90;66a<1183>>{e5;n124?6=3th?il4?:483>5}#;jo1>;>4H2f;?M5c82.8=i4>67;8m4502900e???:188m6e22900c>??:188k7562900qo:jb;290?6=8r.8oh4=609K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`22394?=zj=oh6=4;:183!5dm38==6Ft$2af>6b03A9o46F0;29?xd3ml0;684?:1y'7fc=:?:0D>j7;I1g4>"49m0::;74i014>5<5<5<nj7>53;294~"4kl08h:5G3e:8L6b73-9:h7?9689j561=831b>>>50;9l746=831vn9h?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a0c7=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<m?7>514c94?6|,:in6??8;I1g<>N4l91Qj>4m{9`964<0l3=26;o12g?75<5<5<5<5<5<5<5<5<5<h4j=0;76gl0;29 6d22j;0b>l;:098mg`=83.8n84l1:l0f1<532cii7>5$2`6>f754icf94?"4j<0h=6`oek3:1(>l::b38j6d32<10eol50;&0f06n?4n2`7>2=h4j=0376gla;29 6d22j;0b>l;:898mf?=83.8n84l1:l0f15$2`6>f7od>3:1(>l::b38j6d32m10en;50;&0f06n?4n2`7>c=h4j=0:<65fb883>!5e=3i:7c=m4;32?>ib03:1(>l::d58j6d32910ch850;&0f0;:mf1?6=,:h>6h94n2`7>7=1<7*h4j=0876aj3;29 6d22l=0b>l;:598k`4=83.8n84j7:l0f1<232en=7>5$2`6>`1ia93:1(>l::d58j6d32110ck>50;&0f06h94n2`7>d=h4j=0i76ajd;29 6d22l=0b>l;:b98k`e=83.8n84j7:l0f15$2`6>`1ib13:1(>l::d58j6d328:07bji:18'7g3=m>1e?o:51098m61d290/?o;536`8j6d32910e>9n:18'7g3=;>h0b>l;:098m61>290/?o;536`8j6d32;10e>97:18'7g3=;>h0b>l;:298m610290/?o;536`8j6d32=10e>99:18'7g3=;>h0b>l;:498m612290/?o;536`8j6d32?10e>9;:18'7g3=;>h0b>l;:698m615290/?o;536`8j6d32110e>9>:18'7g3=;>h0b>l;:898m617290/?o;536`8j6d32h10e>8i:18'7g3=;>h0b>l;:c98m60b290/?o;536`8j6d32j10e>8k:18'7g3=;>h0b>l;:e98m60d290/?o;536`8j6d32l10e>8m:18'7g3=;>h0b>l;:g98m60f290/?o;536`8j6d328:07d=99;29 6d22:=i7c=m4;32?>o4>>0;6)=m5;14f>h4j=0:>65f37494?"4j<08;o5a3c6956=6=4+3c7972d6>9m;o1a0?7232c8:>4?:%1a1?50j2d8n94>6:9j734=83.8n84<7c9m7g2=9>10e>8>:18'7g3=;>h0b>l;:0:8?l5183:1(>l::25a?k5e<3;276g<5g83>!5e=39=n;1=o54i27`>5<#;k?1?:l4n2`7>4e<3`9>n7>5$2`6>61e3g9i87?k;:k01d<72-9i97=8b:l0f1<6m21b?8750;&0f0<4?k1e?o:51g98m63?290/?o;536`8j6d32;:07d=:7;29 6d22:=i7c=m4;02?>o4=?0;6)=m5;14f>h4j=09>65f34794?"4j<08;o5a3c6966=6>9m;o1a0?4232c84?4?:%1a1?50j2d8n94=6:9j7=7=83.8n84<7c9m7g2=:>10e>6?:18'7g3=;>h0b>l;:3:8?l50n3:1(>l::25a?k5e<38276g<7d83>!5e=39=n;>n1<7*1>o54i250>5<#;k?1?:l4n2`7>7e<3`9=47>5$2`6>61e3g9i87l;:098m47d290/?o;510g8j6d32;10el;:298m47f290/?o;510g8j6d32=10el;:498m47?290/?o;510g8j6d32?10el;:698m472290/?o;510g8j6d32110el;:898m474290/?o;510g8j6d32h10el;:c98m476290/?o;510g8j6d32j10el;:e98m46a290/?o;510g8j6d32l10e<>j:18'7g3=98o0b>l;:g98m46c290/?o;510g8j6d328:07d??c;29 6d228;n7c=m4;32?>o68h0;6)=m5;32a>h4j=0:>65f11;94?"4j<0:=h5a3c6956=66:9j553=83.8n84>1d9m7g2=9>10e<>;:18'7g3=98o0b>l;:0:8?l77;3:1(>l::03f?k5e<3;276g>0383>!5e=3;:i6`=n99;1<7*1=o54igd94?"4j<0:=h5a3c695f=1=i54igf94?"4j<0:=h5a3c695`=1=k54ig`94?"4j<0:=h5a3c6965=1><54ig;94?"4j<0:=h5a3c6967=1>>54ig594?"4j<0:=h5a3c6961=1>854i006>5<#;k?1=70<3`;987>5$2`6>47b3g9i87<8;:k266<72-9i97?>e:l0f1<5021b=?<50;&0f0<69l1e?o:52898m446290/?o;510g8j6d32;k07d?=0;29 6d228;n7c=m4;0a?>o69?0;6)=m5;32a>h4j=09o65f11`94?"4j<0:=h5a3c696a=5<#;k?1=7`<3f9?m7>5$2`6>62>3g9i87>4;n176>:6;o1a0?7<3f9?;7>5$2`6>62>3g9i87<4;n172?6=,:h>6>:6;o1a0?5<3f9?97>5$2`6>62>3g9i87:4;n170?6=,:h>6>:6;o1a0?3<3f9??7>5$2`6>62>3g9i8784;n176?6=,:h>6>:6;o1a0?1<3f9?<7>5$2`6>62>3g9i8764;n10b?6=,:h>6>:6;o1a0??<3f98i7>5$2`6>62>3g9i87o4;n10`?6=,:h>6>:6;o1a0?d<3f98o7>5$2`6>62>3g9i87m4;n10f?6=,:h>6>:6;o1a0?b<3f98m7>5$2`6>62>3g9i87k4;n10=?6=,:h>6>:6;o1a0?`<3f9847>5$2`6>62>3g9i87??;:m072<72-9i97=;9:l0f1<6921d?>;50;&0f0<4<01e?o:51398k653290/?o;535;8j6d328907b=<3;29 6d22:>27c=m4;37?>i4;;0;6)=m5;17=>h4j=0:965`32394?"4j<08845a3c6953=6>:6;o1a0?7?32e8>h4?:%1a1?5312d8n94>9:9l77b=83.8n84<489m7g2=9h10c>l;:0`8?j55i3:1(>l::26:?k5e<3;h76a<2883>!5e=39?56`=h;;21<7*1=h54o204>5<#;k?1?974n2`7>4`<3f99:7>5$2`6>62>3g9i8727c=m4;07?>i4:80;6)=m5;17=>h4j=09965`34294?"4j<08845a3c6963=m6=4+3c7971?6>:6;o1a0?4?32e88i4?:%1a1?5312d8n94=9:9l71e=83.8n84<489m7g2=:h10c>:m:18'7g3=;=30b>l;:3`8?j5393:1(>l::26:?k5e<38h76a<3783>!5e=39?56`=h;;h1<7*1>h54o203>5<#;k?1?974n2`7>7`<3`9:n7>5$2`6>67f3g9i87>4;h12=?6=,:h>6>?n;o1a0?7<3`9:47>5$2`6>67f3g9i87<4;h123?6=,:h>6>?n;o1a0?5<3`9::7>5$2`6>67f3g9i87:4;h121?6=,:h>6>?n;o1a0?3<3`9:87>5$2`6>67f3g9i8784;h127?6=,:h>6>?n;o1a0?1<3`;?47>5$2`6>4203g9i87>4;h372?6=,:h>6<:8;o1a0?7<3`;?97>5$2`6>4203g9i87<4;h370?6=,:h>6<:8;o1a0?5<3`;??7>5$2`6>4203g9i87:4;h376?6=,:h>6<:8;o1a0?3<3`;?=7>5$2`6>4203g9i8784;h374?6=,:h>6<:8;o1a0?1<3`;>=7>5$2`6>4203g9i8764;h364?6=,:h>6<:8;o1a0??<3`;?j7>5$2`6>4203g9i87o4;h37a?6=,:h>6<:8;o1a0?d<3`;?h7>5$2`6>4203g9i87m4;h37g?6=,:h>6<:8;o1a0?b<3`;?n7>5$2`6>4203g9i87k4;h37e?6=,:h>6<:8;o1a0?`<3`;?57>5$2`6>4203g9i87??;:k27c<72-9i97?;7:l0f1<6921d?lj50;&0f0<4ij1e?o:50:9l7dd=83.8n84i41>0;6)=m5;1bg>h4j=0:?65`38494?"4j<08mn5a3c6951=6=4+3c797de6>ol;o1a0?7132e85>4?:%1a1?5fk2d8n94>7:9l7<4=83.8n847>:18'7g3=;hi0b>l;:0;8?j5>83:1(>l::2c`?k5e<3;j76a<8g83>!5e=39jo6`=h;1n1<7*1=n54o2:`>5<#;k?1?lm4n2`7>4b<3f93n7>5$2`6>6gd3g9i87?j;:m0?290/?o;53`a8j6d32;;07b=77;29 6d22:kh7c=m4;01?>i40?0;6)=m5;1bg>h4j=09?65`39794?"4j<08mn5a3c6961=6>ol;o1a0?4132e8n?4?:%1a1?5fk2d8n94=7:9l7g7=83.8n84l?:18'7g3=;hi0b>l;:3;8?j5fn3:1(>l::2c`?k5e<38j76a!5e=39jo6`=h;h>1<7*1>n54o2;:>5<#;k?1?lm4n2`7>7b<3f93i7>5$2`6>6gd3g9i874;h;`>5<#;k?15i5a3c695>=n1k0;6)=m5;;g?k5e<3807d7n:18'7g3=1m1e?o:53:9j=<<72-9i977k;o1a0?2<3`336=4+3c79=a=i;k>1965f9683>!5e=33o7c=m4;48?l?1290/?o;59e9m7g2=?21bm:4?:%1a1??c3g9i8764;hc5>5<#;k?15i5a3c69=>=ni<0;6)=m5;;g?k5e<3k07do;:18'7g3=1m1e?o:5b:9je6<72-9i977k;o1a0?e<3`k96=4+3c79=a=i;k>1h65fa083>!5e=33o7c=m4;g8?lg7290/?o;59e9m7g2=n21b5k4?:%1a1??c3g9i87??;:k:1?6=,:h>64j4n2`7>47<3f8>:7>5$2`6>7323g9i87>4;n060?6=,:h>6?;:;o1a0?7<3f8>?7>5$2`6>7323g9i87<4;n066?6=,:h>6?;:;o1a0?5<3f8>=7>5$2`6>7323g9i87:4;n064?6=,:h>6?;:;o1a0?3<3f8?i7>5$2`6>7323g9i8784;n07`?6=,:h>6?;:;o1a0?1<3f8?o7>5$2`6>7323g9i8764;n07f?6=,:h>6?;:;o1a0??<3f8?m7>5$2`6>7323g9i87o4;n07=?6=,:h>6?;:;o1a0?d<3f8?47>5$2`6>7323g9i87m4;n073?6=,:h>6?;:;o1a0?b<3f8?:7>5$2`6>7323g9i87k4;n071?6=,:h>6?;:;o1a0?`<3f8??7>5$2`6>7323g9i87??;:m107<72-9i97<:5:l0f1<6921d>9?50;&0f0<5=<1e?o:51398k727290/?o;52478j6d328907b<7c=m4;37?>i5;l0;6)=m5;061>h4j=0:965`22f94?"4j<09985a3c6953=6?;:;o1a0?7?32e9?l4?:%1a1?42=2d8n94>9:9l60b=83.8n84=549m7g2=9h10c?;l:18'7g3=:l;:0`8?j42j3:1(>l::376?k5e<3;h76a=5`83>!5e=38>96`=h:<31<7*1=h54o37;>5<#;k?1>8;4n2`7>4`<3f8>;7>5$2`6>7323g9i879:50;&0f0<5=<1e?o:52398k75>290/?o;52478j6d32;907dj::18'7g3=l=1e?o:50:J0g<=h4j=0:7E=l9:9j`7<72-9i97j;;o1a0?4<@:i276gk1;29 6d22m>0b>l;:29K7f?<3`n;6=4+3c79`1=i;k>186F!5e=3n?7c=m4;48L6e>32chh7>5$2`6>a2ocj3:1(>l::e68j6d32k1C?n74;hfb>5<#;k?1h95a3c69g>N4k010ei750;&0f0=nl10;6)=m5;f7?k5e<3o0D>m6;:kg3?6=,:h>6i:4n2`7>c=O;j307dj9:18'7g3=l=1e?o:5119K7f?<3`ih6=4+3c79`1=i;k>1=<5G3b;8?xd3n=0;6<;n:183!5dm38:;6F40112d2<7>4n8095>he?3;0bo651:l262<73-;947=>2:l26g<73g;8o7?4n335>4=i:m;:2ag?l7483:17d=me;29?l5en3:17d?<1;29?l5d83:17d?<4;29?l75n3:17b?=a;29?l5d:3:17d=l1;29?l7403:17d=md;29?l74;3:17d?=e;29?l75>3:17d?<5;29?l75l3:17b?=9;29?l5ek3:17d=l3;29?le5290/?o;5c09m7g2=821bo=4?:%1a1?e63g9i87?4;h`e>5<#;k?1o<5a3c696>=njl0;6)=m5;a2?k5e<3907dlk:18'7g3=k81e?o:54:9jff<72-9i97m>;o1a0?3<3`hi6=4+3c79g4=i;k>1:65fb`83>!5e=3i:7c=m4;58?lee290/?o;5c09m7g2=021bol4?:%1a1?e63g9i8774;ha:>5<#;k?1o<5a3c69e>=nk10;6)=m5;a2?k5e<3h07dm8:18'7g3=k81e?o:5c:9jg3<72-9i97m>;o1a0?b<3`i>6=4+3c79g4=i;k>1i65fc583>!5e=3i:7c=m4;d8?le4290/?o;5c09m7g2=9910eo750;&0f01:9la=<72-9i97k8;o1a0?6<3fo=6=4+3c79a2=i;k>1=65`e483>!5e=3o<7c=m4;08?jc3290/?o;5e69m7g2=;21di>4?:%1a1?c03g9i87:4;ng1>5<#;k?1i:5a3c691>=hm80;6)=m5;g4?k5e<3<07bk?:18'7g3=m>1e?o:57:9lb4<72-9i97k8;o1a0?><3fl;6=4+3c79a2=i;k>1565`eg83>!5e=3o<7c=m4;c8?jcb290/?o;5e69m7g2=j21dii4?:%1a1?c03g9i87m4;ng`>5<#;k?1i:5a3c69`>=hmk0;6)=m5;g4?k5e<3o07bkn:18'7g3=m>1e?o:5f:9la<<72-9i97k8;o1a0?7732eoj7>5$2`6>`16>9m;o1a0?6<3`95$2`6>61e3g9i87?4;h14=?6=,:h>6>9m;o1a0?4<3`9<47>5$2`6>61e3g9i87=4;h143?6=,:h>6>9m;o1a0?2<3`9<:7>5$2`6>61e3g9i87;4;h141?6=,:h>6>9m;o1a0?0<3`9<87>5$2`6>61e3g9i8794;h146?6=,:h>6>9m;o1a0?><3`9<=7>5$2`6>61e3g9i8774;h144?6=,:h>6>9m;o1a0?g<3`9=j7>5$2`6>61e3g9i87l4;h15a?6=,:h>6>9m;o1a0?e<3`9=h7>5$2`6>61e3g9i87j4;h15g?6=,:h>6>9m;o1a0?c<3`9=n7>5$2`6>61e3g9i87h4;h15e?6=,:h>6>9m;o1a0?7732c8:44?:%1a1?50j2d8n94>1:9j731=83.8n84<7c9m7g2=9;10e>89:18'7g3=;>h0b>l;:018?l51=3:1(>l::25a?k5e<3;?76g<6583>!5e=39=n;?91<7*1=;54i241>5<#;k?1?:l4n2`7>41<3`9==7>5$2`6>61e3g9i87?7;:k025<72-9i97=8b:l0f1<6121b?8h50;&0f0<4?k1e?o:51`98m63b290/?o;536`8j6d328h07d=:c;29 6d22:=i7c=m4;3`?>o4=k0;6)=m5;14f>h4j=0:h65f34c94?"4j<08;o5a3c695`=6>9m;o1a0?4732c89:4?:%1a1?50j2d8n94=1:9j700=83.8n84<7c9m7g2=:;10e>;::18'7g3=;>h0b>l;:318?l52<3:1(>l::25a?k5e<38?76g<5283>!5e=39=n;181<7*1>;54i2:2>5<#;k?1?:l4n2`7>71<3`93<7>5$2`6>61e3g9i87<7;:k03c<72-9i97=8b:l0f1<5121b?:k50;&0f0<4?k1e?o:52`98m61c290/?o;536`8j6d32;h07d=83;29 6d22:=i7c=m4;0`?>o4>10;6)=m5;14f>h4j=09h65f34f94?"4j<08;o5a3c696`=65$2`6>47b3g9i87?4;h32g?6=,:h>65$2`6>47b3g9i87=4;h32e?6=,:h>65$2`6>47b3g9i87;4;h3265$2`6>47b3g9i8794;h321?6=,:h>6<3`;:87>5$2`6>47b3g9i8774;h327?6=,:h>67>5$2`6>47b3g9i87l4;h325?6=,:h>65$2`6>47b3g9i87j4;h33b?6=,:h>65$2`6>47b3g9i87h4;h33`?6=,:h>61:9j55g=83.8n84>1d9m7g2=9;10e<>6:18'7g3=98o0b>l;:018?l7703:1(>l::03f?k5e<3;?76g>0683>!5e=3;:i6`=n99<1<7*1=;54i026>5<#;k?1=41<3`;;87>5$2`6>47b3g9i87?7;:k246<72-9i97?>e:l0f1<6121b==<50;&0f0<69l1e?o:51`98m466290/?o;510g8j6d328h07dhi:18'7g3=98o0b>l;:0a8?l`b290/?o;510g8j6d328n07dhk:18'7g3=98o0b>l;:0g8?l`d290/?o;510g8j6d328l07dhm:18'7g3=98o0b>l;:328?l`f290/?o;510g8j6d32;;07dh6:18'7g3=98o0b>l;:308?l`?290/?o;510g8j6d32;907dh8:18'7g3=98o0b>l;:368?l`1290/?o;510g8j6d32;?07d?=5;29 6d228;n7c=m4;05?>o6:=0;6)=m5;32a>h4j=09;65f13194?"4j<0:=h5a3c696==6=4?:%1a1?76m2d8n94=b:9j540=83.8n84>1d9m7g2=:j10e<>m:18'7g3=98o0b>l;:3f8?l7783:1(>l::03f?k5e<38n76gi5;29 6d228;n7c=m4;0e?>i4h4j=0;76a<4983>!5e=39?56`i4<>0;6)=m5;17=>h4j=0976a<4783>!5e=39?56`i4<<0;6)=m5;17=>h4j=0?76a<4583>!5e=39?56`i4<:0;6)=m5;17=>h4j=0=76a<4383>!5e=39?56`i4<90;6)=m5;17=>h4j=0376a<3g83>!5e=39?56`i4;l0;6)=m5;17=>h4j=0j76a<3e83>!5e=39?56`i4;j0;6)=m5;17=>h4j=0h76a<3c83>!5e=39?56`i4;h0;6)=m5;17=>h4j=0n76a<3883>!5e=39?56`i4;10;6)=m5;17=>h4j=0:<65`32594?"4j<08845a3c6954=6=4+3c7971?6>:6;o1a0?7432e8?>4?:%1a1?5312d8n94>4:9l764=83.8n84<489m7g2=9<10c>=>:18'7g3=;=30b>l;:048?j5483:1(>l::26:?k5e<3;<76a<2g83>!5e=39?56`=h;;o1<7*1=454o20g>5<#;k?1?974n2`7>4g<3f99o7>5$2`6>62>3g9i87?m;:m06d<72-9i97=;9:l0f1<6k21d??750;&0f0<4<01e?o:51e98k64?290/?o;535;8j6d328o07b==7;29 6d22:>27c=m4;3e?>i4:?0;6)=m5;17=>h4j=09<65`33794?"4j<08845a3c6964=6>:6;o1a0?4432e8>?4?:%1a1?5312d8n94=4:9l777=83.8n84<489m7g2=:<10c>;?:18'7g3=;=30b>l;:348?j53n3:1(>l::26:?k5e<38<76a<4d83>!5e=39?56`=h;=n1<7*1>454o26`>5<#;k?1?974n2`7>7g<3f9?n7>5$2`6>62>3g9i87850;&0f0<4<01e?o:52e98k64e290/?o;535;8j6d32;o07b==0;29 6d22:>27c=m4;0e?>o49k0;6)=m5;12e>h4j=0;76g<1883>!5e=39:m6`o4910;6)=m5;12e>h4j=0976g<1683>!5e=39:m6`o49?0;6)=m5;12e>h4j=0?76g<1483>!5e=39:m6`o49=0;6)=m5;12e>h4j=0=76g<1283>!5e=39:m6`o6<10;6)=m5;373>h4j=0;76g>4783>!5e=3;?;6`o6<<0;6)=m5;373>h4j=0976g>4583>!5e=3;?;6`o6<:0;6)=m5;373>h4j=0?76g>4383>!5e=3;?;6`o6<80;6)=m5;373>h4j=0=76g>4183>!5e=3;?;6`o6=80;6)=m5;373>h4j=0376g>5183>!5e=3;?;6`o6h4j=0j76g>4d83>!5e=3;?;6`o6h4j=0h76g>4b83>!5e=3;?;6`o6h4j=0n76g>4`83>!5e=3;?;6`o6<00;6)=m5;373>h4j=0:<65f12d94?"4j<0:8:5a3c6954=5<#;k?1?lm4n2`7>4=5<#;k?1?lm4n2`7>6=5<#;k?1?lm4n2`7>0=5<#;k?1?lm4n2`7>2=5<#;k?1?lm4n2`7><=5<#;k?1?lm4n2`7>g=5<#;k?1?lm4n2`7>a=5<#;k?1?lm4n2`7>c=4;n1:e?6=,:h>6>ol;o1a0?7632e8554?:%1a1?5fk2d8n94>2:9l7<1=83.8n8479:18'7g3=;hi0b>l;:068?j5>=3:1(>l::2c`?k5e<3;>76a<9583>!5e=39jo6`=h;091<7*1=:54o2;1>5<#;k?1?lm4n2`7>4><3f92=7>5$2`6>6gd3g9i87?6;:m0=5<72-9i97=nc:l0f1<6i21d?5h50;&0f0<4ij1e?o:51c98k6>c290/?o;53`a8j6d328i07b=7c;29 6d22:kh7c=m4;3g?>i40k0;6)=m5;1bg>h4j=0:i65`39c94?"4j<08mn5a3c695c=4;n1;6>ol;o1a0?4632e84:4?:%1a1?5fk2d8n94=2:9l7=0=83.8n846::18'7g3=;hi0b>l;:368?j5?<3:1(>l::2c`?k5e<38>76a!5e=39jo6`=h;k81<7*1>:54o2`2>5<#;k?1?lm4n2`7>7><3f9i<7>5$2`6>6gd3g9i87<6;:m0ec<72-9i97=nc:l0f1<5i21d?lk50;&0f0<4ij1e?o:52c98k6g3290/?o;53`a8j6d32;i07b=69;29 6d22:kh7c=m4;0g?>i40l0;6)=m5;1bg>h4j=09i65`39194?"4j<08mn5a3c696c=h4j=0;76g6c;29 6d220n0b>l;:098m5$2`6>54i8;94?"4j<02h6`o>03:1(>l::8f8j6d32<10e4950;&0f0<>l2d8n949;:k:2?6=,:h>64j4n2`7>2=h4j=0376gn6;29 6d220n0b>l;:898md3=83.8n846d:l0f15$2`6>of:3:1(>l::8f8j6d32m10el?50;&0f0<>l2d8n94j;:kb4?6=,:h>64j4n2`7>c=h4j=0:<65f9483>!5e=33o7c=m4;32?>i5=?0;6)=m5;061>h4j=0;76a=5583>!5e=38>96`i5=:0;6)=m5;061>h4j=0976a=5383>!5e=38>96`i5=80;6)=m5;061>h4j=0?76a=5183>!5e=38>96`i5h4j=0=76a=4e83>!5e=38>96`i5h4j=0376a=4c83>!5e=38>96`i5h4j=0j76a=4883>!5e=38>96`i5<10;6)=m5;061>h4j=0h76a=4683>!5e=38>96`i5h4j=0n76a=4483>!5e=38>96`i5<:0;6)=m5;061>h4j=0:<65`25094?"4j<09985a3c6954=:6=4+3c796036?;:;o1a0?7432e9?k4?:%1a1?42=2d8n94>4:9l66c=83.8n84=549m7g2=9<10c?=k:18'7g3=:l;:048?j44k3:1(>l::376?k5e<3;<76a=3c83>!5e=38>96`=h::k1<7*1=454o37g>5<#;k?1>8;4n2`7>4g<3f8>o7>5$2`6>7323g9i87?m;:m11g<72-9i97<:5:l0f1<6k21d>8o50;&0f0<5=<1e?o:51e98k73>290/?o;52478j6d328o07b<:8;29 6d22;?>7c=m4;3e?>i5=>0;6)=m5;061>h4j=09<65`25d94?"4j<09985a3c6964=?6=4+3c796036?;:;o1a0?4432co97>5$2`6>a2oc83:1(>l::e68j6d32=1C?n74;hae>5<#;k?1h95a3c691>N4k010enk50;&0f0=nkm0;6)=m5;f7?k5e<3=0D>m6;:kga?6=,:h>6i:4n2`7>==O;j307djk:18'7g3=l=1e?o:59:J0g<=h4j=0j7E=l9:9j`g<72-9i97j;;o1a0?d<@:i276gka;29 6d22m>0b>l;:b9K7f?<3`n26=4+3c79`1=i;k>1h6F=83.8n84k4:l0f1!5e=3n?7c=m4;d8L6e>32co:7>5$2`6>a24H2a:?>odk3:1(>l::e68j6d328;0D>m6;:a0c3=83;>m7>50z&0g`<59>1C?i64H2f3?_`42kq3n7<>:6f93<<6l3;h6:957b82a?7e2<;1q)=>d;352<=i190;7c7=:09mf2<63gh36<5a13594>"6:108=?5a13`94>h6;j0:7c<>6;38j73b281e?l4?::k0g7<722c8o<4?::k27=<722c8ni4?::k276<722c:>h4?::k263<722c:?84?::k26a<722e:>44?::k0ff<722c8o>4?::k`6?6=,:h>6n?4n2`7>5=h4j=0:76gmf;29 6d22j;0b>l;:398mgc=83.8n84l1:l0f1<432cih7>5$2`6>f7oej3:1(>l::b38j6d32?10eoo50;&0f06n?4n2`7>==h4j=0276gl9;29 6d22j;0b>l;:`98mf>=83.8n84l1:l0f15$2`6>f7od=3:1(>l::b38j6d32l10en:50;&0f06n?4n2`7>46<3`h26=4+3c79g4=i;k>1=<54od:94?"4j<0n;6`ib>3:1(>l::d58j6d32810ch;50;&0f06h94n2`7>6=h4j=0?76aj2;29 6d22l=0b>l;:498k`7=83.8n84j7:l0f1<132en<7>5$2`6>`1ia83:1(>l::d58j6d32010chh50;&0f06h94n2`7>g=h4j=0h76ajc;29 6d22l=0b>l;:e98k`d=83.8n84j7:l0f15$2`6>`1=hlo0;6)=m5;g4?k5e<3;:76g<7b83>!5e=39o4?h0;6)=m5;14f>h4j=0:76g<7883>!5e=39o4?10;6)=m5;14f>h4j=0876g<7683>!5e=39o4??0;6)=m5;14f>h4j=0>76g<7483>!5e=39o4?=0;6)=m5;14f>h4j=0<76g<7383>!5e=39o4?80;6)=m5;14f>h4j=0276g<7183>!5e=39o4>o0;6)=m5;14f>h4j=0i76g<6d83>!5e=39o4>m0;6)=m5;14f>h4j=0o76g<6b83>!5e=39o4>k0;6)=m5;14f>h4j=0m76g<6`83>!5e=39=n;?31<7*1=<54i244>5<#;k?1?:l4n2`7>44<3`9=:7>5$2`6>61e3g9i87?<;:k020<72-9i97=8b:l0f1<6<21b?;:50;&0f0<4?k1e?o:51498m604290/?o;536`8j6d328<07d=92;29 6d22:=i7c=m4;34?>o4>80;6)=m5;14f>h4j=0:465f37294?"4j<08;o5a3c695<=6>9m;o1a0?7e32c89n4?:%1a1?50j2d8n94>c:9j70d=83.8n84<7c9m7g2=9m10e>;n:18'7g3=;>h0b>l;:0g8?l5213:1(>l::25a?k5e<3;m76g<5983>!5e=39=n;<=1<7*1><54i275>5<#;k?1?:l4n2`7>74<3`9>97>5$2`6>61e3g9i87<<;:k011<72-9i97=8b:l0f1<5<21b?8=50;&0f0<4?k1e?o:52498m6>5290/?o;536`8j6d32;<07d=71;29 6d22:=i7c=m4;04?>o4090;6)=m5;14f>h4j=09465f36d94?"4j<08;o5a3c696<=6>9m;o1a0?4e32c8;>4?:%1a1?50j2d8n94=c:9j73>=83.8n84<7c9m7g2=:m10e>;k:18'7g3=;>h0b>l;:3g8?l52:3:1(>l::25a?k5e<38m76g>1g83>!5e=3;:i6`o69m0;6)=m5;32a>h4j=0:76g>1b83>!5e=3;:i6`o69k0;6)=m5;32a>h4j=0876g>1`83>!5e=3;:i6`o6900;6)=m5;32a>h4j=0>76g>1983>!5e=3;:i6`o69>0;6)=m5;32a>h4j=0<76g>1483>!5e=3;:i6`o69=0;6)=m5;32a>h4j=0276g>1283>!5e=3;:i6`o69;0;6)=m5;32a>h4j=0i76g>1083>!5e=3;:i6`o6990;6)=m5;32a>h4j=0o76g>0g83>!5e=3;:i6`o68l0;6)=m5;32a>h4j=0m76g>0e83>!5e=3;:i6`=n99i1<7*1=<54i02b>5<#;k?1=44<3`;;57>5$2`6>47b3g9i87?<;:k24=<72-9i97?>e:l0f1<6<21b==950;&0f0<69l1e?o:51498m461290/?o;510g8j6d328<07d??5;29 6d228;n7c=m4;34?>o68=0;6)=m5;32a>h4j=0:465f11194?"4j<0:=h5a3c695<=65$2`6>47b3g9i87?l;:kea?6=,:h>65$2`6>47b3g9i87?j;:keg?6=,:h>65$2`6>47b3g9i8765$2`6>47b3g9i87<=;:ke65$2`6>47b3g9i87<;;:ke2?6=,:h>684?:%1a1?76m2d8n94=6:9j572=83.8n84>1d9m7g2=:>10e<<<:18'7g3=98o0b>l;:3:8?l75:3:1(>l::03f?k5e<38276g>2083>!5e=3;:i6`=n9;:1<7*1>o54i035>5<#;k?1=7e<3`;;n7>5$2`6>47b3g9i87e:l0f1<5m21bj84?:%1a1?76m2d8n94=f:9l71g=83.8n84<489m7g2=821d?9650;&0f0<4<01e?o:51:9l711=83.8n84<489m7g2=:21d?9850;&0f0<4<01e?o:53:9l713=83.8n84<489m7g2=<21d?9:50;&0f0<4<01e?o:55:9l715=83.8n84<489m7g2=>21d?9<50;&0f0<4<01e?o:57:9l716=83.8n84<489m7g2=021d?>h50;&0f0<4<01e?o:59:9l76c=83.8n84<489m7g2=i21d?>j50;&0f0<4<01e?o:5b:9l76e=83.8n84<489m7g2=k21d?>l50;&0f0<4<01e?o:5d:9l76g=83.8n84<489m7g2=m21d?>750;&0f0<4<01e?o:5f:9l76>=83.8n84<489m7g2=9910c>=8:18'7g3=;=30b>l;:038?j54=3:1(>l::26:?k5e<3;976a<3583>!5e=39?56`=h;:91<7*1=954o211>5<#;k?1?974n2`7>43<3f98=7>5$2`6>62>3g9i87?9;:m075<72-9i97=;9:l0f1<6?21d??h50;&0f0<4<01e?o:51998k64b290/?o;535;8j6d328307b==d;29 6d22:>27c=m4;3b?>i4:j0;6)=m5;17=>h4j=0:n65`33c94?"4j<08845a3c695f=6>:6;o1a0?7b32e8>:4?:%1a1?5312d8n94>f:9l770=83.8n84<489m7g2=:910c><::18'7g3=;=30b>l;:338?j55<3:1(>l::26:?k5e<38976a<2283>!5e=39?56`=h;;81<7*1>954o202>5<#;k?1?974n2`7>73<3f9><7>5$2`6>62>3g9i87<9;:m00c<72-9i97=;9:l0f1<5?21d?9k50;&0f0<4<01e?o:52998k62c290/?o;535;8j6d32;307b=;c;29 6d22:>27c=m4;0b?>i4h4j=09n65`35394?"4j<08845a3c696f=6>:6;o1a0?4b32e8>=4?:%1a1?5312d8n94=f:9j74d=83.8n84<1`9m7g2=821b?<750;&0f0<49h1e?o:51:9j74>=83.8n84<1`9m7g2=:21b?<950;&0f0<49h1e?o:53:9j740=83.8n84<1`9m7g2=<21b?<;50;&0f0<49h1e?o:55:9j742=83.8n84<1`9m7g2=>21b?<=50;&0f0<49h1e?o:57:9j51>=83.8n84>469m7g2=821b=9850;&0f0<6<>1e?o:51:9j513=83.8n84>469m7g2=:21b=9:50;&0f0<6<>1e?o:53:9j515=83.8n84>469m7g2=<21b=9<50;&0f0<6<>1e?o:55:9j517=83.8n84>469m7g2=>21b=9>50;&0f0<6<>1e?o:57:9j507=83.8n84>469m7g2=021b=8>50;&0f0<6<>1e?o:59:9j51`=83.8n84>469m7g2=i21b=9k50;&0f0<6<>1e?o:5b:9j51b=83.8n84>469m7g2=k21b=9m50;&0f0<6<>1e?o:5d:9j51d=83.8n84>469m7g2=m21b=9o50;&0f0<6<>1e?o:5f:9j51?=83.8n84>469m7g2=9910e<=i:18'7g3=9==0b>l;:038?j5fl3:1(>l::2c`?k5e<3:07b=nb;29 6d22:kh7c=m4;38?j5fi3:1(>l::2c`?k5e<3807b=n9;29 6d22:kh7c=m4;18?j5f03:1(>l::2c`?k5e<3>07b=n7;29 6d22:kh7c=m4;78?j5f>3:1(>l::2c`?k5e<3<07b=n5;29 6d22:kh7c=m4;58?j5f;3:1(>l::2c`?k5e<3207b=n2;29 6d22:kh7c=m4;;8?j5f93:1(>l::2c`?k5e<3k07b=n0;29 6d22:kh7c=m4;`8?j5>n3:1(>l::2c`?k5e<3i07b=6e;29 6d22:kh7c=m4;f8?j5>l3:1(>l::2c`?k5e<3o07b=6c;29 6d22:kh7c=m4;d8?j5>j3:1(>l::2c`?k5e<3;;76a<9`83>!5e=39jo6`=h;021<7*1=?54o2;4>5<#;k?1?lm4n2`7>45<3f92:7>5$2`6>6gd3g9i87?;;:m0=0<72-9i97=nc:l0f1<6=21d?4:50;&0f0<4ij1e?o:51798k6?4290/?o;53`a8j6d328=07b=62;29 6d22:kh7c=m4;3;?>i4180;6)=m5;1bg>h4j=0:565`38294?"4j<08mn5a3c695d=6>ol;o1a0?7d32e84n4?:%1a1?5fk2d8n94>d:9l7=d=83.8n846n:18'7g3=;hi0b>l;:0d8?j5?13:1(>l::2c`?k5e<38;76a<8983>!5e=39jo6`=h;1=1<7*1>?54o2:5>5<#;k?1?lm4n2`7>75<3f9397>5$2`6>6gd3g9i87<;;:m0<1<72-9i97=nc:l0f1<5=21d?o=50;&0f0<4ij1e?o:52798k6d5290/?o;53`a8j6d32;=07b=m1;29 6d22:kh7c=m4;0;?>i4j90;6)=m5;1bg>h4j=09565`3`d94?"4j<08mn5a3c696d=6>ol;o1a0?4d32e8544?:%1a1?5fk2d8n94=d:9l7=c=83.8n846<:18'7g3=;hi0b>l;:3d8?l?b290/?o;59e9m7g2=821b5n4?:%1a1??c3g9i87?4;h;a>5<#;k?15i5a3c696>=n1h0;6)=m5;;g?k5e<3907d76:18'7g3=1m1e?o:54:9j==<72-9i977k;o1a0?3<3`3<6=4+3c79=a=i;k>1:65f9783>!5e=33o7c=m4;58?lg0290/?o;59e9m7g2=021bm;4?:%1a1??c3g9i8774;hc6>5<#;k?15i5a3c69e>=ni=0;6)=m5;;g?k5e<3h07do<:18'7g3=1m1e?o:5c:9je7<72-9i977k;o1a0?b<3`k:6=4+3c79=a=i;k>1i65fa183>!5e=33o7c=m4;d8?l?a290/?o;59e9m7g2=9910e4;50;&0f0<>l2d8n94>1:9l600=83.8n84=549m7g2=821d>8:50;&0f0<5=<1e?o:51:9l605=83.8n84=549m7g2=:21d>8<50;&0f0<5=<1e?o:53:9l607=83.8n84=549m7g2=<21d>8>50;&0f0<5=<1e?o:55:9l61c=83.8n84=549m7g2=>21d>9j50;&0f0<5=<1e?o:57:9l61e=83.8n84=549m7g2=021d>9l50;&0f0<5=<1e?o:59:9l61g=83.8n84=549m7g2=i21d>9750;&0f0<5=<1e?o:5b:9l61>=83.8n84=549m7g2=k21d>9950;&0f0<5=<1e?o:5d:9l610=83.8n84=549m7g2=m21d>9;50;&0f0<5=<1e?o:5f:9l615=83.8n84=549m7g2=9910c?:=:18'7g3=:l;:038?j4393:1(>l::376?k5e<3;976a=4183>!5e=38>96`=h::l1<7*1=954o31f>5<#;k?1>8;4n2`7>43<3f88h7>5$2`6>7323g9i87?9;:m17f<72-9i97<:5:l0f1<6?21d>>l50;&0f0<5=<1e?o:51998k75f290/?o;52478j6d328307b<:d;29 6d22;?>7c=m4;3b?>i5=j0;6)=m5;061>h4j=0:n65`24`94?"4j<09985a3c695f=6?;:;o1a0?7b32e9954?:%1a1?42=2d8n94>f:9l601=83.8n84=549m7g2=:910c?:i:18'7g3=:l;:338?j43<3:1(>l::376?k5e<38976a=3883>!5e=38>96`=nl<0;6)=m5;f7?k5e<3:0D>m6;:kg7?6=,:h>6i:4n2`7>4=O;j307dj=:18'7g3=l=1e?o:52:J0g<=h4j=087E=l9:9j`5<72-9i97j;;o1a0?2<@:i276glf;29 6d22m>0b>l;:49K7f?<3`in6=4+3c79`1=i;k>1:6F!5e=3n?7c=m4;:8L6e>32coh7>5$2`6>a2oc13:1(>l::e68j6d32m1C?n74;hf;>5<#;k?1h95a3c69a>N4k010ei950;&0f0=nl?0;6)=m5;f7?k5e<3;;7E=l9:9jgf<72-9i97j;;o1a0?763A9h565rb5d5>5<6=h0;6=u+3bg9641<@:n37E=k0:Xe7?d|0k09=79k:6;95a<6k3=<6:m51d82f?362t.8=i4>67;8j<6=82d2>7?4nc595>he03;0b<<8:19'57>=;880b<;o06a?7"4k=08oi5f12294?=n;ko1<75f3cd94?=n9:;1<75f3b294?=n9:>1<75f13d94?=h9;k1<75f3b094?=n;j;1<75f12:94?=n;kn1<75f12194?=n9;o1<75f13494?=n9:?1<75f13f94?=h9;31<75f3ca94?=n;j91<75fc383>!5e=3i:7c=m4;28?le7290/?o;5c09m7g2=921bnk4?:%1a1?e63g9i87<4;h`f>5<#;k?1o<5a3c697>=njm0;6)=m5;a2?k5e<3>07dll:18'7g3=k81e?o:55:9jfg<72-9i97m>;o1a0?0<3`hj6=4+3c79g4=i;k>1;65fcc83>!5e=3i:7c=m4;:8?lef290/?o;5c09m7g2=121bo44?:%1a1?e63g9i87o4;ha;>5<#;k?1o<5a3c69f>=nk>0;6)=m5;a2?k5e<3i07dm9:18'7g3=k81e?o:5d:9jg0<72-9i97m>;o1a0?c<3`i?6=4+3c79g4=i;k>1j65fc283>!5e=3i:7c=m4;33?>oe13:1(>l::b38j6d328;07bk7:18'7g3=m>1e?o:50:9la3<72-9i97k8;o1a0?7<3fo>6=4+3c79a2=i;k>1>65`e583>!5e=3o<7c=m4;18?jc4290/?o;5e69m7g2=<21di?4?:%1a1?c03g9i87;4;ng2>5<#;k?1i:5a3c692>=hm90;6)=m5;g4?k5e<3=07bh>:18'7g3=m>1e?o:58:9lb5<72-9i97k8;o1a0??<3fom6=4+3c79a2=i;k>1m65`ed83>!5e=3o<7c=m4;`8?jcc290/?o;5e69m7g2=k21din4?:%1a1?c03g9i87j4;nga>5<#;k?1i:5a3c69a>=hmh0;6)=m5;g4?k5e<3l07bk6:18'7g3=m>1e?o:51198ka`=83.8n84j7:l0f1<6921b?:m50;&0f0<4?k1e?o:50:9j72g=83.8n84<7c9m7g2=921b?:750;&0f0<4?k1e?o:52:9j72>=83.8n84<7c9m7g2=;21b?:950;&0f0<4?k1e?o:54:9j720=83.8n84<7c9m7g2==21b?:;50;&0f0<4?k1e?o:56:9j722=83.8n84<7c9m7g2=?21b?:<50;&0f0<4?k1e?o:58:9j727=83.8n84<7c9m7g2=121b?:>50;&0f0<4?k1e?o:5a:9j73`=83.8n84<7c9m7g2=j21b?;k50;&0f0<4?k1e?o:5c:9j73b=83.8n84<7c9m7g2=l21b?;m50;&0f0<4?k1e?o:5e:9j73d=83.8n84<7c9m7g2=n21b?;o50;&0f0<4?k1e?o:51198m60>290/?o;536`8j6d328;07d=97;29 6d22:=i7c=m4;31?>o4>?0;6)=m5;14f>h4j=0:?65f37794?"4j<08;o5a3c6951=6>9m;o1a0?7132c8:?4?:%1a1?50j2d8n94>7:9j737=83.8n84<7c9m7g2=9110e>8?:18'7g3=;>h0b>l;:0;8?l52n3:1(>l::25a?k5e<3;j76g<5d83>!5e=39=n;1=n54i27a>5<#;k?1?:l4n2`7>4b<3`9>m7>5$2`6>61e3g9i87?j;:k01<<72-9i97=8b:l0f1<6n21b?8650;&0f0<4?k1e?o:52198m630290/?o;536`8j6d32;;07d=:6;29 6d22:=i7c=m4;01?>o4=<0;6)=m5;14f>h4j=09?65f34694?"4j<08;o5a3c6961=6>9m;o1a0?4132c84<4?:%1a1?50j2d8n94=7:9j7=6=83.8n84<7c9m7g2=:110e>9i:18'7g3=;>h0b>l;:3;8?l50m3:1(>l::25a?k5e<38j76g<7e83>!5e=39=n;>91<7*1>n54i24;>5<#;k?1?:l4n2`7>7b<3`9>h7>5$2`6>61e3g9i871d9m7g2=921b=1d9m7g2=;21b=1d9m7g2==21b=<650;&0f0<69l1e?o:56:9j541=83.8n84>1d9m7g2=?21b=<;50;&0f0<69l1e?o:58:9j542=83.8n84>1d9m7g2=121b=<=50;&0f0<69l1e?o:5a:9j544=83.8n84>1d9m7g2=j21b=1d9m7g2=l21b==h50;&0f0<69l1e?o:5e:9j55c=83.8n84>1d9m7g2=n21b==j50;&0f0<69l1e?o:51198m46d290/?o;510g8j6d328;07d??a;29 6d228;n7c=m4;31?>o6800;6)=m5;32a>h4j=0:?65f11:94?"4j<0:=h5a3c6951=67:9j552=83.8n84>1d9m7g2=9110e<><:18'7g3=98o0b>l;:0;8?l77:3:1(>l::03f?k5e<3;j76g>0083>!5e=3;:i6`=nno0;6)=m5;32a>h4j=0:o65ffd83>!5e=3;:i6`=nnm0;6)=m5;32a>h4j=0:i65ffb83>!5e=3;:i6`=nnk0;6)=m5;32a>h4j=09<65ff`83>!5e=3;:i6`=nn00;6)=m5;32a>h4j=09>65ff983>!5e=3;:i6`=nn>0;6)=m5;32a>h4j=09865ff783>!5e=3;:i6`=n9;?1<7*1>;54i007>5<#;k?1=71<3`;9?7>5$2`6>47b3g9i87<7;:k267<72-9i97?>e:l0f1<5121b=??50;&0f0<69l1e?o:52`98m447290/?o;510g8j6d32;h07d?>6;29 6d228;n7c=m4;0`?>o68k0;6)=m5;32a>h4j=09h65f11294?"4j<0:=h5a3c696`=1>k54o26b>5<#;k?1?974n2`7>5=36=4+3c7971?5<#;k?1?974n2`7>7==6=4+3c7971?54o266>5<#;k?1?974n2`7>1=?6=4+3c7971?5<#;k?1?974n2`7>3=96=4+3c7971?5<#;k?1?974n2`7>==5<#;k?1?974n2`7>d=5<#;k?1?974n2`7>f=5<#;k?1?974n2`7>`=5<#;k?1?974n2`7>46<3f98;7>5$2`6>62>3g9i87?>;:m070<72-9i97=;9:l0f1<6:21d?>:50;&0f0<4<01e?o:51298k654290/?o;535;8j6d328>07b=<2;29 6d22:>27c=m4;36?>i4;80;6)=m5;17=>h4j=0::65`32294?"4j<08845a3c6952=6>:6;o1a0?7>32e8>i4?:%1a1?5312d8n94>a:9l77e=83.8n84<489m7g2=9k10c>l;:0a8?j5513:1(>l::26:?k5e<3;o76a<2983>!5e=39?56`=h;;=1<7*1=k54o205>5<#;k?1?974n2`7>76<3f9997>5$2`6>62>3g9i87<>;:m061<72-9i97=;9:l0f1<5:21d??=50;&0f0<4<01e?o:52298k645290/?o;535;8j6d32;>07b==1;29 6d22:>27c=m4;06?>i4=90;6)=m5;17=>h4j=09:65`35d94?"4j<08845a3c6962=n6=4+3c7971?6>:6;o1a0?4>32e88n4?:%1a1?5312d8n94=a:9l71d=83.8n84<489m7g2=:k10c>:>:18'7g3=;=30b>l;:3a8?j54>3:1(>l::26:?k5e<38o76a<2c83>!5e=39?56`=h;;:1<7*1>k54i23a>5<#;k?1?5=5<#;k?1?7=54i235>5<#;k?1?1=6=4+3c7974g5<#;k?1?3=5<#;k?1=994n2`7>5==6=4+3c795115<#;k?1=994n2`7>7=?6=4+3c7951154i060>5<#;k?1=994n2`7>1=96=4+3c795115<#;k?1=994n2`7>3=;6=4+3c795115<#;k?1=994n2`7>==5<#;k?1=994n2`7>d=n6=4+3c795115<#;k?1=994n2`7>f=h6=4+3c795115<#;k?1=994n2`7>`=j6=4+3c795115<#;k?1=994n2`7>46<3`;8j7>5$2`6>4203g9i87?>;:m0ea<72-9i97=nc:l0f1<732e8mo4?:%1a1?5fk2d8n94>;:m0ed<72-9i97=nc:l0f1<532e8m44?:%1a1?5fk2d8n94<;:m0e=<72-9i97=nc:l0f1<332e8m:4?:%1a1?5fk2d8n94:;:m0e3<72-9i97=nc:l0f1<132e8m84?:%1a1?5fk2d8n948;:m0e6<72-9i97=nc:l0f1i41?0;6)=m5;1bg>h4j=0:865`38794?"4j<08mn5a3c6950=6>ol;o1a0?7032e85?4?:%1a1?5fk2d8n94>8:9l7<7=83.8n847?:18'7g3=;hi0b>l;:0c8?j5?n3:1(>l::2c`?k5e<3;i76a<8e83>!5e=39jo6`=h;1i1<7*1=i54o2:a>5<#;k?1?lm4n2`7>4c<3f93m7>5$2`6>6gd3g9i87?i;:m0<<<72-9i97=nc:l0f1<5821d?5650;&0f0<4ij1e?o:52098k6>0290/?o;53`a8j6d32;807b=76;29 6d22:kh7c=m4;00?>i40<0;6)=m5;1bg>h4j=09865`39694?"4j<08mn5a3c6960=6>ol;o1a0?4032e8n<4?:%1a1?5fk2d8n94=8:9l7g6=83.8n84oi:18'7g3=;hi0b>l;:3c8?j5fm3:1(>l::2c`?k5e<38i76a!5e=39jo6`=h;031<7*1>i54o2:f>5<#;k?1?lm4n2`7>7c<3f93?7>5$2`6>6gd3g9i8764j4n2`7>5=h4j=0:76g6b;29 6d220n0b>l;:398m5$2`6>o>?3:1(>l::8f8j6d32?10e4850;&0f0<>l2d8n948;:kb3?6=,:h>64j4n2`7>==h4j=0276gn5;29 6d220n0b>l;:`98md2=83.8n846d:l0f15$2`6>of93:1(>l::8f8j6d32l10el>50;&0f0<>l2d8n94i;:k:b?6=,:h>64j4n2`7>46<3`3>6=4+3c79=a=i;k>1=<54o375>5<#;k?1>8;4n2`7>5=5<#;k?1>8;4n2`7>7=54o372>5<#;k?1>8;4n2`7>1=5<#;k?1>8;4n2`7>3=o6=4+3c796035<#;k?1>8;4n2`7>==i6=4+3c796035<#;k?1>8;4n2`7>d=26=4+3c796035<#;k?1>8;4n2`7>f=<6=4+3c796035<#;k?1>8;4n2`7>`=>6=4+3c796035<#;k?1>8;4n2`7>46<3f8?>7>5$2`6>7323g9i87?>;:m104<72-9i97<:5:l0f1<6:21d>9>50;&0f0<5=<1e?o:51298k75a290/?o;52478j6d328>07b<7c=m4;36?>i5;m0;6)=m5;061>h4j=0::65`22a94?"4j<09985a3c6952=6?;:;o1a0?7>32e99i4?:%1a1?42=2d8n94>a:9l60e=83.8n84=549m7g2=9k10c?;m:18'7g3=:l;:0a8?j42i3:1(>l::376?k5e<3;o76a=5883>!5e=38>96`=h:<21<7*1=k54o374>5<#;k?1>8;4n2`7>76<3f8?j7>5$2`6>7323g9i87<>;:m101<72-9i97<:5:l0f1<5:21d>>750;&0f0<5=<1e?o:52298ma3=83.8n84k4:l0f1<73A9h565fd283>!5e=3n?7c=m4;38L6e>32co>7>5$2`6>a2odm3:1(>l::e68j6d32?1C?n74;hag>5<#;k?1h95a3c693>N4k010eik50;&0f0=nlm0;6)=m5;f7?k5e<330D>m6;:kgg?6=,:h>6i:4n2`7>d=O;j307djm:18'7g3=l=1e?o:5b:J0g<=h4j=0h7E=l9:9j`<<72-9i97j;;o1a0?b<@:i276gk8;29 6d22m>0b>l;:d9K7f?<3`n<6=4+3c79`1=i;k>1j6FN4k010qo:i7;2950g=83:p(>mj:334?M5c02B8h=5Uf28a=d=:805=i1;0:7cl8:09mf=<63g;9;7>4$00;>6753g;9n7>4n01`>4=i:8<1=6`=5d82?k56k3;0b>l8:09'7f2=;jn0e<=?:188m6db2900e>li:188m4562900e>m?:188m4532900e<m=:188m6e62900e<=7:188m6dc2900e<=<:188m44b2900e<<9:188m4522900e<2900e>ll:188m6e42900en<50;&0f06n?4n2`7>4=h4j=0976gme;29 6d22j;0b>l;:298mgb=83.8n84l1:l0f1<332cio7>5$2`6>f7oei3:1(>l::b38j6d32>10enl50;&0f06n?4n2`7><=h4j=0j76gl8;29 6d22j;0b>l;:c98mf1=83.8n84l1:l0f15$2`6>f7od<3:1(>l::b38j6d32o10en=50;&0f00:9jf<<72-9i97m>;o1a0?7632en47>5$2`6>`1ib=3:1(>l::d58j6d32;10ch:50;&0f06h94n2`7>1=h4j=0>76aj1;29 6d22l=0b>l;:798k`6=83.8n84j7:l0f1<032em=7>5$2`6>`1ibn3:1(>l::d58j6d32h10chk50;&0f06h94n2`7>f=h4j=0o76ajb;29 6d22l=0b>l;:d98k`g=83.8n84j7:l0f15$2`6>`14;nfe>5<#;k?1i:5a3c6954=5<#;k?1?:l4n2`7>4=5<#;k?1?:l4n2`7>6=5<#;k?1?:l4n2`7>0=6=4+3c7972d5<#;k?1?:l4n2`7>2=5<#;k?1?:l4n2`7><=5<#;k?1?:l4n2`7>g=5<#;k?1?:l4n2`7>a=5<#;k?1?:l4n2`7>c=4;h15=?6=,:h>6>9m;o1a0?7632c8::4?:%1a1?50j2d8n94>2:9j730=83.8n84<7c9m7g2=9:10e>8::18'7g3=;>h0b>l;:068?l51<3:1(>l::25a?k5e<3;>76g<6283>!5e=39=n;?81<7*1=:54i242>5<#;k?1?:l4n2`7>4><3`9=<7>5$2`6>61e3g9i87?6;:k01c<72-9i97=8b:l0f1<6i21b?8k50;&0f0<4?k1e?o:51c98m63d290/?o;536`8j6d328i07d=:b;29 6d22:=i7c=m4;3g?>o4=h0;6)=m5;14f>h4j=0:i65f34;94?"4j<08;o5a3c695c=4;h163?6=,:h>6>9m;o1a0?4632c89;4?:%1a1?50j2d8n94=2:9j703=83.8n84<7c9m7g2=::10e>;;:18'7g3=;>h0b>l;:368?l52;3:1(>l::25a?k5e<38>76g<8383>!5e=39=n;1;1<7*1>:54i2:3>5<#;k?1?:l4n2`7>7><3`95$2`6>61e3g9i87<6;:k03`<72-9i97=8b:l0f1<5i21b?:j50;&0f0<4?k1e?o:52c98m614290/?o;536`8j6d32;i07d=98;29 6d22:=i7c=m4;0g?>o4=m0;6)=m5;14f>h4j=09i65f34094?"4j<08;o5a3c696c=5<#;k?1=4=5<#;k?1=6=5<#;k?1=0=5<#;k?1=2=6=4+3c7954c5<#;k?1=<=5<#;k?1=g=5<#;k?1=a=5<#;k?1=c=4;h33g?6=,:h>62:9j55?=83.8n84>1d9m7g2=9:10e<>7:18'7g3=98o0b>l;:068?l77?3:1(>l::03f?k5e<3;>76g>0783>!5e=3;:i6`=n99?1<7*1=:54i027>5<#;k?1=4><3`;;?7>5$2`6>47b3g9i87?6;:k247<72-9i97?>e:l0f1<6i21b==?50;&0f0<69l1e?o:51c98mc`=83.8n84>1d9m7g2=9j10ekk50;&0f0<69l1e?o:51e98mcb=83.8n84>1d9m7g2=9l10ekm50;&0f0<69l1e?o:51g98mcd=83.8n84>1d9m7g2=:910eko50;&0f0<69l1e?o:52098mc?=83.8n84>1d9m7g2=:;10ek650;&0f0<69l1e?o:52298mc1=83.8n84>1d9m7g2=:=10ek850;&0f0<69l1e?o:52498m442290/?o;510g8j6d32;<07d?=4;29 6d228;n7c=m4;04?>o6::0;6)=m5;32a>h4j=09465f13094?"4j<0:=h5a3c696<=61d9m7g2=:m10e<>?:18'7g3=98o0b>l;:3g8?l`2290/?o;510g8j6d32;l07b=;a;29 6d22:>27c=m4;28?j5303:1(>l::26:?k5e<3;07b=;7;29 6d22:>27c=m4;08?j53>3:1(>l::26:?k5e<3907b=;5;29 6d22:>27c=m4;68?j53<3:1(>l::26:?k5e<3?07b=;3;29 6d22:>27c=m4;48?j53:3:1(>l::26:?k5e<3=07b=;0;29 6d22:>27c=m4;:8?j54n3:1(>l::26:?k5e<3307b=27c=m4;c8?j54l3:1(>l::26:?k5e<3h07b=27c=m4;a8?j54j3:1(>l::26:?k5e<3n07b=27c=m4;g8?j5413:1(>l::26:?k5e<3l07b=<8;29 6d22:>27c=m4;33?>i4;>0;6)=m5;17=>h4j=0:=65`32794?"4j<08845a3c6957=6>:6;o1a0?7332e8??4?:%1a1?5312d8n94>5:9l767=83.8n84<489m7g2=9?10c>=?:18'7g3=;=30b>l;:058?j55n3:1(>l::26:?k5e<3;376a<2d83>!5e=39?56`=h;;n1<7*1=l54o20`>5<#;k?1?974n2`7>4d<3f99m7>5$2`6>62>3g9i87?l;:m06<<72-9i97=;9:l0f1<6l21d??650;&0f0<4<01e?o:51d98k640290/?o;535;8j6d328l07b==6;29 6d22:>27c=m4;03?>i4:<0;6)=m5;17=>h4j=09=65`33694?"4j<08845a3c6967=6>:6;o1a0?4332e8><4?:%1a1?5312d8n94=5:9l706=83.8n84<489m7g2=:?10c>:i:18'7g3=;=30b>l;:358?j53m3:1(>l::26:?k5e<38376a<4e83>!5e=39?56`=h;=i1<7*1>l54o26a>5<#;k?1?974n2`7>7d<3f9?=7>5$2`6>62>3g9i87b;29 6d22:;j7c=m4;28?l5613:1(>l::23b?k5e<3;07d=>8;29 6d22:;j7c=m4;08?l56?3:1(>l::23b?k5e<3907d=>6;29 6d22:;j7c=m4;68?l56=3:1(>l::23b?k5e<3?07d=>4;29 6d22:;j7c=m4;48?l56;3:1(>l::23b?k5e<3=07d?;8;29 6d228><7c=m4;28?l73>3:1(>l::064?k5e<3;07d?;5;29 6d228><7c=m4;08?l73<3:1(>l::064?k5e<3907d?;3;29 6d228><7c=m4;68?l73:3:1(>l::064?k5e<3?07d?;1;29 6d228><7c=m4;48?l7383:1(>l::064?k5e<3=07d?:1;29 6d228><7c=m4;:8?l7283:1(>l::064?k5e<3307d?;f;29 6d228><7c=m4;c8?l73m3:1(>l::064?k5e<3h07d?;d;29 6d228><7c=m4;a8?l73k3:1(>l::064?k5e<3n07d?;b;29 6d228><7c=m4;g8?l73i3:1(>l::064?k5e<3l07d?;9;29 6d228><7c=m4;33?>o6;o0;6)=m5;373>h4j=0:=65`3`f94?"4j<08mn5a3c694>=h;hh1<7*1=65`3`c94?"4j<08mn5a3c696>=h;h31<7*1?65`3`:94?"4j<08mn5a3c690>=h;h=1<7*1965`3`494?"4j<08mn5a3c692>=h;h?1<7*1;65`3`194?"4j<08mn5a3c69<>=h;h81<7*1565`3`394?"4j<08mn5a3c69e>=h;h:1<7*1n65`38d94?"4j<08mn5a3c69g>=h;0o1<7*1h65`38f94?"4j<08mn5a3c69a>=h;0i1<7*1j65`38`94?"4j<08mn5a3c6955=6>ol;o1a0?7532e85:4?:%1a1?5fk2d8n94>3:9l7<0=83.8n847::18'7g3=;hi0b>l;:078?j5><3:1(>l::2c`?k5e<3;=76a<9283>!5e=39jo6`=h;081<7*1=554o2;2>5<#;k?1?lm4n2`7>4?<3f92<7>5$2`6>6gd3g9i87?n;:m0d290/?o;53`a8j6d328n07b=7b;29 6d22:kh7c=m4;3f?>i40h0;6)=m5;1bg>h4j=0:j65`39;94?"4j<08mn5a3c6965=6>ol;o1a0?4532e84;4?:%1a1?5fk2d8n94=3:9l7=3=83.8n846;:18'7g3=;hi0b>l;:378?j5e;3:1(>l::2c`?k5e<38=76a!5e=39jo6`=h;k;1<7*1>554o2`3>5<#;k?1?lm4n2`7>7?<3f9jj7>5$2`6>6gd3g9i87290/?o;53`a8j6d32;n07b=7e;29 6d22:kh7c=m4;0f?>i40:0;6)=m5;1bg>h4j=09j65f9d83>!5e=33o7c=m4;28?l?d290/?o;59e9m7g2=921b5o4?:%1a1??c3g9i87<4;h;b>5<#;k?15i5a3c697>=n100;6)=m5;;g?k5e<3>07d77:18'7g3=1m1e?o:55:9j=2<72-9i977k;o1a0?0<3`3=6=4+3c79=a=i;k>1;65fa683>!5e=33o7c=m4;:8?lg1290/?o;59e9m7g2=121bm84?:%1a1??c3g9i87o4;hc7>5<#;k?15i5a3c69f>=ni:0;6)=m5;;g?k5e<3i07do=:18'7g3=1m1e?o:5d:9je4<72-9i977k;o1a0?c<3`k;6=4+3c79=a=i;k>1j65f9g83>!5e=33o7c=m4;33?>o>=3:1(>l::8f8j6d328;07b<:6;29 6d22;?>7c=m4;28?j42<3:1(>l::376?k5e<3;07b<:3;29 6d22;?>7c=m4;08?j42:3:1(>l::376?k5e<3907b<:1;29 6d22;?>7c=m4;68?j4283:1(>l::376?k5e<3?07b<;e;29 6d22;?>7c=m4;48?j43l3:1(>l::376?k5e<3=07b<;c;29 6d22;?>7c=m4;:8?j43j3:1(>l::376?k5e<3307b<;a;29 6d22;?>7c=m4;c8?j4313:1(>l::376?k5e<3h07b<;8;29 6d22;?>7c=m4;a8?j43?3:1(>l::376?k5e<3n07b<;6;29 6d22;?>7c=m4;g8?j43=3:1(>l::376?k5e<3l07b<;3;29 6d22;?>7c=m4;33?>i5<;0;6)=m5;061>h4j=0:=65`25394?"4j<09985a3c6957=;6=4+3c796036?;:;o1a0?7332e9?h4?:%1a1?42=2d8n94>5:9l66b=83.8n84=549m7g2=9?10c?=l:18'7g3=:l;:058?j44j3:1(>l::376?k5e<3;376a=3`83>!5e=38>96`=h:1=l54o37`>5<#;k?1>8;4n2`7>4d<3f8>n7>5$2`6>7323g9i87?l;:m11d<72-9i97<:5:l0f1<6l21d>8750;&0f0<5=<1e?o:51d98k73?290/?o;52478j6d328l07b<:7;29 6d22;?>7c=m4;03?>i5h4j=09=65`25694?"4j<09985a3c6967=5<#;k?1h95a3c694>N4k010ei=50;&0f0;I1`=>=nl;0;6)=m5;f7?k5e<380D>m6;:kg5?6=,:h>6i:4n2`7>6=O;j307dj?:18'7g3=l=1e?o:54:J0g<=h4j=0>7E=l9:9jg`<72-9i97j;;o1a0?0<@:i276gld;29 6d22m>0b>l;:69K7f?<3`nn6=4+3c79`1=i;k>146F3A9h565fdb83>!5e=3n?7c=m4;c8L6e>32con7>5$2`6>a2oc?3:1(>l::e68j6d32o1C?n74;hf5>5<#;k?1h95a3c6955=O;j307dml:18'7g3=l=1e?o:5109K7f?<3th?j54?:07b>5<7s-9hi7<>7:J0`==O;m:0Vk=5bz:a>77=?m0<57?k:0a932<0k3;n6h6:>0;7)?=8;126>h6:k0;7c?8k51:l05f<63g9i;7?4$2a7>6ec3`;8<7>5;h1aa?6=3`9ij7>5;h305?6=3`9h<7>5;h300?6=3`;9j7>5;n31e?6=3`9h>7>5;h1`5?6=3`;847>5;h1a`?6=3`;8?7>5;h31a?6=3`;9:7>5;h301?6=3`;9h7>5;n31=?6=3`9io7>5;h1`7?6=3`i96=4+3c79g4=i;k>1<65fc183>!5e=3i:7c=m4;38?lda290/?o;5c09m7g2=:21bnh4?:%1a1?e63g9i87=4;h`g>5<#;k?1o<5a3c690>=njj0;6)=m5;a2?k5e<3?07dlm:18'7g3=k81e?o:56:9jfd<72-9i97m>;o1a0?1<3`ii6=4+3c79g4=i;k>1465fc`83>!5e=3i:7c=m4;;8?le>290/?o;5c09m7g2=i21bo54?:%1a1?e63g9i87l4;ha4>5<#;k?1o<5a3c69g>=nk?0;6)=m5;a2?k5e<3n07dm::18'7g3=k81e?o:5e:9jg1<72-9i97m>;o1a0?`<3`i86=4+3c79g4=i;k>1==54ic;94?"4j<0h=6`=hm10;6)=m5;g4?k5e<3:07bk9:18'7g3=m>1e?o:51:9la0<72-9i97k8;o1a0?4<3fo?6=4+3c79a2=i;k>1?65`e283>!5e=3o<7c=m4;68?jc5290/?o;5e69m7g2==21di<4?:%1a1?c03g9i8784;ng3>5<#;k?1i:5a3c693>=hn80;6)=m5;g4?k5e<3207bh?:18'7g3=m>1e?o:59:9lac<72-9i97k8;o1a0?g<3fon6=4+3c79a2=i;k>1n65`ee83>!5e=3o<7c=m4;a8?jcd290/?o;5e69m7g2=l21dio4?:%1a1?c03g9i87k4;ngb>5<#;k?1i:5a3c69b>=hm00;6)=m5;g4?k5e<3;;76akf;29 6d22l=0b>l;:038?l50k3:1(>l::25a?k5e<3:07d=8a;29 6d22:=i7c=m4;38?l5013:1(>l::25a?k5e<3807d=88;29 6d22:=i7c=m4;18?l50?3:1(>l::25a?k5e<3>07d=86;29 6d22:=i7c=m4;78?l50=3:1(>l::25a?k5e<3<07d=84;29 6d22:=i7c=m4;58?l50:3:1(>l::25a?k5e<3207d=81;29 6d22:=i7c=m4;;8?l5083:1(>l::25a?k5e<3k07d=9f;29 6d22:=i7c=m4;`8?l51m3:1(>l::25a?k5e<3i07d=9d;29 6d22:=i7c=m4;f8?l51k3:1(>l::25a?k5e<3o07d=9b;29 6d22:=i7c=m4;d8?l51i3:1(>l::25a?k5e<3;;76g<6883>!5e=39=n;?=1<7*1=?54i245>5<#;k?1?:l4n2`7>45<3`9=97>5$2`6>61e3g9i87?;;:k021<72-9i97=8b:l0f1<6=21b?;=50;&0f0<4?k1e?o:51798m605290/?o;536`8j6d328=07d=91;29 6d22:=i7c=m4;3;?>o4>90;6)=m5;14f>h4j=0:565f34d94?"4j<08;o5a3c695d=6>9m;o1a0?7d32c89o4?:%1a1?50j2d8n94>d:9j70g=83.8n84<7c9m7g2=9l10e>;6:18'7g3=;>h0b>l;:0d8?l5203:1(>l::25a?k5e<38;76g<5683>!5e=39=n;<<1<7*1>?54i276>5<#;k?1?:l4n2`7>75<3`9>87>5$2`6>61e3g9i87<;;:k016<72-9i97=8b:l0f1<5=21b?5<50;&0f0<4?k1e?o:52798m6>6290/?o;536`8j6d32;=07d=70;29 6d22:=i7c=m4;0;?>o4?o0;6)=m5;14f>h4j=09565f36g94?"4j<08;o5a3c696d=6>9m;o1a0?4d32c8:54?:%1a1?50j2d8n94=d:9j70b=83.8n84<7c9m7g2=:l10e>;=:18'7g3=;>h0b>l;:3d8?l76n3:1(>l::03f?k5e<3:07d?>d;29 6d228;n7c=m4;38?l76k3:1(>l::03f?k5e<3807d?>b;29 6d228;n7c=m4;18?l76i3:1(>l::03f?k5e<3>07d?>9;29 6d228;n7c=m4;78?l7603:1(>l::03f?k5e<3<07d?>7;29 6d228;n7c=m4;58?l76=3:1(>l::03f?k5e<3207d?>4;29 6d228;n7c=m4;;8?l76;3:1(>l::03f?k5e<3k07d?>2;29 6d228;n7c=m4;`8?l7693:1(>l::03f?k5e<3i07d?>0;29 6d228;n7c=m4;f8?l77n3:1(>l::03f?k5e<3o07d??e;29 6d228;n7c=m4;d8?l77l3:1(>l::03f?k5e<3;;76g>0b83>!5e=3;:i6`=n99k1<7*1=?54i02:>5<#;k?1=45<3`;;47>5$2`6>47b3g9i87?;;:k242<72-9i97?>e:l0f1<6=21b==850;&0f0<69l1e?o:51798m462290/?o;510g8j6d328=07d??4;29 6d228;n7c=m4;3;?>o68:0;6)=m5;32a>h4j=0:565f11094?"4j<0:=h5a3c695d=5<#;k?1=4e<3`ln6=4+3c7954c5<#;k?1=4c<3`lh6=4+3c7954c5<#;k?1=76<3`lj6=4+3c7954c5<#;k?1=74<3`l36=4+3c7954c5<#;k?1=72<3`l=6=4+3c7954c694?:%1a1?76m2d8n94=7:9j575=83.8n84>1d9m7g2=:110e<<=:18'7g3=98o0b>l;:3;8?l7593:1(>l::03f?k5e<38j76g>2183>!5e=3;:i6`=n98<1<7*1>n54i02a>5<#;k?1=7b<3`;;<7>5$2`6>47b3g9i8764?:%1a1?5312d8n949;:m007<72-9i97=;9:l0f1<032e88=4?:%1a1?5312d8n947;:m07c<72-9i97=;9:l0f1<>32e8?h4?:%1a1?5312d8n94n;:m07a<72-9i97=;9:l0f10:9l761=83.8n84<489m7g2=9810c>=::18'7g3=;=30b>l;:008?j54<3:1(>l::26:?k5e<3;876a<3283>!5e=39?56`=h;:81<7*1=854o212>5<#;k?1?974n2`7>40<3f98<7>5$2`6>62>3g9i87?8;:m06c<72-9i97=;9:l0f1<6021d??k50;&0f0<4<01e?o:51898k64c290/?o;535;8j6d328k07b==c;29 6d22:>27c=m4;3a?>i4:h0;6)=m5;17=>h4j=0:o65`33;94?"4j<08845a3c695a=6>:6;o1a0?7a32e8>;4?:%1a1?5312d8n94=0:9l773=83.8n84<489m7g2=:810c><;:18'7g3=;=30b>l;:308?j55;3:1(>l::26:?k5e<38876a<2383>!5e=39?56`=h;;;1<7*1>854o273>5<#;k?1?974n2`7>70<3f9?j7>5$2`6>62>3g9i87<8;:m00`<72-9i97=;9:l0f1<5021d?9j50;&0f0<4<01e?o:52898k62d290/?o;535;8j6d32;k07b=;b;29 6d22:>27c=m4;0a?>i4<80;6)=m5;17=>h4j=09o65`32494?"4j<08845a3c696a=6>:6;o1a0?4a32c8=o4?:%1a1?56i2d8n94?;:k05<<72-9i97=>a:l0f1<632c8=54?:%1a1?56i2d8n94=;:k052<72-9i97=>a:l0f1<432c8=;4?:%1a1?56i2d8n94;;:k050<72-9i97=>a:l0f1<232c8=94?:%1a1?56i2d8n949;:k056<72-9i97=>a:l0f1<032c:854?:%1a1?73?2d8n94?;:k203<72-9i97?;7:l0f1<632c:884?:%1a1?73?2d8n94=;:k201<72-9i97?;7:l0f1<432c:8>4?:%1a1?73?2d8n94;;:k207<72-9i97?;7:l0f1<232c:8<4?:%1a1?73?2d8n949;:k205<72-9i97?;7:l0f1<032c:9<4?:%1a1?73?2d8n947;:k215<72-9i97?;7:l0f1<>32c:8k4?:%1a1?73?2d8n94n;:k20`<72-9i97?;7:l0f10:9j56`=83.8n84>469m7g2=9810c>ok:18'7g3=;hi0b>l;:198k6ge290/?o;53`a8j6d32810c>on:18'7g3=;hi0b>l;:398k6g>290/?o;53`a8j6d32:10c>o7:18'7g3=;hi0b>l;:598k6g0290/?o;53`a8j6d32<10c>o9:18'7g3=;hi0b>l;:798k6g2290/?o;53`a8j6d32>10c>o<:18'7g3=;hi0b>l;:998k6g5290/?o;53`a8j6d32010c>o>:18'7g3=;hi0b>l;:`98k6g7290/?o;53`a8j6d32k10c>7i:18'7g3=;hi0b>l;:b98k6?b290/?o;53`a8j6d32m10c>7k:18'7g3=;hi0b>l;:d98k6?d290/?o;53`a8j6d32o10c>7m:18'7g3=;hi0b>l;:028?j5>i3:1(>l::2c`?k5e<3;:76a<9983>!5e=39jo6`=h;0=1<7*1=>54o2;5>5<#;k?1?lm4n2`7>42<3f9297>5$2`6>6gd3g9i87?:;:m0=1<72-9i97=nc:l0f1<6>21d?4=50;&0f0<4ij1e?o:51698k6?5290/?o;53`a8j6d328207b=61;29 6d22:kh7c=m4;3:?>i4190;6)=m5;1bg>h4j=0:m65`39d94?"4j<08mn5a3c695g=6>ol;o1a0?7c32e84o4?:%1a1?5fk2d8n94>e:9l7=g=83.8n8466:18'7g3=;hi0b>l;:328?j5?03:1(>l::2c`?k5e<38:76a<8683>!5e=39jo6`=h;1<1<7*1>>54o2:6>5<#;k?1?lm4n2`7>72<3f9387>5$2`6>6gd3g9i87<:;:m0f6<72-9i97=nc:l0f1<5>21d?o<50;&0f0<4ij1e?o:52698k6d6290/?o;53`a8j6d32;207b=m0;29 6d22:kh7c=m4;0:?>i4io0;6)=m5;1bg>h4j=09m65`3`g94?"4j<08mn5a3c696g=6>ol;o1a0?4c32e84h4?:%1a1?5fk2d8n94=e:9l7=5=83.8n84l2d8n94?;:k:g?6=,:h>64j4n2`7>4=h4j=0976g6a;29 6d220n0b>l;:298m5$2`6>o>>3:1(>l::8f8j6d32>10el950;&0f0<>l2d8n947;:kb2?6=,:h>64j4n2`7><=h4j=0j76gn4;29 6d220n0b>l;:c98md5=83.8n846d:l0f17>5$2`6>of83:1(>l::8f8j6d32o10e4h50;&0f0<>l2d8n94>0:9j=0<72-9i977k;o1a0?7632e99;4?:%1a1?42=2d8n94?;:m111<72-9i97<:5:l0f1<632e99>4?:%1a1?42=2d8n94=;:m117<72-9i97<:5:l0f1<432e99<4?:%1a1?42=2d8n94;;:m115<72-9i97<:5:l0f1<232e98h4?:%1a1?42=2d8n949;:m10a<72-9i97<:5:l0f1<032e98n4?:%1a1?42=2d8n947;:m10g<72-9i97<:5:l0f1<>32e98l4?:%1a1?42=2d8n94n;:m10<<72-9i97<:5:l0f14?:%1a1?42=2d8n94>0:9l614=83.8n84=549m7g2=9810c?:>:18'7g3=:l;:008?j4383:1(>l::376?k5e<3;876a=3g83>!5e=38>96`=h::o1<7*1=854o31g>5<#;k?1>8;4n2`7>40<3f88o7>5$2`6>7323g9i87?8;:m17g<72-9i97<:5:l0f1<6021d>>o50;&0f0<5=<1e?o:51898k73c290/?o;52478j6d328k07b<:c;29 6d22;?>7c=m4;3a?>i5=k0;6)=m5;061>h4j=0:o65`24c94?"4j<09985a3c695a=6?;:;o1a0?7a32e99:4?:%1a1?42=2d8n94=0:9l61`=83.8n84=549m7g2=:810c?:;:18'7g3=:l;:308?j4413:1(>l::376?k5e<38876gk5;29 6d22m>0b>l;:19K7f?<3`n86=4+3c79`1=i;k>1=6F!5e=3n?7c=m4;18L6e>32co<7>5$2`6>a2ocm3:1(>l::e68j6d3211C?n74;hfg>5<#;k?1h95a3c69=>N4k010eim50;&0f0=nlk0;6)=m5;f7?k5e<3h0D>m6;:kge?6=,:h>6i:4n2`7>f=O;j307dj6:18'7g3=l=1e?o:5d:J0g<=h4j=0n7E=l9:9j`2<72-9i97j;;o1a0?`<@:i276gk6;29 6d22m>0b>l;:028L6e>32cho7>5$2`6>a2{e2b=?00:h7?l:6593f<6m3;i68?5}%12`?71>01e5=4?;o;1>4=ij>0:7cl7:09m571=82.:>54<139m57d=82d:?n4>;o022?7h4j>0:7)=l4;1``>o6;90;66g>o4jo0;66g>3083>>o4k90;66g>3583>>o6:o0;66a>2`83>>o4k;0;66g>o6;10;66g>o6;:0;66g>2d83>>o6:?0;66g>3483>>o6:m0;66a>2883>>o4jj0;66g>od:3:1(>l::b38j6d32910en>50;&0f0;:kab?6=,:h>6n?4n2`7>7=h4j=0876gmd;29 6d22j;0b>l;:598mge=83.8n84l1:l0f1<232cin7>5$2`6>f7odj3:1(>l::b38j6d32110eno50;&0f06n?4n2`7>d=h4j=0i76gl7;29 6d22j;0b>l;:b98mf0=83.8n84l1:l0f15$2`6>f7od;3:1(>l::b38j6d328:07dl6:18'7g3=k81e?o:51098k`>=83.8n84j7:l0f1<732en:7>5$2`6>`1ib<3:1(>l::d58j6d32:10ch=50;&0f06h94n2`7>0=h4j=0=76aj0;29 6d22l=0b>l;:698kc7=83.8n84j7:l0f15$2`6>`1ibm3:1(>l::d58j6d32k10chj50;&0f06h94n2`7>a=h4j=0n76aja;29 6d22l=0b>l;:g98k`?=83.8n84j7:l0f1<6821dhk4?:%1a1?c03g9i87?>;:k03f<72-9i97=8b:l0f1<732c8;l4?:%1a1?50j2d8n94>;:k03<<72-9i97=8b:l0f1<532c8;54?:%1a1?50j2d8n94<;:k032<72-9i97=8b:l0f1<332c8;;4?:%1a1?50j2d8n94:;:k030<72-9i97=8b:l0f1<132c8;94?:%1a1?50j2d8n948;:k037<72-9i97=8b:l0f1o4><0;6)=m5;14f>h4j=0:865f37694?"4j<08;o5a3c6950=6>9m;o1a0?7032c8:<4?:%1a1?50j2d8n94>8:9j736=83.8n84<7c9m7g2=9010e>;i:18'7g3=;>h0b>l;:0c8?l52m3:1(>l::25a?k5e<3;i76g<5b83>!5e=39=n;1=i54i27b>5<#;k?1?:l4n2`7>4c<3`9>57>5$2`6>61e3g9i87?i;:k01=<72-9i97=8b:l0f1<5821b?8950;&0f0<4?k1e?o:52098m631290/?o;536`8j6d32;807d=:5;29 6d22:=i7c=m4;00?>o4==0;6)=m5;14f>h4j=09865f34194?"4j<08;o5a3c6960=6>9m;o1a0?4032c84=4?:%1a1?50j2d8n94=8:9j72`=83.8n84<7c9m7g2=:010e>9j:18'7g3=;>h0b>l;:3c8?l50l3:1(>l::25a?k5e<38i76g<7283>!5e=39=n;?21<7*1>i54i27g>5<#;k?1?:l4n2`7>7c<3`9>>7>5$2`6>61e3g9i87e:l0f1<732c:=i4?:%1a1?76m2d8n94>;:k25f<72-9i97?>e:l0f1<532c:=o4?:%1a1?76m2d8n94<;:k25d<72-9i97?>e:l0f1<332c:=44?:%1a1?76m2d8n94:;:k25=<72-9i97?>e:l0f1<132c:=:4?:%1a1?76m2d8n948;:k250<72-9i97?>e:l0f1e:l0f1e:l0f1e:l0f1e:l0f1<6821b==m50;&0f0<69l1e?o:51098m46f290/?o;510g8j6d328807d??9;29 6d228;n7c=m4;30?>o6810;6)=m5;32a>h4j=0:865f11594?"4j<0:=h5a3c6950=68:9j555=83.8n84>1d9m7g2=9010e<>=:18'7g3=98o0b>l;:0c8?l7793:1(>l::03f?k5e<3;i76gif;29 6d228;n7c=m4;3`?>oam3:1(>l::03f?k5e<3;o76gid;29 6d228;n7c=m4;3f?>oak3:1(>l::03f?k5e<3;m76gib;29 6d228;n7c=m4;03?>oai3:1(>l::03f?k5e<38:76gi9;29 6d228;n7c=m4;01?>oa03:1(>l::03f?k5e<38876gi7;29 6d228;n7c=m4;07?>oa>3:1(>l::03f?k5e<38>76g>2483>!5e=3;:i6`=n9;>1<7*1>:54i000>5<#;k?1=7><3`;9>7>5$2`6>47b3g9i87<6;:k264<72-9i97?>e:l0f1<5i21b=?>50;&0f0<69l1e?o:52c98m471290/?o;510g8j6d32;i07d??b;29 6d228;n7c=m4;0g?>o6890;6)=m5;32a>h4j=09i65ff483>!5e=3;:i6`=h;=k1<7*1<65`35:94?"4j<08845a3c695>=h;==1<7*1>65`35494?"4j<08845a3c697>=h;=?1<7*1865`35694?"4j<08845a3c691>=h;=91<7*1:65`35094?"4j<08845a3c693>=h;=:1<7*1465`32d94?"4j<08845a3c69=>=h;:o1<7*1m65`32f94?"4j<08845a3c69f>=h;:i1<7*1o65`32`94?"4j<08845a3c69`>=h;:k1<7*1i65`32;94?"4j<08845a3c69b>=h;:21<7*1==54o214>5<#;k?1?974n2`7>47<3f9897>5$2`6>62>3g9i87?=;:m071<72-9i97=;9:l0f1<6;21d?>=50;&0f0<4<01e?o:51598k655290/?o;535;8j6d328?07b=<1;29 6d22:>27c=m4;35?>i4;90;6)=m5;17=>h4j=0:;65`33d94?"4j<08845a3c695==6>:6;o1a0?7f32e8>n4?:%1a1?5312d8n94>b:9l77g=83.8n84<489m7g2=9j10c><6:18'7g3=;=30b>l;:0f8?j5503:1(>l::26:?k5e<3;n76a<2683>!5e=39?56`=h;;<1<7*1>=54o206>5<#;k?1?974n2`7>77<3f9987>5$2`6>62>3g9i87<=;:m066<72-9i97=;9:l0f1<5;21d??<50;&0f0<4<01e?o:52598k646290/?o;535;8j6d32;?07b=:0;29 6d22:>27c=m4;05?>i4h4j=09;65`35g94?"4j<08845a3c696==o6=4+3c7971?6>:6;o1a0?4f32e88o4?:%1a1?5312d8n94=b:9l717=83.8n84<489m7g2=:j10c>=9:18'7g3=;=30b>l;:3f8?j55j3:1(>l::26:?k5e<38n76a<2183>!5e=39?56`=n;8h1<7*1<65f30;94?"4j<08=l5a3c695>=n;821<7*1>65f30594?"4j<08=l5a3c697>=n;8<1<7*1865f30794?"4j<08=l5a3c691>=n;8>1<7*1:65f30194?"4j<08=l5a3c693>=n9=21<7*1<65f15494?"4j<0:8:5a3c695>=n9=?1<7*1>65f15694?"4j<0:8:5a3c697>=n9=91<7*1865f15094?"4j<0:8:5a3c691>=n9=;1<7*1:65f15294?"4j<0:8:5a3c693>=n9<;1<7*1465f14294?"4j<0:8:5a3c69=>=n9=l1<7*1m65f15g94?"4j<0:8:5a3c69f>=n9=n1<7*1o65f15a94?"4j<0:8:5a3c69`>=n9=h1<7*1i65f15c94?"4j<0:8:5a3c69b>=n9=31<7*1==54i01e>5<#;k?1=994n2`7>47<3f9jh7>5$2`6>6gd3g9i87>4;n1bf?6=,:h>6>ol;o1a0?7<3f9jm7>5$2`6>6gd3g9i87<4;n1b=?6=,:h>6>ol;o1a0?5<3f9j47>5$2`6>6gd3g9i87:4;n1b3?6=,:h>6>ol;o1a0?3<3f9j:7>5$2`6>6gd3g9i8784;n1b1?6=,:h>6>ol;o1a0?1<3f9j?7>5$2`6>6gd3g9i8764;n1b6?6=,:h>6>ol;o1a0??<3f9j=7>5$2`6>6gd3g9i87o4;n1b4?6=,:h>6>ol;o1a0?d<3f92j7>5$2`6>6gd3g9i87m4;n1:a?6=,:h>6>ol;o1a0?b<3f92h7>5$2`6>6gd3g9i87k4;n1:g?6=,:h>6>ol;o1a0?`<3f92n7>5$2`6>6gd3g9i87??;:m0=d<72-9i97=nc:l0f1<6921d?4650;&0f0<4ij1e?o:51398k6?0290/?o;53`a8j6d328907b=66;29 6d22:kh7c=m4;37?>i41<0;6)=m5;1bg>h4j=0:965`38694?"4j<08mn5a3c6953=6>ol;o1a0?7?32e85<4?:%1a1?5fk2d8n94>9:9l7<6=83.8n846i:18'7g3=;hi0b>l;:0`8?j5?l3:1(>l::2c`?k5e<3;h76a<8b83>!5e=39jo6`=h;1h1<7*1=h54o2:b>5<#;k?1?lm4n2`7>4`<3f9357>5$2`6>6gd3g9i871290/?o;53`a8j6d32;907b=75;29 6d22:kh7c=m4;07?>i40=0;6)=m5;1bg>h4j=09965`3c194?"4j<08mn5a3c6963=6>ol;o1a0?4?32e8n=4?:%1a1?5fk2d8n94=9:9l7d`=83.8n84oj:18'7g3=;hi0b>l;:3`8?j5f<3:1(>l::2c`?k5e<38h76a<9883>!5e=39jo6`=h;1o1<7*1>h54o2:0>5<#;k?1?lm4n2`7>7`<3`3n6=4+3c79=a=i;k>1<65f9b83>!5e=33o7c=m4;38?l?e290/?o;59e9m7g2=:21b5l4?:%1a1??c3g9i87=4;h;:>5<#;k?15i5a3c690>=n110;6)=m5;;g?k5e<3?07d78:18'7g3=1m1e?o:56:9j=3<72-9i977k;o1a0?1<3`k<6=4+3c79=a=i;k>1465fa783>!5e=33o7c=m4;;8?lg2290/?o;59e9m7g2=i21bm94?:%1a1??c3g9i87l4;hc0>5<#;k?15i5a3c69g>=ni;0;6)=m5;;g?k5e<3n07do>:18'7g3=1m1e?o:5e:9je5<72-9i977k;o1a0?`<3`3m6=4+3c79=a=i;k>1==54i8794?"4j<02h6`=h:<<1<7*1<65`24694?"4j<09985a3c695>=h:<91<7*1>65`24094?"4j<09985a3c697>=h:<;1<7*1865`24294?"4j<09985a3c691>=h:=o1<7*1:65`25f94?"4j<09985a3c693>=h:=i1<7*1465`25`94?"4j<09985a3c69=>=h:=k1<7*1m65`25;94?"4j<09985a3c69f>=h:=21<7*1o65`25594?"4j<09985a3c69`>=h:=<1<7*1i65`25794?"4j<09985a3c69b>=h:=91<7*1==54o361>5<#;k?1>8;4n2`7>47<3f8?=7>5$2`6>7323g9i87?=;:m105<72-9i97<:5:l0f1<6;21d>>h50;&0f0<5=<1e?o:51598k75b290/?o;52478j6d328?07b<7c=m4;35?>i5;j0;6)=m5;061>h4j=0:;65`22`94?"4j<09985a3c695==6?;:;o1a0?7f32e99n4?:%1a1?42=2d8n94>b:9l60d=83.8n84=549m7g2=9j10c?;n:18'7g3=:l;:0f8?j4213:1(>l::376?k5e<3;n76a=5983>!5e=38>96`=h:<=1<7*1>=54o36e>5<#;k?1>8;4n2`7>77<3f8?87>5$2`6>7323g9i87<=;:m17<<72-9i97<:5:l0f1<5;21bh84?:%1a1?b33g9i87>4H2a:?>oc;3:1(>l::e68j6d3281C?n74;hf1>5<#;k?1h95a3c696>N4k010ei?50;&0f0=nl90;6)=m5;f7?k5e<3>0D>m6;:k`b?6=,:h>6i:4n2`7>0=O;j307dmj:18'7g3=l=1e?o:56:J0g<=h4j=0<7E=l9:9j``<72-9i97j;;o1a0?><@:i276gkd;29 6d22m>0b>l;:89K7f?<3`nh6=4+3c79`1=i;k>1m6F!5e=3n?7c=m4;a8L6e>32co57>5$2`6>a2=nkj0;6)=m5;f7?k5e<3;:7E=l9:9~f1`f290:9l4?:1y'7fc=:8=0D>j7;I1g4>\a;3hp4o4=1;5g>2?=9m0:o798:6a95`<6j3?:6p*<1e8223?5=#9;21?<<4n00a>5=i9:i1=6`=1782?k42m3;0b>?l:09m7g1=92.8o94?50;9j7f6=831b=>:50;9j57`=831d=?o50;9j7f4=831b?n?50;9j56>=831b?oj50;9j565=831b=?k50;9j570=831b=>;50;9j57b=831d=?750;9j7ge=831b?n=50;9jg7<72-9i97m>;o1a0?6<3`i;6=4+3c79g4=i;k>1=65fbg83>!5e=3i:7c=m4;08?ldb290/?o;5c09m7g2=;21bni4?:%1a1?e63g9i87:4;h``>5<#;k?1o<5a3c691>=njk0;6)=m5;a2?k5e<3<07dln:18'7g3=k81e?o:57:9jgg<72-9i97m>;o1a0?><3`ij6=4+3c79g4=i;k>1565fc883>!5e=3i:7c=m4;c8?le?290/?o;5c09m7g2=j21bo:4?:%1a1?e63g9i87m4;ha5>5<#;k?1o<5a3c69`>=nk<0;6)=m5;a2?k5e<3o07dm;:18'7g3=k81e?o:5f:9jg6<72-9i97m>;o1a0?7732ci57>5$2`6>f75<#;k?1i:5a3c694>=hm?0;6)=m5;g4?k5e<3;07bk::18'7g3=m>1e?o:52:9la1<72-9i97k8;o1a0?5<3fo86=4+3c79a2=i;k>1865`e383>!5e=3o<7c=m4;78?jc6290/?o;5e69m7g2=>21di=4?:%1a1?c03g9i8794;nd2>5<#;k?1i:5a3c69<>=hn90;6)=m5;g4?k5e<3307bki:18'7g3=m>1e?o:5a:9la`<72-9i97k8;o1a0?d<3foo6=4+3c79a2=i;k>1o65`eb83>!5e=3o<7c=m4;f8?jce290/?o;5e69m7g2=m21dil4?:%1a1?c03g9i87h4;ng:>5<#;k?1i:5a3c6955=h4j=0:=65f36a94?"4j<08;o5a3c694>=n;>k1<7*1=65f36;94?"4j<08;o5a3c696>=n;>21<7*1?65f36594?"4j<08;o5a3c690>=n;><1<7*1965f36794?"4j<08;o5a3c692>=n;>>1<7*1;65f36094?"4j<08;o5a3c69<>=n;>;1<7*1565f36294?"4j<08;o5a3c69e>=n;?l1<7*1n65f37g94?"4j<08;o5a3c69g>=n;?n1<7*1h65f37a94?"4j<08;o5a3c69a>=n;?h1<7*1j65f37c94?"4j<08;o5a3c6955=6>9m;o1a0?7532c8:;4?:%1a1?50j2d8n94>3:9j733=83.8n84<7c9m7g2=9=10e>8;:18'7g3=;>h0b>l;:078?l51;3:1(>l::25a?k5e<3;=76g<6383>!5e=39=n;?;1<7*1=554i243>5<#;k?1?:l4n2`7>4?<3`9>j7>5$2`6>61e3g9i87?n;:k01`<72-9i97=8b:l0f1<6j21b?8m50;&0f0<4?k1e?o:51b98m63e290/?o;536`8j6d328n07d=:a;29 6d22:=i7c=m4;3f?>o4=00;6)=m5;14f>h4j=0:j65f34:94?"4j<08;o5a3c6965=6>9m;o1a0?4532c8984?:%1a1?50j2d8n94=3:9j702=83.8n84<7c9m7g2=:=10e>;<:18'7g3=;>h0b>l;:378?l5?:3:1(>l::25a?k5e<38=76g<8083>!5e=39=n;1:1<7*1>554i25e>5<#;k?1?:l4n2`7>7?<3`95$2`6>61e3g9i87o4=;0;6)=m5;14f>h4j=09j65f10d94?"4j<0:=h5a3c694>=n98n1<7*1=65f10a94?"4j<0:=h5a3c696>=n98h1<7*1?65f10c94?"4j<0:=h5a3c690>=n9831<7*1965f10:94?"4j<0:=h5a3c692>=n98=1<7*1;65f10794?"4j<0:=h5a3c69<>=n98>1<7*1565f10194?"4j<0:=h5a3c69e>=n9881<7*1n65f10394?"4j<0:=h5a3c69g>=n98:1<7*1h65f11d94?"4j<0:=h5a3c69a>=n99o1<7*1j65f11f94?"4j<0:=h5a3c6955=63:9j55>=83.8n84>1d9m7g2=9=10e<>8:18'7g3=98o0b>l;:078?l77>3:1(>l::03f?k5e<3;=76g>0483>!5e=3;:i6`=n99>1<7*1=554i020>5<#;k?1=4?<3`;;>7>5$2`6>47b3g9i87?n;:k244<72-9i97?>e:l0f1<6j21bjk4?:%1a1?76m2d8n94>c:9jb`<72-9i97?>e:l0f1<6l21bji4?:%1a1?76m2d8n94>e:9jbf<72-9i97?>e:l0f1<6n21bjo4?:%1a1?76m2d8n94=0:9jbd<72-9i97?>e:l0f1<5921bj44?:%1a1?76m2d8n94=2:9jb=<72-9i97?>e:l0f1<5;21bj:4?:%1a1?76m2d8n94=4:9jb3<72-9i97?>e:l0f1<5=21b=?;50;&0f0<69l1e?o:52798m443290/?o;510g8j6d32;=07d?=3;29 6d228;n7c=m4;0;?>o6:;0;6)=m5;32a>h4j=09565f13394?"4j<0:=h5a3c696d=61d9m7g2=:l10ek;50;&0f0<69l1e?o:52g98k62f290/?o;535;8j6d32910c>:7:18'7g3=;=30b>l;:098k620290/?o;535;8j6d32;10c>:9:18'7g3=;=30b>l;:298k622290/?o;535;8j6d32=10c>:;:18'7g3=;=30b>l;:498k624290/?o;535;8j6d32?10c>:=:18'7g3=;=30b>l;:698k627290/?o;535;8j6d32110c>=i:18'7g3=;=30b>l;:898k65b290/?o;535;8j6d32h10c>=k:18'7g3=;=30b>l;:c98k65d290/?o;535;8j6d32j10c>=m:18'7g3=;=30b>l;:e98k65f290/?o;535;8j6d32l10c>=6:18'7g3=;=30b>l;:g98k65?290/?o;535;8j6d328:07b=<7;29 6d22:>27c=m4;32?>i4;<0;6)=m5;17=>h4j=0:>65`32694?"4j<08845a3c6956=6>:6;o1a0?7232e8?<4?:%1a1?5312d8n94>6:9l766=83.8n84<489m7g2=9>10c>l;:0:8?j55m3:1(>l::26:?k5e<3;276a<2e83>!5e=39?56`=h;;i1<7*1=o54o20b>5<#;k?1?974n2`7>4e<3f9957>5$2`6>62>3g9i87?k;:m06=<72-9i97=;9:l0f1<6m21d??950;&0f0<4<01e?o:51g98k641290/?o;535;8j6d32;:07b==5;29 6d22:>27c=m4;02?>i4:=0;6)=m5;17=>h4j=09>65`33194?"4j<08845a3c6966=6>:6;o1a0?4232e89=4?:%1a1?5312d8n94=6:9l71`=83.8n84<489m7g2=:>10c>:j:18'7g3=;=30b>l;:3:8?j53l3:1(>l::26:?k5e<38276a<4b83>!5e=39?56`=h;=h1<7*1>o54o262>5<#;k?1?974n2`7>7e<3f98:7>5$2`6>62>3g9i8750;&0f0<4<01e?o:52g98m67e290/?o;530c8j6d32910e>?6:18'7g3=;8k0b>l;:098m67?290/?o;530c8j6d32;10e>?8:18'7g3=;8k0b>l;:298m671290/?o;530c8j6d32=10e>?::18'7g3=;8k0b>l;:498m673290/?o;530c8j6d32?10e>?<:18'7g3=;8k0b>l;:698m42?290/?o;51558j6d32910e<:9:18'7g3=9==0b>l;:098m422290/?o;51558j6d32;10e<:;:18'7g3=9==0b>l;:298m424290/?o;51558j6d32=10e<:=:18'7g3=9==0b>l;:498m426290/?o;51558j6d32?10e<:?:18'7g3=9==0b>l;:698m436290/?o;51558j6d32110e<;?:18'7g3=9==0b>l;:898m42a290/?o;51558j6d32h10e<:j:18'7g3=9==0b>l;:c98m42c290/?o;51558j6d32j10e<:l:18'7g3=9==0b>l;:e98m42e290/?o;51558j6d32l10e<:n:18'7g3=9==0b>l;:g98m42>290/?o;51558j6d328:07d?<7c=m4;32?>i4im0;6)=m5;1bg>h4j=0;76a!5e=39jo6`i4ih0;6)=m5;1bg>h4j=0976a!5e=39jo6`i4i10;6)=m5;1bg>h4j=0?76a!5e=39jo6`i4i?0;6)=m5;1bg>h4j=0=76a!5e=39jo6`i4i:0;6)=m5;1bg>h4j=0376a!5e=39jo6`i4i80;6)=m5;1bg>h4j=0j76a!5e=39jo6`i41o0;6)=m5;1bg>h4j=0h76a<9d83>!5e=39jo6`i41m0;6)=m5;1bg>h4j=0n76a<9b83>!5e=39jo6`i41k0;6)=m5;1bg>h4j=0:<65`38c94?"4j<08mn5a3c6954=6>ol;o1a0?7432e85;4?:%1a1?5fk2d8n94>4:9l7<3=83.8n847;:18'7g3=;hi0b>l;:048?j5>;3:1(>l::2c`?k5e<3;<76a<9383>!5e=39jo6`=h;0;1<7*1=454o2;3>5<#;k?1?lm4n2`7>4g<3f93j7>5$2`6>6gd3g9i87?m;:m0e290/?o;53`a8j6d328o07b=7a;29 6d22:kh7c=m4;3e?>i4000;6)=m5;1bg>h4j=09<65`39:94?"4j<08mn5a3c6964=6>ol;o1a0?4432e8484?:%1a1?5fk2d8n94=4:9l7=2=83.8n84l<:18'7g3=;hi0b>l;:348?j5e:3:1(>l::2c`?k5e<38<76a!5e=39jo6`=h;k:1<7*1>454o2ce>5<#;k?1?lm4n2`7>7g<3f9ji7>5$2`6>6gd3g9i87b290/?o;53`a8j6d32;o07b=73;29 6d22:kh7c=m4;0e?>o>m3:1(>l::8f8j6d32910e4m50;&0f0<>l2d8n94>;:k:f?6=,:h>64j4n2`7>7=h4j=0876g69;29 6d220n0b>l;:598m<>=83.8n846d:l0f1<232c2;7>5$2`6>of?3:1(>l::8f8j6d32110el850;&0f0<>l2d8n946;:kb1?6=,:h>64j4n2`7>d=1<7*h4j=0i76gn3;29 6d220n0b>l;:b98md4=83.8n846d:l0f15$2`6>o>n3:1(>l::8f8j6d328:07d7::18'7g3=1m1e?o:51098k731290/?o;52478j6d32910c?;;:18'7g3=:l;:098k734290/?o;52478j6d32;10c?;=:18'7g3=:l;:298k736290/?o;52478j6d32=10c?;?:18'7g3=:l;:498k72b290/?o;52478j6d32?10c?:k:18'7g3=:l;:698k72d290/?o;52478j6d32110c?:m:18'7g3=:l;:898k72f290/?o;52478j6d32h10c?:6:18'7g3=:l;:c98k72?290/?o;52478j6d32j10c?:8:18'7g3=:l;:e98k721290/?o;52478j6d32l10c?:::18'7g3=:l;:g98k724290/?o;52478j6d328:07b<;2;29 6d22;?>7c=m4;32?>i5<80;6)=m5;061>h4j=0:>65`25294?"4j<09985a3c6956=6?;:;o1a0?7232e9?i4?:%1a1?42=2d8n94>6:9l66e=83.8n84=549m7g2=9>10c?=m:18'7g3=:l;:0:8?j44i3:1(>l::376?k5e<3;276a=5e83>!5e=38>96`=h:1=o54o37a>5<#;k?1>8;4n2`7>4e<3f8>m7>5$2`6>7323g9i87?k;:m11<<72-9i97<:5:l0f1<6m21d>8650;&0f0<5=<1e?o:51g98k730290/?o;52478j6d32;:07b<;f;29 6d22;?>7c=m4;02?>i5<=0;6)=m5;061>h4j=09>65`22;94?"4j<09985a3c6966=h4j=0;7E=l9:9j`6<72-9i97j;;o1a0?7<@:i276gk2;29 6d22m>0b>l;:39K7f?<3`n:6=4+3c79`1=i;k>1?6F!5e=3n?7c=m4;78L6e>32chi7>5$2`6>a2ock3:1(>l::e68j6d32h1C?n74;hfa>5<#;k?1h95a3c69f>N4k010eio50;&0f0=nl00;6)=m5;f7?k5e<3n0D>m6;:kg6i:4n2`7>`=O;j307dj8:18'7g3=l=1e?o:5f:J0g<=h4j=0:<6Ft$2af>7703A9o46F<48d;5:>4b=9j0<;79l:0g95g<293w/?;o`4>4=ij10:7c?=7;28 44?2:;97c?=b;28j45d281e><851:l11`<63g9:o7?4n2`4>4=#;j>1?nj4i013>5<5<5<5<5<5<5<5<6=44i00g>5<5<od83:1(>l::b38j6d32810eoh50;&0f06n?4n2`7>6=h4j=0?76gmc;29 6d22j;0b>l;:498mgd=83.8n84l1:l0f1<132cim7>5$2`6>f7odi3:1(>l::b38j6d32010en750;&0f06n?4n2`7>g=h4j=0h76gl6;29 6d22j;0b>l;:e98mf3=83.8n84l1:l0f15$2`6>f7=nj00;6)=m5;a2?k5e<3;:76aj8;29 6d22l=0b>l;:198k`0=83.8n84j7:l0f1<632en97>5$2`6>`1ib;3:1(>l::d58j6d32=10ch<50;&0f06h94n2`7>3=h4j=0<76ai1;29 6d22l=0b>l;:998kc6=83.8n84j7:l0f1<>32enj7>5$2`6>`1ibl3:1(>l::d58j6d32j10chm50;&0f06h94n2`7>`=h4j=0m76aj9;29 6d22l=0b>l;:028?jba290/?o;5e69m7g2=9810e>9l:18'7g3=;>h0b>l;:198m61f290/?o;536`8j6d32810e>96:18'7g3=;>h0b>l;:398m61?290/?o;536`8j6d32:10e>98:18'7g3=;>h0b>l;:598m611290/?o;536`8j6d32<10e>9::18'7g3=;>h0b>l;:798m613290/?o;536`8j6d32>10e>9=:18'7g3=;>h0b>l;:998m616290/?o;536`8j6d32010e>9?:18'7g3=;>h0b>l;:`98m60a290/?o;536`8j6d32k10e>8j:18'7g3=;>h0b>l;:b98m60c290/?o;536`8j6d32m10e>8l:18'7g3=;>h0b>l;:d98m60e290/?o;536`8j6d32o10e>8n:18'7g3=;>h0b>l;:028?l5113:1(>l::25a?k5e<3;:76g<6683>!5e=39=n;?<1<7*1=>54i246>5<#;k?1?:l4n2`7>42<3`9=87>5$2`6>61e3g9i87?:;:k026<72-9i97=8b:l0f1<6>21b?;<50;&0f0<4?k1e?o:51698m606290/?o;536`8j6d328207d=90;29 6d22:=i7c=m4;3:?>o4=o0;6)=m5;14f>h4j=0:m65f34g94?"4j<08;o5a3c695g=6>9m;o1a0?7c32c89l4?:%1a1?50j2d8n94>e:9j70?=83.8n84<7c9m7g2=9o10e>;7:18'7g3=;>h0b>l;:328?l52?3:1(>l::25a?k5e<38:76g<5783>!5e=39=n;1>>54i277>5<#;k?1?:l4n2`7>72<3`9>?7>5$2`6>61e3g9i87<:;:k0<7<72-9i97=8b:l0f1<5>21b?5?50;&0f0<4?k1e?o:52698m6>7290/?o;536`8j6d32;207d=8f;29 6d22:=i7c=m4;0:?>o4?l0;6)=m5;14f>h4j=09m65f36f94?"4j<08;o5a3c696g=6>9m;o1a0?4c32c89i4?:%1a1?50j2d8n94=e:9j704=83.8n84<7c9m7g2=:o10el;:198m47c290/?o;510g8j6d32810el;:398m47e290/?o;510g8j6d32:10el;:598m47>290/?o;510g8j6d32<10el;:798m470290/?o;510g8j6d32>10el;:998m473290/?o;510g8j6d32010el;:`98m475290/?o;510g8j6d32k10e:18'7g3=98o0b>l;:b98m477290/?o;510g8j6d32m10e<>i:18'7g3=98o0b>l;:d98m46b290/?o;510g8j6d32o10e<>k:18'7g3=98o0b>l;:028?l77k3:1(>l::03f?k5e<3;:76g>0`83>!5e=3;:i6`=n9931<7*1=>54i02;>5<#;k?1=42<3`;;;7>5$2`6>47b3g9i87?:;:k243<72-9i97?>e:l0f1<6>21b==;50;&0f0<69l1e?o:51698m463290/?o;510g8j6d328207d??3;29 6d228;n7c=m4;3:?>o68;0;6)=m5;32a>h4j=0:m65f11394?"4j<0:=h5a3c695g=1=n54igg94?"4j<0:=h5a3c695a=1=h54iga94?"4j<0:=h5a3c695c=1>=54igc94?"4j<0:=h5a3c6964=1>?54ig:94?"4j<0:=h5a3c6966=1>954ig494?"4j<0:=h5a3c6960=6=4+3c7954c6>4?:%1a1?76m2d8n94=8:9j574=83.8n84>1d9m7g2=:010e<<>:18'7g3=98o0b>l;:3c8?l7583:1(>l::03f?k5e<38i76g>1783>!5e=3;:i6`=n99h1<7*1>i54i023>5<#;k?1=7c<3`l>6=4+3c7954c6>:6;o1a0?6<3f9?47>5$2`6>62>3g9i87?4;n173?6=,:h>6>:6;o1a0?4<3f9?:7>5$2`6>62>3g9i87=4;n171?6=,:h>6>:6;o1a0?2<3f9?87>5$2`6>62>3g9i87;4;n177?6=,:h>6>:6;o1a0?0<3f9?>7>5$2`6>62>3g9i8794;n174?6=,:h>6>:6;o1a0?><3f98j7>5$2`6>62>3g9i8774;n10a?6=,:h>6>:6;o1a0?g<3f98h7>5$2`6>62>3g9i87l4;n10g?6=,:h>6>:6;o1a0?e<3f98n7>5$2`6>62>3g9i87j4;n10e?6=,:h>6>:6;o1a0?c<3f9857>5$2`6>62>3g9i87h4;n106>:6;o1a0?7732e8?:4?:%1a1?5312d8n94>1:9l763=83.8n84<489m7g2=9;10c>=;:18'7g3=;=30b>l;:018?j54;3:1(>l::26:?k5e<3;?76a<3383>!5e=39?56`=h;:;1<7*1=;54o213>5<#;k?1?974n2`7>41<3f99j7>5$2`6>62>3g9i87?7;:m06`<72-9i97=;9:l0f1<6121d??j50;&0f0<4<01e?o:51`98k64d290/?o;535;8j6d328h07b==a;29 6d22:>27c=m4;3`?>i4:00;6)=m5;17=>h4j=0:h65`33:94?"4j<08845a3c695`=6>:6;o1a0?4732e8>84?:%1a1?5312d8n94=1:9l772=83.8n84<489m7g2=:;10c><<:18'7g3=;=30b>l;:318?j55:3:1(>l::26:?k5e<38?76a<2083>!5e=39?56`=h;<:1<7*1>;54o26e>5<#;k?1?974n2`7>71<3f9?i7>5$2`6>62>3g9i87<7;:m00a<72-9i97=;9:l0f1<5121d?9m50;&0f0<4<01e?o:52`98k62e290/?o;535;8j6d32;h07b=;1;29 6d22:>27c=m4;0`?>i4;?0;6)=m5;17=>h4j=09h65`33`94?"4j<08845a3c696`=6>?n;o1a0?6<3`9:57>5$2`6>67f3g9i87?4;h126>?n;o1a0?4<3`9:;7>5$2`6>67f3g9i87=4;h122?6=,:h>6>?n;o1a0?2<3`9:97>5$2`6>67f3g9i87;4;h120?6=,:h>6>?n;o1a0?0<3`9:?7>5$2`6>67f3g9i8794;h376<:8;o1a0?6<3`;?:7>5$2`6>4203g9i87?4;h371?6=,:h>6<:8;o1a0?4<3`;?87>5$2`6>4203g9i87=4;h377?6=,:h>6<:8;o1a0?2<3`;?>7>5$2`6>4203g9i87;4;h375?6=,:h>6<:8;o1a0?0<3`;?<7>5$2`6>4203g9i8794;h365?6=,:h>6<:8;o1a0?><3`;><7>5$2`6>4203g9i8774;h37b?6=,:h>6<:8;o1a0?g<3`;?i7>5$2`6>4203g9i87l4;h37`?6=,:h>6<:8;o1a0?e<3`;?o7>5$2`6>4203g9i87j4;h37f?6=,:h>6<:8;o1a0?c<3`;?m7>5$2`6>4203g9i87h4;h37=?6=,:h>6<:8;o1a0?7732c:?k4?:%1a1?73?2d8n94>1:9l7db=83.8n84=83.8n8421d?l;50;&0f0<4ij1e?o:57:9l7d5=83.8n8450;&0f0<4ij1e?o:5b:9l7<`=83.8n847n:18'7g3=;hi0b>l;:038?j5>03:1(>l::2c`?k5e<3;976a<9683>!5e=39jo6`=h;0<1<7*1=954o2;6>5<#;k?1?lm4n2`7>43<3f9287>5$2`6>6gd3g9i87?9;:m0=6<72-9i97=nc:l0f1<6?21d?4<50;&0f0<4ij1e?o:51998k6?6290/?o;53`a8j6d328307b=60;29 6d22:kh7c=m4;3b?>i40o0;6)=m5;1bg>h4j=0:n65`39f94?"4j<08mn5a3c695f=6>ol;o1a0?7b32e84l4?:%1a1?5fk2d8n94>f:9l7=?=83.8n8467:18'7g3=;hi0b>l;:338?j5??3:1(>l::2c`?k5e<38976a<8783>!5e=39jo6`=h;1?1<7*1>954o2:7>5<#;k?1?lm4n2`7>73<3f9i?7>5$2`6>6gd3g9i87<9;:m0f7<72-9i97=nc:l0f1<5?21d?o?50;&0f0<4ij1e?o:52998k6d7290/?o;53`a8j6d32;307b=nf;29 6d22:kh7c=m4;0b?>i4il0;6)=m5;1bg>h4j=09n65`3`694?"4j<08mn5a3c696f=6>ol;o1a0?4b32e84>4?:%1a1?5fk2d8n94=f:9j=`<72-9i977k;o1a0?6<3`3h6=4+3c79=a=i;k>1=65f9c83>!5e=33o7c=m4;08?l?f290/?o;59e9m7g2=;21b544?:%1a1??c3g9i87:4;h;;>5<#;k?15i5a3c691>=n1>0;6)=m5;;g?k5e<3<07d79:18'7g3=1m1e?o:57:9je2<72-9i977k;o1a0?><3`k=6=4+3c79=a=i;k>1565fa483>!5e=33o7c=m4;c8?lg3290/?o;59e9m7g2=j21bm>4?:%1a1??c3g9i87m4;hc1>5<#;k?15i5a3c69`>=ni80;6)=m5;;g?k5e<3o07do?:18'7g3=1m1e?o:5f:9j=c<72-9i977k;o1a0?7732c297>5$2`6>6?;:;o1a0?6<3f8>87>5$2`6>7323g9i87?4;n067?6=,:h>6?;:;o1a0?4<3f8>>7>5$2`6>7323g9i87=4;n065?6=,:h>6?;:;o1a0?2<3f8><7>5$2`6>7323g9i87;4;n07a?6=,:h>6?;:;o1a0?0<3f8?h7>5$2`6>7323g9i8794;n07g?6=,:h>6?;:;o1a0?><3f8?n7>5$2`6>7323g9i8774;n07e?6=,:h>6?;:;o1a0?g<3f8?57>5$2`6>7323g9i87l4;n076?;:;o1a0?e<3f8?;7>5$2`6>7323g9i87j4;n072?6=,:h>6?;:;o1a0?c<3f8?97>5$2`6>7323g9i87h4;n077?6=,:h>6?;:;o1a0?7732e98?4?:%1a1?42=2d8n94>1:9l617=83.8n84=549m7g2=9;10c?:?:18'7g3=:l;:018?j44n3:1(>l::376?k5e<3;?76a=3d83>!5e=38>96`=h::n1<7*1=;54o31`>5<#;k?1>8;4n2`7>41<3f88n7>5$2`6>7323g9i87?7;:m17d<72-9i97<:5:l0f1<6121d>8j50;&0f0<5=<1e?o:51`98k73d290/?o;52478j6d328h07b<:b;29 6d22;?>7c=m4;3`?>i5=h0;6)=m5;061>h4j=0:h65`24;94?"4j<09985a3c695`=6?;:;o1a0?4732e98k4?:%1a1?42=2d8n94=1:9l612=83.8n84=549m7g2=:;10c?=6:18'7g3=:l;:318?lb2290/?o;5d59m7g2=82B8o454ie194?"4j<0o86`oc93:1(>l::e68j6d32:1C?n74;hf3>5<#;k?1h95a3c690>N4k010enh50;&0f0=nkl0;6)=m5;f7?k5e<3<0D>m6;:k``?6=,:h>6i:4n2`7>2=O;j307djj:18'7g3=l=1e?o:58:J0g<=h4j=027E=l9:9j`f<72-9i97j;;o1a0?g<@:i276gkb;29 6d22m>0b>l;:c9K7f?<3`nj6=4+3c79`1=i;k>1o6F!5e=3n?7c=m4;g8L6e>32co;7>5$2`6>a25<#;k?1h95a3c6954=O;j307pl;fb83>43f290;w)=le;023>N4l11C?i>4Zg19f~>e2;;1;i489;3g>4e=?>0=92d:>:4?;%31o4?;o30g?7h49j0:7c=m7;38 6e32:io7d?<0;29?l5em3:17d=mf;29?l7493:17d=l0;29?l74<3:17d?=f;29?j75i3:17d=l2;29?l5d93:17d?<8;29?l5el3:17d?<3;29?l75m3:17d?=6;29?l74=3:17d?=d;29?j7513:17d=mc;29?l5d;3:17dm=:18'7g3=k81e?o:50:9jg5<72-9i97m>;o1a0?7<3`hm6=4+3c79g4=i;k>1>65fbd83>!5e=3i:7c=m4;18?ldc290/?o;5c09m7g2=<21bnn4?:%1a1?e63g9i87;4;h`a>5<#;k?1o<5a3c692>=njh0;6)=m5;a2?k5e<3=07dmm:18'7g3=k81e?o:58:9jgd<72-9i97m>;o1a0??<3`i26=4+3c79g4=i;k>1m65fc983>!5e=3i:7c=m4;`8?le0290/?o;5c09m7g2=k21bo;4?:%1a1?e63g9i87j4;ha6>5<#;k?1o<5a3c69a>=nk=0;6)=m5;a2?k5e<3l07dm<:18'7g3=k81e?o:51198mg?=83.8n84l1:l0f1<6921di54?:%1a1?c03g9i87>4;ng5>5<#;k?1i:5a3c695>=hm<0;6)=m5;g4?k5e<3807bk;:18'7g3=m>1e?o:53:9la6<72-9i97k8;o1a0?2<3fo96=4+3c79a2=i;k>1965`e083>!5e=3o<7c=m4;48?jc7290/?o;5e69m7g2=?21dj<4?:%1a1?c03g9i8764;nd3>5<#;k?1i:5a3c69=>=hmo0;6)=m5;g4?k5e<3k07bkj:18'7g3=m>1e?o:5b:9laa<72-9i97k8;o1a0?e<3foh6=4+3c79a2=i;k>1h65`ec83>!5e=3o<7c=m4;g8?jcf290/?o;5e69m7g2=n21di44?:%1a1?c03g9i87??;:mgb?6=,:h>6h94n2`7>47<3`95$2`6>61e3g9i87>4;h14e?6=,:h>6>9m;o1a0?7<3`9<57>5$2`6>61e3g9i87<4;h146>9m;o1a0?5<3`9<;7>5$2`6>61e3g9i87:4;h142?6=,:h>6>9m;o1a0?3<3`9<97>5$2`6>61e3g9i8784;h140?6=,:h>6>9m;o1a0?1<3`9<>7>5$2`6>61e3g9i8764;h145?6=,:h>6>9m;o1a0??<3`9<<7>5$2`6>61e3g9i87o4;h15b?6=,:h>6>9m;o1a0?d<3`9=i7>5$2`6>61e3g9i87m4;h15`?6=,:h>6>9m;o1a0?b<3`9=o7>5$2`6>61e3g9i87k4;h15f?6=,:h>6>9m;o1a0?`<3`9=m7>5$2`6>61e3g9i87??;:k02<<72-9i97=8b:l0f1<6921b?;950;&0f0<4?k1e?o:51398m601290/?o;536`8j6d328907d=95;29 6d22:=i7c=m4;37?>o4>=0;6)=m5;14f>h4j=0:965f37194?"4j<08;o5a3c6953=6>9m;o1a0?7?32c8:=4?:%1a1?50j2d8n94>9:9j70`=83.8n84<7c9m7g2=9h10e>;j:18'7g3=;>h0b>l;:0`8?l52k3:1(>l::25a?k5e<3;h76g<5c83>!5e=39=n;1=h54i27:>5<#;k?1?:l4n2`7>4`<3`9>47>5$2`6>61e3g9i87o4=:0;6)=m5;14f>h4j=09965f39094?"4j<08;o5a3c6963=6>9m;o1a0?4?32c8;k4?:%1a1?50j2d8n94=9:9j72c=83.8n84<7c9m7g2=:h10e>9k:18'7g3=;>h0b>l;:3`8?l50;3:1(>l::25a?k5e<38h76g<6983>!5e=39=n;1>h54i271>5<#;k?1?:l4n2`7>7`<3`;:j7>5$2`6>47b3g9i87>4;h32`?6=,:h>65$2`6>47b3g9i87<4;h32f?6=,:h>65$2`6>47b3g9i87:4;h32=?6=,:h>65$2`6>47b3g9i8784;h323?6=,:h>65$2`6>47b3g9i8764;h320?6=,:h>65$2`6>47b3g9i87o4;h326?6=,:h>65$2`6>47b3g9i87m4;h324?6=,:h>65$2`6>47b3g9i87k4;h33a?6=,:h>65$2`6>47b3g9i87??;:k24f<72-9i97?>e:l0f1<6921b==o50;&0f0<69l1e?o:51398m46>290/?o;510g8j6d328907d??8;29 6d228;n7c=m4;37?>o68>0;6)=m5;32a>h4j=0:965f11494?"4j<0:=h5a3c6953=6=4+3c7954c64?:%1a1?76m2d8n94>9:9j554=83.8n84>1d9m7g2=9h10e<>>:18'7g3=98o0b>l;:0`8?l`a290/?o;510g8j6d328i07dhj:18'7g3=98o0b>l;:0f8?l`c290/?o;510g8j6d328o07dhl:18'7g3=98o0b>l;:0d8?l`e290/?o;510g8j6d32;:07dhn:18'7g3=98o0b>l;:338?l`>290/?o;510g8j6d32;807dh7:18'7g3=98o0b>l;:318?l`0290/?o;510g8j6d32;>07dh9:18'7g3=98o0b>l;:378?l75=3:1(>l::03f?k5e<38=76g>2583>!5e=3;:i6`=n9;91<7*1>554i001>5<#;k?1=7?<3`;9=7>5$2`6>47b3g9i87e:l0f1<5j21b=<850;&0f0<69l1e?o:52b98m46e290/?o;510g8j6d32;n07d??0;29 6d228;n7c=m4;0f?>oa=3:1(>l::03f?k5e<38m76a<4`83>!5e=39?56`i4<10;6)=m5;17=>h4j=0:76a<4683>!5e=39?56`i4h4j=0876a<4483>!5e=39?56`i4<=0;6)=m5;17=>h4j=0>76a<4283>!5e=39?56`i4<;0;6)=m5;17=>h4j=0<76a<4183>!5e=39?56`i4;o0;6)=m5;17=>h4j=0276a<3d83>!5e=39?56`i4;m0;6)=m5;17=>h4j=0i76a<3b83>!5e=39?56`i4;k0;6)=m5;17=>h4j=0o76a<3`83>!5e=39?56`i4;00;6)=m5;17=>h4j=0m76a<3983>!5e=39?56`=h;:=1<7*1=<54o216>5<#;k?1?974n2`7>44<3f9887>5$2`6>62>3g9i87?<;:m076<72-9i97=;9:l0f1<6<21d?><50;&0f0<4<01e?o:51498k656290/?o;535;8j6d328<07b=<0;29 6d22:>27c=m4;34?>i4:o0;6)=m5;17=>h4j=0:465`33g94?"4j<08845a3c695<=6>:6;o1a0?7e32e8>l4?:%1a1?5312d8n94>c:9l77?=83.8n84<489m7g2=9m10c><7:18'7g3=;=30b>l;:0g8?j55?3:1(>l::26:?k5e<3;m76a<2783>!5e=39?56`=h;;?1<7*1><54o207>5<#;k?1?974n2`7>74<3f99?7>5$2`6>62>3g9i87<<;:m067<72-9i97=;9:l0f1<5<21d???50;&0f0<4<01e?o:52498k637290/?o;535;8j6d32;<07b=;f;29 6d22:>27c=m4;04?>i4h4j=09465`35f94?"4j<08845a3c696<=h6=4+3c7971?6>:6;o1a0?4e32e88<4?:%1a1?5312d8n94=c:9l760=83.8n84<489m7g2=:m10c>l;:3g8?j5583:1(>l::26:?k5e<38m76g<1c83>!5e=39:m6`o4900;6)=m5;12e>h4j=0:76g<1983>!5e=39:m6`o49>0;6)=m5;12e>h4j=0876g<1783>!5e=39:m6`o49<0;6)=m5;12e>h4j=0>76g<1583>!5e=39:m6`o49:0;6)=m5;12e>h4j=0<76g>4983>!5e=3;?;6`o6h4j=0:76g>4483>!5e=3;?;6`o6<=0;6)=m5;373>h4j=0876g>4283>!5e=3;?;6`o6<;0;6)=m5;373>h4j=0>76g>4083>!5e=3;?;6`o6<90;6)=m5;373>h4j=0<76g>5083>!5e=3;?;6`o6=90;6)=m5;373>h4j=0276g>4g83>!5e=3;?;6`o6h4j=0i76g>4e83>!5e=3;?;6`o6h4j=0o76g>4c83>!5e=3;?;6`o6h4j=0m76g>4883>!5e=3;?;6`=n9:l1<7*1=<54o2cg>5<#;k?1?lm4n2`7>5=5<#;k?1?lm4n2`7>7=54o2c;>5<#;k?1?lm4n2`7>1=5<#;k?1?lm4n2`7>3=6=4+3c797de5<#;k?1?lm4n2`7>==5<#;k?1?lm4n2`7>d=5<#;k?1?lm4n2`7>f=5<#;k?1?lm4n2`7>`=5<#;k?1?lm4n2`7>46<3f92m7>5$2`6>6gd3g9i87?>;:m0==<72-9i97=nc:l0f1<6:21d?4950;&0f0<4ij1e?o:51298k6?1290/?o;53`a8j6d328>07b=65;29 6d22:kh7c=m4;36?>i41=0;6)=m5;1bg>h4j=0::65`38194?"4j<08mn5a3c6952=6>ol;o1a0?7>32e85=4?:%1a1?5fk2d8n94>a:9l7=`=83.8n846k:18'7g3=;hi0b>l;:0a8?j5?k3:1(>l::2c`?k5e<3;o76a<8c83>!5e=39jo6`=h;1k1<7*1=k54o2::>5<#;k?1?lm4n2`7>76<3f9347>5$2`6>6gd3g9i87<>;:m0<2<72-9i97=nc:l0f1<5:21d?5850;&0f0<4ij1e?o:52298k6>2290/?o;53`a8j6d32;>07b=74;29 6d22:kh7c=m4;06?>i4j:0;6)=m5;1bg>h4j=09:65`3c094?"4j<08mn5a3c6962=6>ol;o1a0?4>32e8mk4?:%1a1?5fk2d8n94=a:9l7dc=83.8n84o;:18'7g3=;hi0b>l;:3a8?j5>13:1(>l::2c`?k5e<38o76a<8d83>!5e=39jo6`=h;191<7*1>k54i8g94?"4j<02h6`o>k3:1(>l::8f8j6d32810e4l50;&0f0<>l2d8n94=;:k:e?6=,:h>64j4n2`7>6=h4j=0?76g68;29 6d220n0b>l;:498m<1=83.8n846d:l0f1<132c2:7>5$2`6>of>3:1(>l::8f8j6d32010el;50;&0f0<>l2d8n94n;:kb0?6=,:h>64j4n2`7>g=h4j=0h76gn2;29 6d220n0b>l;:e98md7=83.8n846d:l0f15$2`6>=n1<0;6)=m5;;g?k5e<3;:76a=5783>!5e=38>96`i5==0;6)=m5;061>h4j=0:76a=5283>!5e=38>96`i5=;0;6)=m5;061>h4j=0876a=5083>!5e=38>96`i5=90;6)=m5;061>h4j=0>76a=4d83>!5e=38>96`i5h4j=0<76a=4b83>!5e=38>96`i5h4j=0276a=4`83>!5e=38>96`i5<00;6)=m5;061>h4j=0i76a=4983>!5e=38>96`i5<>0;6)=m5;061>h4j=0o76a=4783>!5e=38>96`i5<<0;6)=m5;061>h4j=0m76a=4283>!5e=38>96`=h:=81<7*1=<54o362>5<#;k?1>8;4n2`7>44<3f8?<7>5$2`6>7323g9i87?<;:m17c<72-9i97<:5:l0f1<6<21d>>k50;&0f0<5=<1e?o:51498k75c290/?o;52478j6d328<07b<7c=m4;34?>i5;k0;6)=m5;061>h4j=0:465`22c94?"4j<09985a3c695<=6?;:;o1a0?7e32e99o4?:%1a1?42=2d8n94>c:9l60g=83.8n84=549m7g2=9m10c?;6:18'7g3=:l;:0g8?j4203:1(>l::376?k5e<3;m76a=5683>!5e=38>96`=h:=l1<7*1><54o367>5<#;k?1>8;4n2`7>74<3f8857>5$2`6>7323g9i87<<;:kg1?6=,:h>6i:4n2`7>5=O;j307dj<:18'7g3=l=1e?o:51:J0g<=h4j=097E=l9:9j`4<72-9i97j;;o1a0?5<@:i276gk0;29 6d22m>0b>l;:59K7f?<3`im6=4+3c79`1=i;k>196F!5e=3n?7c=m4;58L6e>32coi7>5$2`6>a2oci3:1(>l::e68j6d32j1C?n74;hf:>5<#;k?1h95a3c69`>N4k010ei650;&0f0=nl>0;6)=m5;f7?k5e<3l0D>m6;:kg2?6=,:h>6i:4n2`7>46<@:i276glc;29 6d22m>0b>l;:038L6e>32wi8kj50;36e?6=8r.8oh4=169K7a><@:n;7Wh<:cy;f?462>n1;44>d;3`>21=?j0:i7?m:439y!56l3;=:45a9183?k?5281en:4>;o`;>4=i9;=1<6*>298057=i9;h1<6`>3b82?k46>3;0b?;j:09m74e=92d8n:4>;%1`0?5dl2c:?=4?::k0f`<722c8nk4?::k274<722c8o=4?::k271<722c:>k4?::m26d<722c8o?4?::k0g4<722c:?54?::k0fa<722c:?>4?::k26`<722c:>;4?::k270<722c:>i4?::m26<<722c8nn4?::k0g6<722ch>7>5$2`6>f7oen3:1(>l::b38j6d32;10eok50;&0f06n?4n2`7>1=h4j=0>76gmb;29 6d22j;0b>l;:798mgg=83.8n84l1:l0f1<032chn7>5$2`6>f7od13:1(>l::b38j6d32h10en650;&0f06n?4n2`7>f=h4j=0o76gl5;29 6d22j;0b>l;:d98mf2=83.8n84l1:l0f15$2`6>f74;h`:>5<#;k?1o<5a3c6954=h4j=0;76aj6;29 6d22l=0b>l;:098k`3=83.8n84j7:l0f1<532en87>5$2`6>`154od194?"4j<0n;6`ib:3:1(>l::d58j6d32<10ch?50;&0f06h94n2`7>2=h4j=0376ai0;29 6d22l=0b>l;:898k``=83.8n84j7:l0f15$2`6>`1ibk3:1(>l::d58j6d32m10chl50;&0f06h94n2`7>c=h4j=0:<65`dg83>!5e=3o<7c=m4;32?>o4?j0;6)=m5;14f>h4j=0;76g<7`83>!5e=39o4?00;6)=m5;14f>h4j=0976g<7983>!5e=39o4?>0;6)=m5;14f>h4j=0?76g<7783>!5e=39o4?<0;6)=m5;14f>h4j=0=76g<7583>!5e=39o4?;0;6)=m5;14f>h4j=0376g<7083>!5e=39o4?90;6)=m5;14f>h4j=0j76g<6g83>!5e=39o4>l0;6)=m5;14f>h4j=0h76g<6e83>!5e=39o4>j0;6)=m5;14f>h4j=0n76g<6c83>!5e=39o4>h0;6)=m5;14f>h4j=0:<65f37;94?"4j<08;o5a3c6954=6>9m;o1a0?7432c8:84?:%1a1?50j2d8n94>4:9j732=83.8n84<7c9m7g2=9<10e>8<:18'7g3=;>h0b>l;:048?l51:3:1(>l::25a?k5e<3;<76g<6083>!5e=39=n;?:1<7*1=454i27e>5<#;k?1?:l4n2`7>4g<3`9>i7>5$2`6>61e3g9i87?m;:k01f<72-9i97=8b:l0f1<6k21b?8l50;&0f0<4?k1e?o:51e98m63f290/?o;536`8j6d328o07d=:9;29 6d22:=i7c=m4;3e?>o4=10;6)=m5;14f>h4j=09<65f34594?"4j<08;o5a3c6964=6>9m;o1a0?4432c8994?:%1a1?50j2d8n94=4:9j705=83.8n84<7c9m7g2=:<10e>6=:18'7g3=;>h0b>l;:348?l5?93:1(>l::25a?k5e<38<76g<8183>!5e=39=n;>l1<7*1>454i25f>5<#;k?1?:l4n2`7>7g<3`95$2`6>61e3g9i87o69o0;6)=m5;32a>h4j=0;76g>1e83>!5e=3;:i6`o69j0;6)=m5;32a>h4j=0976g>1c83>!5e=3;:i6`o69h0;6)=m5;32a>h4j=0?76g>1883>!5e=3;:i6`o6910;6)=m5;32a>h4j=0=76g>1683>!5e=3;:i6`o69<0;6)=m5;32a>h4j=0376g>1583>!5e=3;:i6`o69:0;6)=m5;32a>h4j=0j76g>1383>!5e=3;:i6`o6980;6)=m5;32a>h4j=0h76g>1183>!5e=3;:i6`o68o0;6)=m5;32a>h4j=0n76g>0d83>!5e=3;:i6`o68m0;6)=m5;32a>h4j=0:<65f11a94?"4j<0:=h5a3c6954=64:9j551=83.8n84>1d9m7g2=9<10e<>9:18'7g3=98o0b>l;:048?l77=3:1(>l::03f?k5e<3;<76g>0583>!5e=3;:i6`=n9991<7*1=454i021>5<#;k?1=4g<3`;;=7>5$2`6>47b3g9i87?m;:keb?6=,:h>65$2`6>47b3g9i87?k;:ke`?6=,:h>65$2`6>47b3g9i87?i;:kef?6=,:h>65$2`6>47b3g9i87<>;:ke=?6=,:h>65$2`6>47b3g9i87<<;:ke3?6=,:h>65$2`6>47b3g9i87<:;:k260<72-9i97?>e:l0f1<5>21b=?:50;&0f0<69l1e?o:52698m444290/?o;510g8j6d32;207d?=2;29 6d228;n7c=m4;0:?>o6:80;6)=m5;32a>h4j=09m65f13294?"4j<0:=h5a3c696g=6e:l0f1<5n21d?9o50;&0f0<4<01e?o:50:9l71>=83.8n84<489m7g2=921d?9950;&0f0<4<01e?o:52:9l710=83.8n84<489m7g2=;21d?9;50;&0f0<4<01e?o:54:9l712=83.8n84<489m7g2==21d?9=50;&0f0<4<01e?o:56:9l714=83.8n84<489m7g2=?21d?9>50;&0f0<4<01e?o:58:9l76`=83.8n84<489m7g2=121d?>k50;&0f0<4<01e?o:5a:9l76b=83.8n84<489m7g2=j21d?>m50;&0f0<4<01e?o:5c:9l76d=83.8n84<489m7g2=l21d?>o50;&0f0<4<01e?o:5e:9l76?=83.8n84<489m7g2=n21d?>650;&0f0<4<01e?o:51198k650290/?o;535;8j6d328;07b=<5;29 6d22:>27c=m4;31?>i4;=0;6)=m5;17=>h4j=0:?65`32194?"4j<08845a3c6951=6>:6;o1a0?7132e8?=4?:%1a1?5312d8n94>7:9l77`=83.8n84<489m7g2=9110c>l;:0;8?j55l3:1(>l::26:?k5e<3;j76a<2b83>!5e=39?56`=h;;k1<7*1=n54o20:>5<#;k?1?974n2`7>4b<3f9947>5$2`6>62>3g9i87?j;:m062<72-9i97=;9:l0f1<6n21d??850;&0f0<4<01e?o:52198k642290/?o;535;8j6d32;;07b==4;29 6d22:>27c=m4;01?>i4::0;6)=m5;17=>h4j=09?65`33094?"4j<08845a3c6961=6>:6;o1a0?4132e88k4?:%1a1?5312d8n94=7:9l71c=83.8n84<489m7g2=:110c>:k:18'7g3=;=30b>l;:3;8?j53k3:1(>l::26:?k5e<38j76a<4c83>!5e=39?56`=h;=;1<7*1>n54o215>5<#;k?1?974n2`7>7b<3f99n7>5$2`6>62>3g9i871e?o:50:9j510=83.8n84>469m7g2=921b=9;50;&0f0<6<>1e?o:52:9j512=83.8n84>469m7g2=;21b=9=50;&0f0<6<>1e?o:54:9j514=83.8n84>469m7g2==21b=9?50;&0f0<6<>1e?o:56:9j516=83.8n84>469m7g2=?21b=8?50;&0f0<6<>1e?o:58:9j506=83.8n84>469m7g2=121b=9h50;&0f0<6<>1e?o:5a:9j51c=83.8n84>469m7g2=j21b=9j50;&0f0<6<>1e?o:5c:9j51e=83.8n84>469m7g2=l21b=9l50;&0f0<6<>1e?o:5e:9j51g=83.8n84>469m7g2=n21b=9750;&0f0<6<>1e?o:51198m45a290/?o;51558j6d328;07b=nd;29 6d22:kh7c=m4;28?j5fj3:1(>l::2c`?k5e<3;07b=na;29 6d22:kh7c=m4;08?j5f13:1(>l::2c`?k5e<3907b=n8;29 6d22:kh7c=m4;68?j5f?3:1(>l::2c`?k5e<3?07b=n6;29 6d22:kh7c=m4;48?j5f=3:1(>l::2c`?k5e<3=07b=n3;29 6d22:kh7c=m4;:8?j5f:3:1(>l::2c`?k5e<3307b=n1;29 6d22:kh7c=m4;c8?j5f83:1(>l::2c`?k5e<3h07b=6f;29 6d22:kh7c=m4;a8?j5>m3:1(>l::2c`?k5e<3n07b=6d;29 6d22:kh7c=m4;g8?j5>k3:1(>l::2c`?k5e<3l07b=6b;29 6d22:kh7c=m4;33?>i41h0;6)=m5;1bg>h4j=0:=65`38:94?"4j<08mn5a3c6957=6>ol;o1a0?7332e8584?:%1a1?5fk2d8n94>5:9l7<2=83.8n847<:18'7g3=;hi0b>l;:058?j5>:3:1(>l::2c`?k5e<3;376a<9083>!5e=39jo6`=h;0:1<7*1=l54o2:e>5<#;k?1?lm4n2`7>4d<3f93h7>5$2`6>6gd3g9i87?l;:m0f290/?o;53`a8j6d328l07b=79;29 6d22:kh7c=m4;03?>i4010;6)=m5;1bg>h4j=09=65`39594?"4j<08mn5a3c6967=6>ol;o1a0?4332e8494?:%1a1?5fk2d8n94=5:9l7g5=83.8n84l=:18'7g3=;hi0b>l;:358?j5e93:1(>l::2c`?k5e<38376a!5e=39jo6`=h;hl1<7*1>l54o2cf>5<#;k?1?lm4n2`7>7d<3f9j87>5$2`6>6gd3g9i874290/?o;53`a8j6d32;l07d7j:18'7g3=1m1e?o:50:9j=f<72-9i977k;o1a0?7<3`3i6=4+3c79=a=i;k>1>65f9`83>!5e=33o7c=m4;18?l?>290/?o;59e9m7g2=<21b554?:%1a1??c3g9i87;4;h;4>5<#;k?15i5a3c692>=n1?0;6)=m5;;g?k5e<3=07do8:18'7g3=1m1e?o:58:9je3<72-9i977k;o1a0??<3`k>6=4+3c79=a=i;k>1m65fa583>!5e=33o7c=m4;`8?lg4290/?o;59e9m7g2=k21bm?4?:%1a1??c3g9i87j4;hc2>5<#;k?15i5a3c69a>=ni90;6)=m5;;g?k5e<3l07d7i:18'7g3=1m1e?o:51198m<3=83.8n846d:l0f1<6921d>8850;&0f0<5=<1e?o:50:9l602=83.8n84=549m7g2=921d>8=50;&0f0<5=<1e?o:52:9l604=83.8n84=549m7g2=;21d>8?50;&0f0<5=<1e?o:54:9l606=83.8n84=549m7g2==21d>9k50;&0f0<5=<1e?o:56:9l61b=83.8n84=549m7g2=?21d>9m50;&0f0<5=<1e?o:58:9l61d=83.8n84=549m7g2=121d>9o50;&0f0<5=<1e?o:5a:9l61?=83.8n84=549m7g2=j21d>9650;&0f0<5=<1e?o:5c:9l611=83.8n84=549m7g2=l21d>9850;&0f0<5=<1e?o:5e:9l613=83.8n84=549m7g2=n21d>9=50;&0f0<5=<1e?o:51198k725290/?o;52478j6d328;07b<;1;29 6d22;?>7c=m4;31?>i5<90;6)=m5;061>h4j=0:?65`22d94?"4j<09985a3c6951=6?;:;o1a0?7132e9?n4?:%1a1?42=2d8n94>7:9l66d=83.8n84=549m7g2=9110c?=n:18'7g3=:l;:0;8?j42l3:1(>l::376?k5e<3;j76a=5b83>!5e=38>96`=h:1=n54o37b>5<#;k?1>8;4n2`7>4b<3f8>57>5$2`6>7323g9i87?j;:m11=<72-9i97<:5:l0f1<6n21d>8950;&0f0<5=<1e?o:52198k72a290/?o;52478j6d32;;07b<;4;29 6d22;?>7c=m4;01?>i5;00;6)=m5;061>h4j=09?65fd483>!5e=3n?7c=m4;28L6e>32co?7>5$2`6>a2odn3:1(>l::e68j6d32<1C?n74;haf>5<#;k?1h95a3c692>N4k010enj50;&0f0=nll0;6)=m5;f7?k5e<320D>m6;:kg`?6=,:h>6i:4n2`7><=O;j307djl:18'7g3=l=1e?o:5a:J0g<=h4j=0i7E=l9:9j`d<72-9i97j;;o1a0?e<@:i276gk9;29 6d22m>0b>l;:e9K7f?<3`n36=4+3c79`1=i;k>1i6F!5e=3n?7c=m4;33?M5d121bon4?:%1a1?b33g9i87?>;I1`=>=zj=ln6=4>5`83>5}#;jo1><94H2f;?M5c82Pm?7lt8c815?1c2>31=i4>c;54>2e=9l0:n7;>:|&05a<6>?30b4>50:l:6?7m51:l153<63g8>i7?4n23`>4=i;k=1=6*;o1a0?4<3`hn6=4+3c79g4=i;k>1?65fbe83>!5e=3i:7c=m4;68?ldd290/?o;5c09m7g2==21bno4?:%1a1?e63g9i8784;h`b>5<#;k?1o<5a3c693>=nkk0;6)=m5;a2?k5e<3207dmn:18'7g3=k81e?o:59:9jg<<72-9i97m>;o1a0?g<3`i36=4+3c79g4=i;k>1n65fc683>!5e=3i:7c=m4;a8?le1290/?o;5c09m7g2=l21bo84?:%1a1?e63g9i87k4;ha7>5<#;k?1o<5a3c69b>=nk:0;6)=m5;a2?k5e<3;;76gm9;29 6d22j;0b>l;:038?jc?290/?o;5e69m7g2=821di;4?:%1a1?c03g9i87?4;ng6>5<#;k?1i:5a3c696>=hm=0;6)=m5;g4?k5e<3907bk<:18'7g3=m>1e?o:54:9la7<72-9i97k8;o1a0?3<3fo:6=4+3c79a2=i;k>1:65`e183>!5e=3o<7c=m4;58?j`6290/?o;5e69m7g2=021dj=4?:%1a1?c03g9i8774;nge>5<#;k?1i:5a3c69e>=hml0;6)=m5;g4?k5e<3h07bkk:18'7g3=m>1e?o:5c:9laf<72-9i97k8;o1a0?b<3foi6=4+3c79a2=i;k>1i65`e`83>!5e=3o<7c=m4;d8?jc>290/?o;5e69m7g2=9910cih50;&0f01:9j72e=83.8n84<7c9m7g2=821b?:o50;&0f0<4?k1e?o:51:9j72?=83.8n84<7c9m7g2=:21b?:650;&0f0<4?k1e?o:53:9j721=83.8n84<7c9m7g2=<21b?:850;&0f0<4?k1e?o:55:9j723=83.8n84<7c9m7g2=>21b?::50;&0f0<4?k1e?o:57:9j724=83.8n84<7c9m7g2=021b?:?50;&0f0<4?k1e?o:59:9j726=83.8n84<7c9m7g2=i21b?;h50;&0f0<4?k1e?o:5b:9j73c=83.8n84<7c9m7g2=k21b?;j50;&0f0<4?k1e?o:5d:9j73e=83.8n84<7c9m7g2=m21b?;l50;&0f0<4?k1e?o:5f:9j73g=83.8n84<7c9m7g2=9910e>86:18'7g3=;>h0b>l;:038?l51?3:1(>l::25a?k5e<3;976g<6783>!5e=39=n;??1<7*1=954i247>5<#;k?1?:l4n2`7>43<3`9=?7>5$2`6>61e3g9i87?9;:k027<72-9i97=8b:l0f1<6?21b?;?50;&0f0<4?k1e?o:51998m607290/?o;536`8j6d328307d=:f;29 6d22:=i7c=m4;3b?>o4=l0;6)=m5;14f>h4j=0:n65f34a94?"4j<08;o5a3c695f=6>9m;o1a0?7b32c8944?:%1a1?50j2d8n94>f:9j70>=83.8n84<7c9m7g2=:910e>;8:18'7g3=;>h0b>l;:338?l52>3:1(>l::25a?k5e<38976g<5483>!5e=39=n;<>1<7*1>954i270>5<#;k?1?:l4n2`7>73<3`93>7>5$2`6>61e3g9i87<9;:k0<4<72-9i97=8b:l0f1<5?21b?5>50;&0f0<4?k1e?o:52998m61a290/?o;536`8j6d32;307d=8e;29 6d22:=i7c=m4;0b?>o4?m0;6)=m5;14f>h4j=09n65f36194?"4j<08;o5a3c696f=6>9m;o1a0?4b32c89?4?:%1a1?50j2d8n94=f:9j54`=83.8n84>1d9m7g2=821b=1d9m7g2=:21b=1d9m7g2=<21b=<750;&0f0<69l1e?o:55:9j54>=83.8n84>1d9m7g2=>21b=<950;&0f0<69l1e?o:57:9j543=83.8n84>1d9m7g2=021b=<:50;&0f0<69l1e?o:59:9j545=83.8n84>1d9m7g2=i21b=<<50;&0f0<69l1e?o:5b:9j547=83.8n84>1d9m7g2=k21b=<>50;&0f0<69l1e?o:5d:9j55`=83.8n84>1d9m7g2=m21b==k50;&0f0<69l1e?o:5f:9j55b=83.8n84>1d9m7g2=9910e<>l:18'7g3=98o0b>l;:038?l77i3:1(>l::03f?k5e<3;976g>0883>!5e=3;:i6`=n9921<7*1=954i024>5<#;k?1=43<3`;;:7>5$2`6>47b3g9i87?9;:k240<72-9i97?>e:l0f1<6?21b==:50;&0f0<69l1e?o:51998m464290/?o;510g8j6d328307d??2;29 6d228;n7c=m4;3b?>o6880;6)=m5;32a>h4j=0:n65ffg83>!5e=3;:i6`=nnl0;6)=m5;32a>h4j=0:h65ffe83>!5e=3;:i6`=nnj0;6)=m5;32a>h4j=0:j65ffc83>!5e=3;:i6`=nnh0;6)=m5;32a>h4j=09=65ff883>!5e=3;:i6`=nn10;6)=m5;32a>h4j=09?65ff683>!5e=3;:i6`=nn?0;6)=m5;32a>h4j=09965f13794?"4j<0:=h5a3c6963=6?4?:%1a1?76m2d8n94=9:9j577=83.8n84>1d9m7g2=:h10e<l;:3`8?l76>3:1(>l::03f?k5e<38h76g>0c83>!5e=3;:i6`=n99:1<7*1>h54ig794?"4j<0:=h5a3c696c=j6=4+3c7971?5<#;k?1?974n2`7>4=<6=4+3c7971?5<#;k?1?974n2`7>6=>6=4+3c7971?5<#;k?1?974n2`7>0=86=4+3c7971?5<#;k?1?974n2`7>2=;6=4+3c7971?5<#;k?1?974n2`7><=5<#;k?1?974n2`7>g=5<#;k?1?974n2`7>a=5<#;k?1?974n2`7>c=4;n103?6=,:h>6>:6;o1a0?7632e8?84?:%1a1?5312d8n94>2:9l762=83.8n84<489m7g2=9:10c>=<:18'7g3=;=30b>l;:068?j54:3:1(>l::26:?k5e<3;>76a<3083>!5e=39?56`=h;::1<7*1=:54o20e>5<#;k?1?974n2`7>4><3f99i7>5$2`6>62>3g9i87?6;:m06a<72-9i97=;9:l0f1<6i21d??m50;&0f0<4<01e?o:51c98k64f290/?o;535;8j6d328i07b==9;29 6d22:>27c=m4;3g?>i4:10;6)=m5;17=>h4j=0:i65`33594?"4j<08845a3c695c=4;n111?6=,:h>6>:6;o1a0?4632e8>94?:%1a1?5312d8n94=2:9l775=83.8n84<489m7g2=::10c><=:18'7g3=;=30b>l;:368?j5593:1(>l::26:?k5e<38>76a<5183>!5e=39?56`=h;=l1<7*1>:54o26f>5<#;k?1?974n2`7>7><3f9?h7>5$2`6>62>3g9i87<6;:m00f<72-9i97=;9:l0f1<5i21d?9l50;&0f0<4<01e?o:52c98k626290/?o;535;8j6d32;i07b=<6;29 6d22:>27c=m4;0g?>i4:k0;6)=m5;17=>h4j=09i65`33294?"4j<08845a3c696c=5<#;k?1?4=5<#;k?1?6=5<#;k?1?0=5<#;k?1?2=36=4+3c795115<#;k?1=994n2`7>4=>6=4+3c795115<#;k?1=994n2`7>6=86=4+3c795115<#;k?1=994n2`7>0=:6=4+3c795115<#;k?1=994n2`7>2=5<#;k?1=994n2`7><=m6=4+3c795115<#;k?1=994n2`7>g=o6=4+3c795115<#;k?1=994n2`7>a=i6=4+3c795115<#;k?1=994n2`7>c=26=4+3c795114;h30b?6=,:h>6<:8;o1a0?7632e8mi4?:%1a1?5fk2d8n94?;:m0eg<72-9i97=nc:l0f1<632e8ml4?:%1a1?5fk2d8n94=;:m0e<<72-9i97=nc:l0f1<432e8m54?:%1a1?5fk2d8n94;;:m0e2<72-9i97=nc:l0f1<232e8m;4?:%1a1?5fk2d8n949;:m0e0<72-9i97=nc:l0f1<032e8m>4?:%1a1?5fk2d8n947;:m0e7<72-9i97=nc:l0f1<>32e8m<4?:%1a1?5fk2d8n94n;:m0e5<72-9i97=nc:l0f10:9l777:18'7g3=;hi0b>l;:008?j5>?3:1(>l::2c`?k5e<3;876a<9783>!5e=39jo6`=h;0?1<7*1=854o2;7>5<#;k?1?lm4n2`7>40<3f92?7>5$2`6>6gd3g9i87?8;:m0=7<72-9i97=nc:l0f1<6021d?4?50;&0f0<4ij1e?o:51898k6?7290/?o;53`a8j6d328k07b=7f;29 6d22:kh7c=m4;3a?>i40m0;6)=m5;1bg>h4j=0:o65`39a94?"4j<08mn5a3c695a=6>ol;o1a0?7a32e8444?:%1a1?5fk2d8n94=0:9l7=>=83.8n8468:18'7g3=;hi0b>l;:308?j5?>3:1(>l::2c`?k5e<38876a<8483>!5e=39jo6`=h;1>1<7*1>854o2`0>5<#;k?1?lm4n2`7>70<3f9i>7>5$2`6>6gd3g9i87<8;:m0f4<72-9i97=nc:l0f1<5021d?o>50;&0f0<4ij1e?o:52898k6ga290/?o;53`a8j6d32;k07b=ne;29 6d22:kh7c=m4;0a?>i4i=0;6)=m5;1bg>h4j=09o65`38;94?"4j<08mn5a3c696a=6>ol;o1a0?4a32c2i7>5$2`6>o>j3:1(>l::8f8j6d32;10e4o50;&0f0<>l2d8n94<;:k:=?6=,:h>64j4n2`7>1=h4j=0>76g67;29 6d220n0b>l;:798m<0=83.8n846d:l0f1<032cj;7>5$2`6>of=3:1(>l::8f8j6d32h10el:50;&0f0<>l2d8n94m;:kb7?6=,:h>64j4n2`7>f=h4j=0o76gn1;29 6d220n0b>l;:d98md6=83.8n846d:l0f15$2`6>4;h;6>5<#;k?15i5a3c6954=5<#;k?1>8;4n2`7>4=5<#;k?1>8;4n2`7>6=5<#;k?1>8;4n2`7>0=n6=4+3c796035<#;k?1>8;4n2`7>2=h6=4+3c796035<#;k?1>8;4n2`7><=j6=4+3c796035<#;k?1>8;4n2`7>g=36=4+3c796035<#;k?1>8;4n2`7>a==6=4+3c796035<#;k?1>8;4n2`7>c=86=4+3c796034;n076?6=,:h>6?;:;o1a0?7632e98<4?:%1a1?42=2d8n94>2:9l616=83.8n84=549m7g2=9:10c?=i:18'7g3=:l;:068?j44m3:1(>l::376?k5e<3;>76a=3e83>!5e=38>96`=h::i1<7*1=:54o31a>5<#;k?1>8;4n2`7>4><3f88m7>5$2`6>7323g9i87?6;:m11a<72-9i97<:5:l0f1<6i21d>8m50;&0f0<5=<1e?o:51c98k73e290/?o;52478j6d328i07b<:a;29 6d22;?>7c=m4;3g?>i5=00;6)=m5;061>h4j=0:i65`24:94?"4j<09985a3c695c=4;n07b?6=,:h>6?;:;o1a0?4632e9894?:%1a1?42=2d8n94=2:9l66?=83.8n84=549m7g2=::10ei;50;&0f0=nl:0;6)=m5;f7?k5e<3;0D>m6;:kg6?6=,:h>6i:4n2`7>7=O;j307dj>:18'7g3=l=1e?o:53:J0g<=h4j=0?7E=l9:9jgc<72-9i97j;;o1a0?3<@:i276gle;29 6d22m>0b>l;:79K7f?<3`io6=4+3c79`1=i;k>1;6F!5e=3n?7c=m4;;8L6e>32coo7>5$2`6>a2oc03:1(>l::e68j6d32l1C?n74;hf4>5<#;k?1h95a3c69b>N4k010ei850;&0f00:J0g<=h4j=0:=6F50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f067290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a157=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`647<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb427>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm51794?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:0783>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo;?7;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn8>7:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi9=750;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj<:h6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e=9n1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg37n3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f077290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a147=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`657<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb437>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm50794?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl:1783>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo;>7;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn8?7:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi9<750;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d29h0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm50`94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd29j0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;>d;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f07b290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`665<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb401>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=;91<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg35=3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8<9:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a171=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a>54?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<8j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm53`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9?m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=;n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a17c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm53d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a164=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a160=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9>650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=:31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a16g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm52`94?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs3276?6=98qUml5253`9=4=:=;i15<5253f9=4=:=;o15<5253d9=4=:=::15<525239=4=:=:815<525219=4=:=:>15<525279=4=:=:<15<525259=4=:=:215<5252;9=4=:=:k15<5rs3277?6=98qUm55253`914k525279=:8:181[72?27>?:4>3e9~w76303:1>vP>579>160=9:n0q~7}Y95<5sW;=863:32827a=z{;:?o7>52z\226=:=:81=>j4}r030a<72;qU=;?4=412>45c3ty9<9k50;0xZ40734?8<7?:0;296~X6=l169?k512f8yv47=80;6?uQ14f8904c289o7p}=04094?4|V8?h70;=c;30`>{t:9?86=4={_360>;2:k0:?i5rs3260?6=:rT8h95252`967?f;31g>;2:90:>n52533957e<5<896<>94>2b9>173=9;i018<9:00`?835?3;9o63:29826f=:=;31=?m4=40b>44d34?8n7<=a:p65312909=vP>399>14d=n=16914b=n=16914`=n=169?>5f59>177=n=169?<5f59>175=n=169?:5f59>173=n=169?85f59>171=n=169?65f59>17?=n=169?o5f59>17d=9:201864=413>45?34?8=7?<8:?677<6;1169>=512:89053289370;<5;30<>;2;?0:?552525956><5<936<=7;<70=?74027>?l4>399~w762?3:1>vP>6e9>17g=;k20q~7}Y9?h018<6:2`;?xu58<31<75<5sW;=563:2680f==z{;:>n7>52z\22==:=;<1?o64}r031f<72;qU=;94=406>6d?3ty9<8j50;0xZ41034?987=m8:p653b2909wS?86:?666<4j11v?>:f;296~X6?<169?<53c:8yv47>90;6?uQ161890462:h37p}=07394?4|V8=970;=0;1a<>{t:9<96=4={_345>;29o08n55rs3257?6=:rT:;=5250g97g>l7;|q1433=838pR<8j;<72g?5e02wx>=89:181[71>27>=o4<2<5<8h64=4=40`><2<5<8o64=4=40g><2<5<8n64=4=40f><2<5<8m64=4=40e><2<5<9;64=4=413><2<5<9:64=4=412><2<5<9964=4=411><2<5<9864=4=410><2<5<9?64=4=417><2<5<9>64=4=416><2<5<9=64=4=415><2<5<9<64=4=414><2<5<9364=4=41;><2<5<9264=4=41:><2<5<9j64=4=41b><214d=9:n01845c34?9o7=m8:p650f2909w0;>d;30`>;2:m08n55rs325f?6=:r7>=h4>3e9>17c=;k20q~7}:=8l1=>j4=40e>6d?3ty9<;j50;0x9047289o70;<0;1a<>{t:9?<4v3:23827a=:=:81?o64}r0335<72;q69?=512f890542:h37p}=06394?4|5<8?6<=k;<700?5e02wx>=9=:181835=3;8h63:3480f==z{;:52z?663<6;m169>853c:8yv47?=0;6?u2535956b<5<9<6>l7;|q1423=838p18<7:01g?834039i46s|2155>5<5s4?957?87;296~;2:h0:?i5252c97g>;2:m0:>n5253g957e<5<8m6<?<4>2b9>164=9;i018=<:00`?834<3;9o63:34826f=:=:<1=?m4=414>44d34?847?=c:?67<<6:j169>o513a8905e2:;;7psm52a94?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f1?i4?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a16c=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a?k4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<>:6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm55094?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2<:0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;;4;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f022290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi99850;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`602<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb46:>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e==k1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg33k3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8:k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a11c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm55d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=<;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a104=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=n4?::k27=<722c:?i4?::m0f=<722e8n44?::a100=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=<31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a10g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm54`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi98m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=n4?::k27=<722c:?i4?::m0f=<722e8n44?::a10c=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w76013:1=11c=181699h5909>106=181698?5909>104=181698=5909>102=181698;5909>100=18169895909>10>=18169875909>10g=181698l5909>10e=181698j5909~w760i3:1=11c=0o1699h58g9>106=0o1698?58g9>104=0o1698=58g9>102=0o1698;58g9>100=0o1698958g9>10>=0o1698758g9>10g=0o1698l58g9>10e=0o1698j58g9~w760j3:1>vP>5c9>10b=9:n0q~7}Y9<3018;l:01g?xu58>n1<75<5sW;>;63:5`827a=z{;:52z\213=:=<31=>j4}r03<5<72;qU=8;4=47;>45c3ty9<5?50;0xZ40234?>;7?52909wS?94:?613<6;m1v?>73;296~X6>:1698;512f8yv470=0;6?uQ17389033289o7p}=09794?4|V8<;70;:3;30`>{t:92=6=4={_36b>;2=;0:?i5rs32;3?6=:rT:9h52543956b=6n:181[72<27>8h4>3e9~w76?j3:1>vP10c=:;30q~44|V88h70;;2;o0:>n52552957e<5<>:6<8>4>2b9>112=9;i018:::00`?833>3;9o63:46826f=:==21=?m4=46:>44d34??m7?=c:?60g<6:j1699m513a8902c288h70;:e;01e>{t:92o6=4=1z\27==:=:o1j95252d9b1=:==:1j9525539b1=:==81j9525519b1=:==>1j9525579b1=:==<1j9525559b1=:==21j95255;9b1=:==k1j95255`9b1=:==i1j95255f9b1=:==o1=>64=46e>45?34?><7?<8:?614<6;11698<512:89034289370;:4;30<>;2=<0:?552544956><5944>399>10g=9:2018;m:01;?832k3;8463:5e827==z{;:3i7>52z\22a=:==n1?o64}r036d?3ty9<4>50;0xZ40f34??n7=m8:p65?62909wS?99:?60d<4j11v?>62;296~X6>11699753c:8yv471:0;6?uQ1758902?2:h37p}=08694?4|V8=<70;;7;1a<>{t:93>6=4={_342>;2?6>l7;|q14<>=838pR<9=;<777?5e02wx>=76:181[70927>8?4i3:1>vP>719>117=;k20q~7}Y9?l018:?:2`;?xu580i1<75<5sW;=:63:3d80f==z{;:2i7>520y>16b=:;<018:j:818902b20>018:i:818902a20>018;?:818903720>018;>:818903620>018;=:818903520>018;<:818903420>018;;:818903320>018;::818903220>018;9:818903120>018;8:818903020>018;7:818903?20>018;6:818903>20>018;n:818903f20>018;m:818903e20>018;l:818903d20>018;k:818903c20>0q~7}:=:o1=>j4=46f>6d?3ty950;0x905a289o70;;f;1a<>{t:9k:6=4={<774?74l27>9=4v3:40827a=:=<;1?o64}r03e6<72;q699<512f890352:h37p}=0`694?4|5<>86<=k;<767?5e02wx>=o::181833<3;8h63:5580f==z{;:j:7>52z?600<6;m1698;53c:8yv47i>0;6?u2554956b<5l7;|q14d>=838p18:8:01g?832?39i46s|21c:>5<5s4??47?na;296~;2<00:?i5254;97g>11g=9:n018;n:2`;?xu58hi1<745c34?>n7=m8:p65gc2909w0;;c;30`>;2=j08n55rs32ba?6=:r7>8i4>3e9>10b=;k20q~47|5<>n6<9=4>2b9>107=9;i018;=:00`?832;3;9o63:55826f=:=44d34?>;7?=c:?61=<6:j16987513a8903f288h70;:b;31g>;2=j0:>n5254f957e<5??;|a10`=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l:6183>4<729q/?nk52358L6b?3A9o<6a=2783>>{e=?;1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg31;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn88;:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a133=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a:;4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<<36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm57;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2>h0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;9b;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f00d290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9;j50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`62`<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb453>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=>;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a124=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9::50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a120=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9:650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a12g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9:m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=>n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a12c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm56d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi95>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=1;1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;:i<7>510y]ed=:=>;15<525609=4=:=>915<525669=4=:=>?15<525649=4=:=>=15<5256:9=4=:=>315<5256c9=4=:=>h15<5256a9=4=:=>n15<5256g9=4=:=>l15<525929=4=z{;:i=7>510y]e==:=>;14k525609914k525669?14k525649=14k5256:9314k5256c9h14k5256a9n14k5256g9l14k5259297>52z\21g=:=1:1=>j4}r03f6<72;qU=874=45e>45c3ty9m6;296~X6=?169:m512f8yv47j>0;6?uQ1478901e289o7p}=0c:94?4|V8<>70;8a;30`>{t:9h26=4={_350>;2?00:?i5rs32ae?6=:rT::>5256:956b=lk:181[72n27>;84>3e9~w76em3:1>vP>5d9>122=9:n0q~7}Y95<5sW;>863:70827a=z{;:h>7>52z\0`1=:=1;1>?74}r03g6<7288pR<:?4>2b9>135=9;i0188;:00`?831=3;9o63:67826f=:=?=1=?m4=44;>44d34?=57?=c:?62d<6:j169;l513a8900d288h70;9d;31g>;2>l0:>n5257d957e<5<=;6<=m;:1815~X6;1169;?5f59>134=n=169;=5f59>132=n=169;;5f59>130=n=169;95f59>13>=n=169;75f59>13g=n=169;l5f59>13e=n=169;j5f59>13c=n=169;h5f59>126=n=169:?512:89015289370;83;30<>;2?=0:?552567956><5<==6<=7;<743?74027>;54>399>12?=9:20189n:01;?830j3;8463:7b827==:=>n1=>64=45f>45?34?l5;296~X6>m169:>53c:8yv47k?0;6?uQ17`8900a2:h37p}=0b594?4|V8{t:9i36=4={_35=>;2>m08n55rs32`=?6=:rT::55257a97g>l7;|q14fd=838pR<98;<75e?5e02wx>=ml:181[70>27>:44vP>749>13>=;k20q~7}Y9>901888:2`;?xu58jl1<739i46s|21f3>5<5sW;<=63:6480f==z{;:o=7>52z\235=:=?>1?o64}r03`7<72;qU=;h4=440>6d?3ty97=m8:p65b32909wS?96:?624<4j11v?>k5;2964}:=?:1>?84=452><5<5<=:64:4=451><5<5<=964:4=450><5<5<=864:4=457><5<5<=?64:4=456><5<5<=>64:4=455><5<5<==64:4=454><5<5<=<64:4=45;><5<5<=364:4=45:><5<5<=264:4=45b><5<5<=j64:4=45a><5<5<=i64:4=45`><5<5<=h64:4=45g><5<5<=o64:4=45f><5<5<=n64:4=45e><5<5<=m64:4=4:3><5<5<2;64:4}r03`3<72;q69;?512f890162:h37p}=0e594?4|5<<96<=k;<746?5e02wx>=j7:181831;3;8h63:7280f==z{;:o57>52z?621<6;m169::53c:8yv47lh0;6?u2577956b<5<=>6>l7;|q14ad=838p1889:01g?830>39i46s|21f`>5<5s4?=;7?kd;296~;2>10:?i5256:97g>13?=9:n01896:2`;?xu58ml1<745c34?;2?k08n55rs32f5?6=:r7>:n4>3e9>12e=;k20q~7}:=?n1=>j4=45g>6d?3ty9{t:9o?6=4={<75b?74l27>;k4v3:71827a=:=1:1?o64}r03a3<728;p189>:00`?830:3;9o63:72826f=:=>>1=?m4=456>44d34?<:7?=c:?632<6:j169:6513a8901>288h70;8a;31g>;2?k0:>n5256a957e<5<=o6<;k4>2b9>1=6=9;i0186>:233?x{e=181<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f1N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6<0<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4:4>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=121<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3?i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn86m:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1=e=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a4i4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj<2m6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm58294?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2180;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;62;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0?4290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi94:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=0?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1<0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi94650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=031<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi94m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=0n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm58d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9l>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=h;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1d4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5`194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9l:50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v?>j7;2954}Yih1694:5909>1<3=18169485909>1<1=18169465909>1111<`=18169l>5909>1d7=18169l<5909>1d5=181v?>j8;2954}Yi11694:58g9>1<3=0o1694858g9>1<1=0o1694658g9>1111<`=0o169l>58g9>1d7=0o169l<58g9>1d5=0o1v?>j9;296~X6=k169l=512f8yv47mh0;6?uQ14;890g5289o7p}=0d`94?4|V8?370;n1;30`>{t:9oh6=4={_363>;2i90:?i5rs32f`?6=:rT:9;5258d956b=h?:181[71<27>5n4>3e9~w76a93:1>vP>629>17}Y9?;0187n:01g?xu58o91<713;8h6s|21d7>5<5sW;>j63:99827a=z{;:m97>52z\21`=:=0=1=>j4}r03b3<72;qU=8j4=4;5>45c3ty9i9;296~X4l=169l:523;8yv47nh0;6<44d34?347?=c:?6<<<6:j1695o513a890>e288h70;7c;31g>;20m0:>n5259g957e<5<2m6<5<4>2b9>1<4=9;i0187<:00`?83f<389m6s|21da>5<59rT:?5525969b1=:=1?1j9525949b1=:=1=1j95259:9b1=:=131j95259c9b1=:=1h1j95259a9b1=:=1n1j95259g9b1=:=1l1j9525829b1=:=0;1j9525809b1=:=091j952586956><5<3>6<=7;<7:2?74027>5:4>399>1<>=9:201876:01;?83>i3;8463:9c827==:=0i1=>64=4;g>45?34?2i7?<8:?6=c<6;1169l>512:890g6289370;n2;30<>;2i:0:?55rs32eg?6=:rT::i5258197g>l7;|q14cc=838pR<8n;<7:5?5e02wx>=hi:181[71127>5=4vP>699>1=`=;k20q~<>0083>7}Y9?=0186j:2`;?xu59981<75<5sW;<:63:8b80f==z{;;;87>52z\230=:=1h1?o64}r0240<72;qU=:=4=4:b>6d?3ty9==850;0xZ41534?357=m8:p64602909wS?81:?6<=<4j11v???8;296~X6?91695953c:8yv46800;6?uQ17d890>12:h37p}=11c94?4|V8{t:8:i6=4={_352>;20=08n55rs333g?6=:8q695=5234890?32090187;:86890?22090187::86890?120901879:86890?020901878:86890??20901877:86890?>20901876:86890?f2090187n:86890?e2090187m:86890?d2090187l:86890?c2090187k:86890?b2090187j:86890?a2090187i:86890g7209018o?:86890g6209018o>:86890g5209018o=:86890g4209018o<:868yv468m0;6?u2596956b<5<3?6>l7;|q155c=838p186::01g?83>=39i46s|202e>5<5s4?3:7?0;296~;20>0:?i5258597g>1=>=9:n01877:2`;?xu59881<745c34?257=m8:p64742909w0;7a;30`>;21h08n55rs3320?6=:r7>4o4>3e9>11483>7}:=1i1=>j4=4;`>6d?3ty9=<850;0x90>c289o70;6d;1a<>{t:8;<6=4={<7;a?74l27>5h4v3:8g827a=:=0l1?o64}r025<<72;q694>512f890g72:h37p}=10c94?4|5<3:6<=k;<7b5?5e02wx>:3;8h63:a380f==z{;;:o7>52z?6=6<6;m169l=53c:8yv469m0;644d34?297?=c:?6=3<6:j16949513a890??288h70;69;31g>;21h0:>n5258`957e<5<3h6<5h4>2b9>1<`=9;i018o?:00`?83f93;9o63:a3826f=:=h91=?m4=4c7>6773twi9l;50;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d2i?0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm5`594?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2i10;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;n9;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0gf290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9ll50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6ef<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4cf>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=hl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3e93:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8l=:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1g5=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1an94?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5c594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9o650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=k31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1gg=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5c`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9om50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=kn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1gc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5cd94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9n>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=j;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1f4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5b194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9n:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=j?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1f0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5b594?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs332a?6=98qUml525c59=4=:=k215<525c;9=4=:=kk15<525c`9=4=:=ki15<525cf9=4=:=ko15<525cd9=4=:=j:15<525b39=4=:=j815<525b19=4=:=j>15<525b79=4=:=j<15<5rs332b?6=98qUm5525c5914k525b79<4?:3y]50?<56<=k;|q1574=838pR<;7;<7`0?74l2wx><<<:181[72?27>o>4>3e9~w775<3:1>vP>579>1f4=9:n0q~<>2483>7}Y9:01g?xu59;<1<75<5sW;=863:bg827a=z{;;947>52z\226=:=ko1=>j4}r026<<72;qU=;?4=4`g>45c3ty9=?o50;0xZ40734?io7?289o7p}=13g94?4|V8?h70;m8;30`>{t:88m6=4={_360>;2j>0:?i5rs3304?6=:rT8h9525b5967?;2ij0:>n525`f957e<5n=4>2b9>1g7=9;i018l=:00`?83e;3;9o63:b5826f=:=k?1=?m4=4`5>44d34?h;7<=a:p64552909=vP>399>1d1=n=169l65f59>1d?=n=169lo5f59>1dd=n=169lm5f59>1db=n=169lk5f59>1d`=n=169o>5f59>1g7=n=169o<5f59>1g5=n=169o:5f59>1g3=n=169o85f59>1g1=9:2018l7:01;?83e13;8463:b`827==:=kh1=>64=4``>45?34?ih7?<8:?6f`<6;1169oh512:890e7289370;l1;30<>;2k;0:?5525b1956><5o;4>399~w774;3:1>vP>6e9>1g0=;k20q~<>3583>7}Y9?h018l::2`;?xu59:?1<75<5sW;=563:b280f==z{;;8;7>52z\22==:=k81?o64}r027=<72;qU=;94=4`2>6d?3ty9=>750;0xZ41034?i<7=m8:p645f2909wS?86:?6ec<4j11v??{t:89n6=4={_345>;2ik08n55rs330b?6=:rT:;=525`c97g>l7;|q1517=838pR<8j;<7b<:=:181[71>27>m:4<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<5<2<564=4=4a6><2<5<21d1=9:n018l8:2`;?xu59=?1<745c34?i47=m8:p64212909w0;n9;30`>;2j008n55rs3373?6=:r7>ml4>3e9>1gg=;k20q~<>4983>7}:=hh1=>j4=4`a>6d?3ty9=9750;0x90gd289o70;mc;1a<>{t:8>j6=4={<7b`?74l27>ni4v3:ad827a=:=ko1?o64}r020f<72;q69lh512f890da2:h37p}=15f94?4|5<:j:18183e93;8h63:c080f==z{;;?j7>52z?6f7<6;m169n<53c:8yv46=90;6?u25c1956b<5l7;|q1507=838p18l;:01g?83d<39i46s|2071>5<5s4?i97?;2j00:>n525cc957e<5ni4>2b9>1gc=9;i018li:00`?83d83;9o63:c0826f=:=j81=?m4=4a0>44d34?h87?=c:?6g0<6:j169n8513a890e02:;;7psm5b:94?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f1o44?:083>5}#;jo1>?94H2f;?M5c82e9>;4?::a1fg=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1aoo4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5bg94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2ko0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;k0;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0b6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9i<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6`6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=m<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3c03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8j6:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1ag=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5e`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9im50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=mn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1ac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5ed94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5d194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5d594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi9h650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e=l31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1`g=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w772=3:1=1ag=18169il5909>1ae=18169ij5909>1ac=18169ih5909>1`6=18169h?5909>1`4=18169h=5909>1`2=18169h;5909>1`0=18169h95909>1`>=18169h75909~w772>3:1=1ag=0o169il58g9>1ae=0o169ij58g9>1ac=0o169ih58g9>1`6=0o169h?58g9>1`4=0o169h=58g9>1`2=0o169h;58g9>1`0=0o169h958g9>1`>=0o169h758g9~w772?3:1>vP>5c9>1`?=9:n0q~<>5983>7}Y9<3018k7:01g?xu59<31<75<5sW;>;63:e7827a=z{;;>n7>52z\213=:=l?1=>j4}r021f<72;qU=8;4=4g7>45c3ty9=8j50;0xZ40234?n?7?:169h?512f8yv46>90;6?uQ173890c7289o7p}=17394?4|V8<;70;kf;30`>{t:8<96=4={_36b>;2ll0:?i5rs3357?6=:rT:9h525ef956b<89:181[72<27>hl4>3e9~w771?3:1>vP1`g=:;30q~<>6983>44|V88h70;la;31g>;2kk0:>n525ba957e<5ok4>2b9>1a6=9;i018j>:00`?83c:3;9o63:d2826f=:=m>1=?m4=4f6>44d34?o:7?=c:?6`2<6:j169i6513a890b>288h70;ja;01e>{t:8<26=4=1z\27==:=jk1j9525b`9b1=:=ji1j9525bf9b1=:=jo1j9525bd9b1=:=m:1j9525e39b1=:=m81j9525e19b1=:=m>1j9525e79b1=:=m<1j9525e59b1=:=m21j9525e;9b1=:=mk1=>64=4fa>45?34?oo7?<8:?6`a<6;1169ik512:890ba289370;j0;30<>;2m80:?5525d0956><5i84>399>1`0=9:2018k8:01;?83b03;8463:e8827==z{;;=m7>52z\22a=:=m31?o64}r022g<72;qU=;l4=4f;>6d?3ty9=;m50;0xZ40f34?o;7=m8:p640c2909wS?99:?6`3<4j11v??9e;296~X6>1169i;53c:8yv46>o0;6?uQ175890b32:h37p}=16294?4|V8=<70;k3;1a<>{t:8=:6=4={_342>;2l;08n55rs3346?6=:rT:;8525e397g>4?:3y]525<5l7;|q1522=838pR<9=;<7`b?5e02wx><9::181[70927>oh43:1>vP>719>1fb=;k20q~<>7683>7}Y9?l018ml:2`;?xu59>21<75<5sW;=:63:c`80f==z{;;520y>1f?=:;<018jn:81890bf20>018jm:81890be20>018jl:81890bd20>018jk:81890bc20>018jj:81890bb20>018ji:81890ba20>018k?:81890c720>018k>:81890c620>018k=:81890c520>018k<:81890c420>018k;:81890c320>018k::81890c220>018k9:81890c120>018k8:81890c020>018k7:81890c?20>018k6:81890c>20>0q~<>7c83>7}:=jk1=>j4=4fb>6d?3ty9=:m50;0x90ee289o70;kb;1a<>{t:8=o6=4={<7`g?74l27>hn4v3:ce827a=:=mn1?o64}r023c<72;q69nk512f890bb2:h37p}=19294?4|5<6>:18183c83;8h63:e180f==z{;;3>7>52z?6`4<6;m169h?53c:8yv460:0;6?u25e0956b<5l7;|q15=2=838p18j<:01g?83b;39i46s|20:6>5<5s4?o87?1a0=9:n018k9:2`;?xu59121<745c34?n;7=m8:p64>>2909w0;k8;30`>;2m108n55rs33;e?6=:r7>h44>3e9>1`?=;k20q~<>8c83>47|5hn4>2b9>1ab=9;i018jj:00`?83cn3;9o63:e1826f=:=l;1=?m4=4g1>44d34?n?7?=c:?6a1<6:j169h;513a890c1288h70;j7;31g>;2m10:>n525d;957e<5??;|a1`d=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l:eb83>4<729q/?nk52358L6b?3A9o<6a=2783>>{e=ln1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg3bn3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn8h?:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1c7=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1aj?4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o6:j0;66g>3e83>>i4j10;66sm5g794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd2n?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo;i7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f0`?290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi9k750;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`6bd<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb4d`>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e=on1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a1cc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm5gd94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a254=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a250=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>931<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a25g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm61`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:=m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>9n1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;;3o7>510y]ed=:=on15<525gg9=4=:=ol15<526129=4=:>9;15<526109=4=:>9915<526169=4=:>9?15<526149=4=:>9=15<5261:9=4=:>9315<5261c9=4=:>9h15<5261a9=4=z{;;3h7>510y]e==:=on14k525gg99;14k5261099914k5261699?14k5261499=14k5261:99314k5261c99h14k5261a952z\21g=:>9i1=>j4}r0245c3ty9=4>50;0xZ43?34<;m7?708?6;30`>{t:83>6=4={_350>;18<0:?i5rs33:2?6=:rT::>52616956b=838pR<8?;<436?74l2wx><76:181[72n27=<<4>3e9~w77>i3:1>vP>5d9>256=9:n0q~<>9c83>7}Y95<5sW;>863:fe827a=z{;;2i7>52z\0`1=:>9n1>?74}r02=c<7288pR<ih4>2b9>1``=9;i018h?:00`?83a93;9o63:f3826f=:=o91=?m4=4d7>44d34?m97?=c:?6b3<6:j169k9513a890`?288h70;i9;31g>;2nh0:>n525g`957e<51`c=n=169hh5f59>1c6=n=169k?5f59>1c4=n=169k=5f59>1c2=n=169k;5f59>1c0=n=169k95f59>1c>=n=169k75f59>1cg=n=169kl5f59>1ce=n=169kj512:890`b289370;if;30<>;1890:?552613956><5?:96<=7;<437?74027=<94>399>253=9:201;>9:01;?807?3;8463909827==:>931=>64=72b>45?34<;n7?<8:?54f<6;11v??n1;296~X6>m169km53c:8yv46i;0;6?uQ17`890`e2:h37p}=1`194?4|V8{t:8k?6=4={_35=>;2n008n55rs33b1?6=:rT::5525g:97g>l7;|q15d1=838pR<98;<7e2?5e02wx>27>j84vP>749>1c2=;k20q~<>a`83>7}Y9>9018h<:2`;?xu59hh1<75<5sW;<=63:f080f==z{;;jh7>52z\235=:=o:1?o64}r02e`<72;qU=;h4=4ge>6d?3ty9=lh50;0xZ40b34?ni7=m8:p64d72909wS?96:?6aa<4j11v??m1;2964}:=li1>?84=4dg><5<5<5<5<5<5<5<5?:;64:4=722><5<5?::64:4=721><5<5?:964:4=720><5<5?:864:4=727><5<5?:?64:4=726><5<5?:>64:4=725><5<5?:=64:4=724><5<5?:<64:4=72;><5<5?:364:4=72:><5<5?:264:4=72b><5<5?:j64:4=72a><5<5?:i64:4=72`><5<5?:h64:4}r02f7<72;q69hj512f890`c2:h37p}=1c194?4|552z?6b5<6;m16:=>53c:8yv46j?0;6?u25g3956b<5?::6>l7;|q15g1=838p18h=:01g?807:39i46s|20`;>5<5s4?m?7?1c3=9:n01;>::2`;?xu59kh1<745c34<;:7=m8:p64dd2909w0;i7;30`>;18>08n55rs33a`?6=:r7>j54>3e9>25>=;k20q~<>bd83>7}:=o31=>j4=72:>6d?3ty9=oh50;0x90`f289o708?a;1a<>{t:8i;6=4={<7ef?74l27=v3:fb827a=:>9i1?o64}r02g7<728;p18hk:00`?83am3;9o63:fg826f=:>9:1=?m4=722>44d34<;>7?=c:?546<6:j16:=:513a89362288h708?6;31g>;18>0:>n5261:957e<5?:26<2b9>25e=9;i01;>k:233?x{e>9o1<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f150;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`554<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb730>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>8>1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg06>3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;?8:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a24>=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?;i6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm60a94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd19m0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8>e;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f37a290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:?>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a274=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a270=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a27g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:?m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>;n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a27c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm63d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:>>50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v??l3;2954}Yih16:?>5909>277=1816:?<5909>275=1816:?:5909>273=1816:?85909>271=1816:?65909>27?=1816:?o5909>27d=1816:?m5909>27b=1816:?k5909>27`=181v??l4;2954}Yi116:?>58g9>277=0o16:?<58g9>275=0o16:?:58g9>273=0o16:?858g9>271=0o16:?658g9>27?=0o16:?o58g9>27d=0o16:?m58g9>27b=0o16:?k58g9>27`=0o1v??l5;296~X6=k16:?h512f8yv46k?0;6?uQ14;8934b289o7p}=1b594?4|V8?3708=d;30`>{t:8i36=4={_363>;1:j0:?i5rs33`=?6=:rT:9;5263`956b54>3e9~w77dl3:1>vP>629>271=9:n0q~<>cd83>7}Y9?;01;<9:01g?xu59jl1<75<5sW;>j63925827a=z{;;o=7>52z\21`=:>;91=>j4}r02`7<72;qU=8j4=701>45c3ty9=i=50;0xZ43d34<9=7?>523;8yv46l?0;6<881=?m4=730>44d34<:87?=c:?550<6:j16:<8513a89370288h708>8;31g>;1900:>n5260c957e<5?;i6<2b9>24c=9;i01;?i:00`?8048389m6s|20f4>5<59rT:?5526029b1=:>8;1j9526009b1=:>891j9526069b1=:>8?1j9526049b1=:>8=1j95260:9b1=:>831j95260c9b1=:>8h1j95260a9b1=:>8n1j95260g9b1=:>8l1j952632956><5?8:6<=7;<416?74027=>>4>399>272=9:201;<::01;?805>3;8463926827==:>;21=>64=70:>45?34<9m7?<8:?56g<6;116:?m512:8934c2893708=e;30<>;1:o0:?55rs33gl7;|q15ag=838pR<8n;<42`?5e02wx>vP>699>24d=;k20q~<>de83>7}Y9?=01;?n:2`;?xu59mo1<75<5sW;<:6391980f==z{;;n<7>52z\230=:>8=1?o64}r02a4<72;qU=:=4=735>6d?3ty9=h<50;0xZ41534<:97=m8:p64c42909wS?81:?551<4j11v??j4;296~X6?916:<=53c:8yv46m<0;6?uQ17d893752:h37p}=1d494?4|V81;1a<>{t:8o<6=4={_352>;19908n55rs33f:868934520901;<=:868934420901;<<:868934320901;<;:868934220901;<::868934120901;<9:868934020901;<8:868934?20901;<7:868934>20901;<6:868934f20901;l7;|q15`g=838p1;?>:01g?805939i46s|20ga>5<5s4<:>7?242=9:n01;<;:2`;?xu59lo1<745c34<997=m8:p64ca2909w08>6;30`>;1:?08n55rs33e4?6=:r7==:4>3e9>271=;k20q~<>f083>7}:>821=>j4=70;>6d?3ty9=k<50;0x937>289o708=9;1a<>{t:8l86=4={<42e?74l27=>l4v391c827a=:>;h1?o64}r02b0<72;q6:52z?55c<6;m16:?h53c:8yv46n00;644d34<9=7?=c:?567<6:j16:?=513a89343288h708=5;31g>;1:?0:>n52635957e<5?836<l4>2b9>27d=9;i01;;l1=?m4=713>6773twi:>?50;05>74=;>qC?i>4$2af>73>:2Pm?7=t4d82=?7f2tcjm7>5$2`6>d?o6=k0;6)=m5;36e>h4j=0;76g>5883>!5e=3;>m6`o6=10;6)=m5;36e>h4j=0976g>5683>!5e=3;>m6`o6=?0;6)=m5;36e>h4j=0?76g>5483>!5e=3;>m6`o6><0;6)=m5;36e>h4j=0=76g>6583>!5e=3;>m6`o6>:0;6)=m5;36e>h4j=0376g>6083>!5e=3;>m6`o6>90;6)=m5;36e>h4j=0j76g>5g83>!5e=3;>m6`o6=l0;6)=m5;36e>h4j=0h76g>5e83>!5e=3;>m6`o6=j0;6)=m5;36e>h4j=0n76g>5583>!5e=3;>m6`o4l=0;66g>2b83>>o4k?0;66g>3983>>i6>m0;6)=m5;35g>h4j=0;76a>6c83>!5e=3;=o6`i6>h0;6)=m5;35g>h4j=0976a>6883>!5e=3;=o6`i6>10;6)=m5;35g>h4j=0?76a>6683>!5e=3;=o6`i6?>0;6)=m5;35g>h4j=0=76a>7783>!5e=3;=o6`i6?<0;6)=m5;35g>h4j=0376a>7283>!5e=3;=o6`i6?;0;6)=m5;35g>h4j=0j76a>7083>!5e=3;=o6`i6?90;6)=m5;35g>h4j=0h76a>6g83>!5e=3;=o6`i6>l0;6)=m5;35g>h4j=0n76a>6783>!5e=3;=o6`d1;;0;6<4?:1y'7fc=:;=0D>j7;I1g4>i5:?0;66sm62194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1;=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8<5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f351290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:>950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`57=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb71b>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>:h1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg04l3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;=j:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a26`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?>96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm65194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a210=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a21g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:9m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>=n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a21c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm65d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:8>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e><;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a204=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm64194?5=83:p(>mj:316?M5c02B8h=5+23g9<2=n:;31<75f23c94?=h;8:1<75rs33ee?6=98qUml526519=4=:>=>15<526579=4=:>=<15<526559=4=:>=215<5265;9=4=:>=k15<5265`9=4=:>=i15<5265f9=4=:>=o15<5265d9=4=:><:15<526439=4=:><815<5rs33ef?6=98qUm5526519=>14k526579=<14k526559=214k5265;9=k14k5265`9=i14k5265f9=o14k5265d9<:14k526439<814k5rs33eg?6=:rT:9o52640956b3e9~w74783:1>vP>579>21c=9:n0q~<=0083>7}Y95<5sW;=86394c827a=z{;8;87>52z\226=:>=k1=>j4}r0140<72;qU=;?4=76:>45c3ty9>=850;0xZ40734{t:;:i6=4={_360>;1<:0:?i5rs303g?6=:rT8h952641967?;513a89351288h708<7;31g>;1;10:>n5262;957e<5?9j6<2b9>26b=9;i01;=j:00`?804n3;9o63941826f=:>=;1=?m4=761>44d34<>?7<=a:p676b2909=vP>399>265=n=16:>:5f59>263=n=16:>85f59>261=n=16:>65f59>26?=n=16:>o5f59>26d=n=16:>m5f59>26b=n=16:>k5f59>26`=n=16:9>5f59>217=n=16:9<5f59>215=9:201;:;:01;?803=3;8463947827==:>==1=>64=76;>45?34;1<5??;6<=7;<465?74027=9?4>399~w747n3:1>vP>6e9>214=;k20q~<=1183>7}Y9?h01;:>:2`;?xu5:8;1<75<5sW;=56393g80f==z{;8:?7>52z\22==:>:o1?o64}r0151<72;qU=;94=71g>6d?3ty9><;50;0xZ41034<8o7=m8:p67712909wS?86:?57g<4j11v?<>7;296~X6?<16:>o53c:8yv45910;6?uQ1618935>2:h37p}=20;94?4|V8=9708<8;1a<>{t:;;j6=4={_345>;1;>08n55rs302f?6=:rT:;=5262497g>6>l7;|q164b=838pR<8j;<400?5e02wx>??j:181[71>27=?>4864=4=760><2<5?>?64=4=767><2<5?>>64=4=766><2<5?>=64=4=765><2<5?><64=4=764><2<5?>364=4=76;><2<5?>264=4=76:><2<5?>j64=4=76b><2<5?>i64=4=76a><2<5?>h64=4=76`><2<5?>o64=4=76g><2<5?>n64=4=76f><2<5?>m64=4=76e><2<5??;64=4=773><2<5??:64=4=772><2<5??964=4=771><2=4?:3y>265=9:n01;:<:2`;?xu5:;;1<745c34;1<<08n55rs3017?6=:r7=?;4>3e9>210=;k20q~<=2583>7}:>:=1=>j4=764>6d?3ty9>?;50;0x935?289o708;8;1a<>{t:;8=6=4={<40=?74l27=844v393`827a=:>=k1?o64}r016=<72;q6:>l512f8932e2:h37p}=23;94?4|5?9h6<=k;<47g?5e02wx>?52z?57`<6;m16:9k53c:8yv45:j0;6?u262d956b<5?>m6>l7;|q167b=838p1;:?:01g?802839i46s|230f>5<5s4;1<<0:>n52654957e<5?><6<2b9>21g=9;i01;:m:00`?803k3;9o6394e826f=:>=o1=?m4=76e>44d34<><7?=c:?514<6:j16:8<513a893342:;;7psm64694?412;81?:uG3e28 6eb2;?2>6Ti3;1x0`<613;j6pgna;29 6d22h30b>l;:198md>=83.8n84n9:l0f1<632c:9o4?:%1a1?72i2d8n94?;:k21<<72-9i97?:a:l0f1<632c:954?:%1a1?72i2d8n94=;:k212<72-9i97?:a:l0f1<432c:9;4?:%1a1?72i2d8n94;;:k210<72-9i97?:a:l0f1<232c::84?:%1a1?72i2d8n949;:k221<72-9i97?:a:l0f1<032c::>4?:%1a1?72i2d8n947;:k224<72-9i97?:a:l0f1<>32c::=4?:%1a1?72i2d8n94n;:k21c<72-9i97?:a:l0f132e:;?4?:%1a1?71k2d8n94n;:m234<72-9i97?9c:l0f15}#;jo1>?94H2f;?M5c82e9>;4?::a200=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj??26=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm64c94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1=k0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8:c;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f33c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:8k50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`51c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb742>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>?81<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg01<3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;8::187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a230=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:;650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>?31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a23g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:;m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>?n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a23c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm67d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi::>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>>;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a224=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm66194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:::50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>>?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a220=8391<7>t$2af>7523A9o46F1b>?750;9j67g=831d?<>50;9~w74493:1=230=1816:;95909>23>=1816:;75909>23g=1816:;l5909>23e=1816:;j5909>23c=1816:;h5909>226=1816::?5909>224=1816::=5909>222=1816::;5909~w744:3:1=230=0o16:;958g9>23>=0o16:;758g9>23g=0o16:;l58g9>23e=0o16:;j58g9>23c=0o16:;h58g9>226=0o16::?58g9>224=0o16::=58g9>222=0o16::;58g9~w744;3:1>vP>5c9>223=9:n0q~<=3583>7}Y9<301;9;:01g?xu5::?1<75<5sW;>;63973827a=z{;88;7>52z\213=:>>;1=>j4}r017=<72;qU=8;4=753>45c3ty9>>750;0xZ40234<=j7?:16:;j512f8yv45;j0;6?uQ1738930d289o7p}=22f94?4|V8<;7089b;30`>{t:;9n6=4={_36b>;1>h0:?i5rs300b?6=:rT:9h5267;956b?:=:181[72<27=:;4>3e9~w743;3:1>vP220=:;30q~<=4583>44|V88h708:6;31g>;1=>0:>n5264:957e<5??26<2b9>20e=9;i01;;k:00`?802m3;9o6395g826f=:>?:1=?m4=742>44d34<=>7?=c:?526<6:j16:;:513a89302288h70886;01e>{t:;>>6=4=1z\27==:><<1j9526459b1=:><21j95264;9b1=:>?:1j9526739b1=:>?81j9526719b1=:>?>1j9526779b1=:>?<1=>64=744>45?34<=47?<8:?52<<6;116:;o512:8930e28937089c;30<>;1>m0:?55267g956><5?399>224=9:201;9<:01;?800<3;8463974827==z{;8?:7>52z\22a=:>??1?o64}r0102<72;qU=;l4=747>6d?3ty9>9650;0xZ40f34<=?7=m8:p672>2909wS?99:?527<4j11v?<;a;296~X6>116:;?53c:8yv45{t:;>o6=4={_342>;1=l08n55rs307a?6=:rT:;85264f97g>l7;|q1606=838pR<9=;<46f?5e02wx>?;>:181[70927=9l4vP>719>20?=;k20q~<=5283>7}Y9?l01;;7:2`;?xu5:<>1<75<5sW;=:6395780f==z{;8>:7>520y>203=:;<01;89:818930120>01;88:818930020>01;87:818930?20>01;86:818930>20>01;8n:818930f20>01;8m:818930e20>01;8l:818930d20>01;8k:818930c20>01;8j:818930b20>01;8i:818930a20>01;9?:818931720>01;9>:818931620>01;9=:818931520>01;9<:818931420>01;9;:818931320>01;9::818931220>0q~<=5683>7}:><<1=>j4=745>6d?3ty9>8650;0x9330289o70897;1a<>{t:;?26=4={<46v3958827a=:>?31?o64}r011g<72;q6:8o512f8930f2:h37p}=24a94?4|5??i6<=k;<45f?5e02wx>?;k:181802k3;8h6396b80f==z{;8>i7>52z?51a<6;m16:;j53c:8yv45=o0;6?u264g956b<5?l7;|q1636=838p1;;i:01g?801n39i46s|2342>5<5s4<=<7?80:?i5266397g>4?:3y>234=9:n01;9=:2`;?xu5:?>1<745c34<;1?=08n55rs3052?6=:r7=:84>3e9>223=;k20q~<=6683>47|5?<=6<2b9>23?=9;i01;8n:00`?801j3;9o6396b826f=:>?n1=?m4=74f>44d34<=j7?=c:?535<6:j16::?513a89315288h70883;31g>;1?=0:>n52667957e<5?==6>??;|a221=838=6?<536yK7a6<,:in6?;62:Xe7?5|6l74n2`7>5=h4j=0:76g>5c83>!5e=3;>m6`o6=00;6)=m5;36e>h4j=0:76g>5983>!5e=3;>m6`o6=>0;6)=m5;36e>h4j=0876g>5783>!5e=3;>m6`o6=<0;6)=m5;36e>h4j=0>76g>6483>!5e=3;>m6`o6>=0;6)=m5;36e>h4j=0<76g>6283>!5e=3;>m6`o6>80;6)=m5;36e>h4j=0276g>6183>!5e=3;>m6`o6=o0;6)=m5;36e>h4j=0i76g>5d83>!5e=3;>m6`o6=m0;6)=m5;36e>h4j=0o76g>5b83>!5e=3;>m6`o6==0;6)=m5;36e>h4j=0m76g>o6:j0;66g>o6;10;66a>6e83>!5e=3;=o6`i6>k0;6)=m5;35g>h4j=0:76a>6`83>!5e=3;=o6`i6>00;6)=m5;35g>h4j=0876a>6983>!5e=3;=o6`i6>>0;6)=m5;35g>h4j=0>76a>7683>!5e=3;=o6`i6??0;6)=m5;35g>h4j=0<76a>7483>!5e=3;=o6`i6?:0;6)=m5;35g>h4j=0276a>7383>!5e=3;=o6`i6?80;6)=m5;35g>h4j=0i76a>7183>!5e=3;=o6`i6>o0;6)=m5;35g>h4j=0o76a>6d83>!5e=3;=o6`i6>?0;6)=m5;35g>h4j=0m76l97983>4<729q/?nk52358L6b?3A9o<6a=2783>>{e>>31<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg00j3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;9l:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a22b=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?2;6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm69394?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd10;0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo873;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3>3290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:5;50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5<3<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7:;>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>131<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2=g=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm69`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:5m50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>1n1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2=c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm69d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>0;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2<4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm68194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>0?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2<0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm68594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:4650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>031<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14:5f23;94?=n:;k1<75`30294?=z{;8=47>510y]ed=:>1315<5269c9=4=:>1h15<5269a9=4=:>1n15<5269g9=4=:>1l15<526829=4=:>0;15<526809=4=:>0915<526869=4=:>0?15<526849=4=:>0=15<5268:9=4=z{;8=57>510y]e==:>1314k5269c91h14k5269a91n14k5269g91l14k5268290;14k5268090914k5268690?14k5268490=14k5268:952z\21g=:>021=>j4}r012g<72;qU=874=7;4>45c3ty9>;m50;0xZ43?34<2:7?o0;6?uQ147893?4289o7p}=26294?4|V8<>70862;30`>{t:;=:6=4={_350>;1180:?i5rs3046?6=:rT::>52682956b4?:3y]537<5?2m6<=k;|q1622=838pR<8?;<4;a?74l2wx>?9::181[72n27=4i4>3e9~w740>3:1>vP>5d9>2=e=9:n0q~<=7683>7}Y921<75<5sW;>863988827a=z{;852z\0`1=:>031>?74}r013g<7288pR<2b9>22d=9;i01;9l:00`?800l3;9o6397d826f=:>>l1=?m4=7:3>44d34<3=7?=c:?5<7<6:j16:5=513a893>3288h70875;31g>;10?0:>n52695957e<5?236<?9l:1815~X6;116::75f59>22g=n=16::l5f59>22e=n=16::j5f59>22c=n=16::h5f59>2=6=n=16:5?5f59>2=4=n=16:5=5f59>2=2=n=16:5;5f59>2=0=n=16:595f59>2=>=n=16:57512:893>f28937087b;30<>;10j0:?55269f956><5?2n6<=7;<4;b?74027=5=4>399>2<7=9:201;7=:01;?80>;3;8463995827==:>0?1=>64=7;5>45?34<2;7?<8:?5==<6;11v?<8d;296~X6>m16:5653c:8yv45?l0;6?uQ17`893>02:h37p}=26d94?4|V8{t:;2;6=4={_35=>;10<08n55rs30;5?6=:rT::55269697g>l7;|q16=5=838pR<98;<4;6?5e02wx>?6;:181[70>27=4<4vP>749>2=6=;k20q~<=8783>7}Y9>901;9i:2`;?xu5:1=1<75<5sW;<=6397e80f==z{;8357>52z\235=:>>i1?o64}r016d?3ty9>5l50;0xZ40b34<d2909wS?96:?53<<4j11v?<7d;2964}:>>21>?84=7::><5<5?2264:4=7:b><5<5?2j64:4=7:a><5<5?2i64:4=7:`><5<5?2h64:4=7:g><5<5?2o64:4=7:f><5<5?2n64:4=7:e><5<5?2m64:4=7;3><5<5?3;64:4=7;2><5<5?3:64:4=7;1><5<5?3964:4=7;0><5<5?3864:4=7;7><5<5?3?64:4=7;6><5<5?3>64:4=7;5><5<5?3=64:4=7;4><5<5?3<64:4=7;;><5<5?3364:4}r01<`<72;q6::7512f893>>2:h37p}=29d94?4|5?=j6<=k;<4;e?5e02wx>?7?:181800j3;8h6398c80f==z{;82=7>52z?53f<6;m16:5m53c:8yv451;0;6?u266f956b<5?2o6>l7;|q16<5=838p1;9j:01g?80?m39i46s|23;7>5<5s4<2=7=9:n01;7>:2`;?xu5:0=1<745c34<2>7=m8:p67??2909w0873;30`>;11:08n55rs30:=?6=:r7=494>3e9>2<2=;k20q~<=9`83>7}:>1?1=>j4=7;6>6d?3ty9>4l50;0x93>1289o70866;1a<>{t:;3h6=4={<4;3?74l27=5:4l3:1>v3989827a=:>021?o64}r01=`<728;p1;66:00`?80?i3;9o6398c826f=:>1i1=?m4=7:g>44d34<3i7?=c:?5513a893?6288h70862;31g>;11:0:>n52686957e<5?3>6<2b9>2<>=9;i01;76:233?x{e>0k1<7<9:30972}O;m:0(>mj:37:6>\a;39p8h4>9;3b>xofi3:1(>l::`;8j6d32910el650;&0f0;:k21g<72-9i97?:a:l0f1<732c:944?:%1a1?72i2d8n94>;:k21=<72-9i97?:a:l0f1<532c:9:4?:%1a1?72i2d8n94<;:k213<72-9i97?:a:l0f1<332c:984?:%1a1?72i2d8n94:;:k220<72-9i97?:a:l0f1<132c::94?:%1a1?72i2d8n948;:k226<72-9i97?:a:l0f1n4?::k0g3<722c:?54?::m22a<72-9i97?9c:l0f1<732e::o4?:%1a1?71k2d8n94>;:m22d<72-9i97?9c:l0f1<532e::44?:%1a1?71k2d8n94<;:m22=<72-9i97?9c:l0f1<332e:::4?:%1a1?71k2d8n94:;:m232<72-9i97?9c:l0f1<132e:;;4?:%1a1?71k2d8n948;:m230<72-9i97?9c:l0f14?:%1a1?71k2d8n946;:m237<72-9i97?9c:l0f1N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5=a<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7;e>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>h:1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0f:3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;o<:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2d2=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?k<6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6`:94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1i00;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8na;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3ge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:lm50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>hn1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2dc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6`d94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o>50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k;1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2g4=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c194??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o:50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k?1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2g0=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c594??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:o650;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{e>k31<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::a2gg=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sm6c`94??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wi:om50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831v?<6f;2954}Yih16:lm5909>2db=1816:lk5909>2d`=1816:o>5909>2g7=1816:o<5909>2g5=1816:o:5909>2g3=1816:o85909>2g1=1816:o65909>2g?=1816:oo5909>2gd=181v?2db=0o16:lk58g9>2d`=0o16:o>58g9>2g7=0o16:o<58g9>2g5=0o16:o:58g9>2g3=0o16:o858g9>2g1=0o16:o658g9>2g?=0o16:oo58g9>2gd=0o1v?{t:;k?6=4={_363>;1j10:?i5rs30b1?6=:rT:9;526c5956b?o7:181[71<27=n94>3e9~w74f13:1>vP>629>2g5=9:n0q~<=a`83>7}Y9?;01;l=:01g?xu5:hh1<75<5sW;>j639b1827a=z{;8jh7>52z\21`=:>hl1=>j4}r01e`<72;qU=8j4=7cf>45c3ty9>lh50;0xZ43d34k3;9o6399e826f=:>0o1=?m4=7;e>44d34;1i<0:>n526`4957e<5?k<6<2b9>2dg=9;i01;om:00`?80ek389m6s|23`0>5<59rT:?55268a9b1=:>0n1j95268g9b1=:>0l1j9526`29b1=:>h;1j9526`09b1=:>h91j9526`69b1=:>h?1j9526`49b1=:>h=1j9526`:9b1=:>h31j9526`c9b1=:>hh1j9526`a956><5?ko6<=7;<4ba?74027=mk4>399>2g6=9:201;l>:01;?80e:3;84639b2827==:>k>1=>64=7`6>45?342893708ma;30<>;1jk0:?55rs30a0?6=:rT::i526``97g>l7;|q16g0=838pR<8n;<4b=?5e02wx>?l8:181[71127=m54vP>699>2d1=;k20q~<=b883>7}Y9?=01;o9:2`;?xu5:kk1<75<5sW;<:639a580f==z{;8io7>52z\230=:>h91?o64}r01fa<72;qU=:=4=7c1>6d?3ty9>ok50;0xZ41534{t:;i86=4={_352>;11j08n55rs30`0?6=:8q6:4l5234893gd20901;ol:86893gc20901;ok:86893gb20901;oj:86893ga20901;oi:86893d720901;l?:86893d620901;l>:86893d520901;l=:86893d420901;l<:86893d320901;l;:86893d220901;l::86893d120901;l9:86893d020901;l8:86893d?20901;l7:86893d>20901;l6:86893df20901;ln:86893de20901;lm:868yv45k<0;6?u268a956b<5?kh6>l7;|q16f0=838p1;7k:01g?80fl39i46s|23a4>5<5s4<2i7?2d6=9:n01;l?:2`;?xu5:jk1<745c34;1j;08n55rs30`g?6=:r7=m>4>3e9>2g5=;k20q~<=ce83>7}:>h>1=>j4=7`7>6d?3ty9>nk50;0x93g2289o708m5;1a<>{t:;im6=4={<4b2?74l27=n;4v39a6827a=:>k=1?o64}r01`4<72;q6:l6512f893d?2:h37p}=2e094?4|5?k26<=k;<4a=?5e02wx>?j<:18180fi3;8h639b`80f==z{;8o87>52z?5eg<6;m16:ol53c:8yv45l<0;644d34;1j;0:>n526c1957e<5?h?6<2b9>2g1=9;i01;l7:00`?80e13;9o639b`826f=:>kh1=?m4=7``>6773twi:oj50;63>6>=>4$2af>402j2Pm?7;t5382b?342;81>>4ric294?"4j<0jj6`ofm3:1(>l::`d8j6d32810elm50;&0f0;:k23`<72-9i97?70:l0f1<532c:;i4?:%1a1?7?82d8n94<;:k23f<72-9i97?70:l0f1<332c:;o4?:%1a1?7?82d8n94:;:k23d<72-9i97?70:l0f1<132c:;44?:%1a1?7?82d8n948;:k2e<<72-9i97?70:l0f15$2`6>g3o4l<0;66g>2b83>>o4k?0;66g>3983>>i6j:0;6)=m5;3a6>h4j=0;76a>b083>!5e=3;i>6`i6j90;6)=m5;3a6>h4j=0976a>ag83>!5e=3;i>6`i6il0;6)=m5;3a6>h4j=0?76a>ae83>!5e=3;i>6`i6ij0;6)=m5;3a6>h4j=0=76a>ac83>!5e=3;i>6`i6lk0;6)=m5;3a6>h4j=0376a>d`83>!5e=3;i>6`i6l00;6)=m5;3a6>h4j=0j76a>d983>!5e=3;i>6`i6l>0;6)=m5;3a6>h4j=0h76a>d783>!5e=3;i>6`i6kj0;6)=m5;3a6>h4j=0n76a>c083>!5e=3;i>6`i6j?0;6)=m5;3a6>h4j=0:<65`1`c94?"4j<0:n?5a3c6954=6=4+3c795`25<#;k?1=h:4n2`7>4=5<#;k?1=h:4n2`7>6=5<#;k?1=h:4n2`7>0=5<#;k?1=h:4n2`7>2=5<#;k?1=h:4n2`7><=5<#;k?1=h:4n2`7>g=5<#;k?1=h:4n2`7>a=5<#;k?1=h:4n2`7>c=4;n3gg?6=,:h>65}#;jo1>?94H2f;?M5c82e9>;4?::a2g`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?i96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6b194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1k=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8l5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3e1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:n950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5g=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7ab>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>jh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0dl3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;mj:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2f`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?n96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6e194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd1l=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo8k5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f3b1290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi:i950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5`=<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7fb>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>mh1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0cl3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;jj:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a2a`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj?o96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm6d194?b=83:p(>mj:2`a?M5c02B8h=5+23g9g>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi:h:50;f94?6|,:in6>lm;I1g<>N4l91/>?k5c:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e>l?1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1j6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a2`0=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm6d594?b=83:p(>mj:2`a?M5c02B8h=5+23g9g>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi:h650;f94?6|,:in6>lm;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e>l31<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1=?5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1b4k4?::k:5?6=3`386=44i8694?=n9:n1<75f20094?=n:891<75f20694?=n:8?1<75f3e194?=n;m>1<75`3b`94?=h:8;1<75rb7ga>5N4l11C?i>4$30f>a=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn;kl:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj?oo6=4k:183!5dm39in6Fj;:188k6ee2900c??>:188yg0bm3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a??>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo8i0;29`?6=8r.8oh4<@:n;7)<=e;;8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i774i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg0a:3:1h7>50z&0g`<4jk1C?i64H2f3?!45m330e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a??>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo8i4;29`?6=8r.8oh4<@:n;7)<=e;;8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<53;294~"4kl09?85G3e:8L6b73-89i768;h01=?6=3`89m7>5;n124?6=3ty9>i850;30[d734i950;30[gb34;<4f0??634;<4f2??634;<4f;<4fe??634;<4fg??634;<4fa??634;<4e4??634;<4e6??634;<4e0??63ty9>i650;30[gd34a34a34a34a34a34a34a34a34a3ty9>i750;0xZ4>634{t:;nn6=4={_34f>;1mo0:?i5rs30gb?6=:rT:;l526da956b?k=:181[7f027=io4>3e9~w74b;3:1>vP>a69>2`g=9:n0q~<=e583>7}Y9h<01;k6:01g?xu5:l?1<75<5sW;j8639e6827a=z{;8n;7>52z\2=d=:>l<1=>j4}r01a=<72;qU=5h4=7g0>45c3ty9>h750;0xZ4>334;1m?09=9526d59642<5?o36??;;<4f=?46<27=il4=159>2`d=:8>01;kl:337?80bl38:8639ed8151=:>ll1><:4=7d3>773344:?5b7<59=16:k=5206893`32;;?7p}=2da94?74sWh?708j3;027>;1m=09=>526d79645<5?o=6??<;<4f3?46;27=i54=129>2`?=:8901;kn:330?80bj38:?639eb8156=:>ln1><=4=7gf>774343:?5b5<59:16:k?5201893`52;;8708i3;027>;1n=09=>5rs30f`?6=9:qUn?526d19644<5?o?6??=;<4f1?46:27=i;4=139>2`1=:8801;k7:331?80b138:>639e`8157=:>lh1><<4=7g`>775342:?5a`<59;16:hh5200893`72;;9708i1;026>;1n;09=?526g19644<5?l?6??=;|q16`c=838pR>j:;<4e1?4512wx>?ki:1812~X6:j16:oh513a893e7288h708l1;31g>;1k;0:>n526b1957e<5?i?6<2b9>2f1=9;i01;m7:00`?80d13;9o639c`826f=:>jh1=?m4=7a`>44d34;1l;0:>n526e1957e<5?n?6<2b9>2a1=9;i01;j7:00`?80c13;9o639d`826f=:>mh1=?m4=7f`>44d34;1m;0:>n526g7967g;1m108h>526d;97a5<5?oj6>j<;<4ff?5c;27=in42`b=;m901;kj:2f0?80bn39o?639f180`6=:>o;1?i=4=7d1>6b43453c:8yv45n;0;6?uQ1c3893ea2:h37p}=2g194?4|V8h;708le;1a<>{t:;l?6=4={_3bb>;1km08n55rs30e1?6=:rT:mh526ba97g>l7;|q16c1=838pR?h7:181[7fj27=o44vP>dc9>2f>=;k20q~<=f`83>7}Y9mk01;m8:2`;?xu5:oh1<739i46s|23d`>5<5sW;o4639c480f==z{;8mh7>52z\2`2=:>j>1?o64}r01b`<72;qU=i84=7a0>6d?3ty9>kh50;0xZ4ed347=m8:p66672909wS?l1:?5g4<4j11v?=?1;296~X6j?16:n>53c:8yv448;0;6?uQ1`c893da2:h37p}=31194?4|V8o>708j2;1a<>{t:::?6=4={_3f7>;1m808n55rs3131?6=:rT:i?526d297g>l7;|q1751=838pR>>7:181[7cn27=hi4vP>dd9>2ae=;k20q~<<0`83>7}Y9mn01;jm:2`;?xu5;9h1<75<5sW8;o639d880f==z{;9;h7>52z\14g=:>m21?o64}r004`<72;qU>=o4=7f4>6d?3ty9?=h50;0xZ76>341;296~X6nl16:i:53c:8yv449;0;6?uQ1g1893b42:h37p}=30194?4|V8o3708k2;1a<>{t::;?6=4={_3gg>;1l808n55rs3121?6=:01;k<:336?80b<33?708j4;021>;1m<028639e48150=:>l<159526d49643<5?o<64:4=7g4>772342`g=:8?01;km:86893ce2;;>708jc;;7?80bk38:9639ee8:0>;1mm09=8526dg9=1=:>lo1><;4=7ge><2<5?om6??:;<4e4??3345:?5b4<><27=j<4=149>2c4=1=16:k<5207893`420>01;h<:336?80a<33?708i4;021>{t::;=6=4={<4ab?74l27=i94v39c1827a=:>l?1?nl4}r005=<72;q6:n?512f893c42:ii7p}=30;94?4|5?i96<=k;<4f2?5dj2wx>>?n:18180d;3;8h639e680gg=z{;9:n7>52z?5g1<6;m16:h653b`8yv449j0;6?u26b7956b<5?o26>mm;|q174b=838p1;m9:01g?80bi39hn6s|223f>5<5s4f;296~;1k10:?i526df97fd=4?:3y>2f?=9:n01;kj:2aa?xu5;;;1<745c34;1mo08oo5rs3117?6=:r7=on4>3e9>2c6=;jh0q~<<2583>7}:>jn1=>j4=7d2>6ee3ty9??;50;0x93eb289o708i2;1`f>{t::8=6=4={<4`b?74l27=j>4v39d1827a=:>o>1?nl4}r006=<72;q6:i?512f893c32;;:7p}=33;94?4|5?n96<=k;<4f1?4692wx>>52z?5`1<6;m16:h852038yv44:j0;6?u26e7956b<5?o<6??>;|q177b=838p1;j9:01g?80b038:=6s|220f>5<5s42a?=9:n01;km:332?xu5;:;1<745c341:p66552909w08kb;30`>;1ml09=<5rs3107?6=:r7=hn4>3e9>2`e=:8;0q~<<3583>7}:>mn1=>j4=7ge>7763ty9?>;50;0x93bb289o708i0;025>{t::9=6=4={<4gb?74l27=j<4=109~w754?3:1>v39e1827a=:>o81>>=n:1827~;1m:08h9526d697a2<5?o>6>j;;<4f2?5c<27=i:42`>=;m>01;k6:2f7?80bi39o8639ec80`1=:>li1?i:4=7gg>6b33453e6893`62:n?708i2;1g0>;1n:08h9526g697a2<5?l>6>??;|a2c0=83>;6>6564yK7a6<,:in6<8:c:Xe7?3|=;0:j7;<:30966h4j=0;76gne;29 6d22hl0b>l;:098mde=83.8n84nf:l0f1<532c:4<4?:%1a1?7?82d8n94?;:k23c<72-9i97?70:l0f1<632c:;h4?:%1a1?7?82d8n94=;:k23a<72-9i97?70:l0f1<432c:;n4?:%1a1?7?82d8n94;;:k23g<72-9i97?70:l0f1<232c:;l4?:%1a1?7?82d8n949;:k23<<72-9i97?70:l0f1<032c:m44?:%1a1?7?82d8n947;:k2e=<72-9i97?70:l0f1<>32c:m:4?:%1a1?7?82d8n94n;:k2e3<72-9i97?70:l0f10:9j52>=83.8n84>819m7g2=9810eo850;&0f06o;4n2`7>4=h4j=0976g>o6:j0;66g>o6;10;66a>b283>!5e=3;i>6`i6j80;6)=m5;3a6>h4j=0:76a>b183>!5e=3;i>6`i6io0;6)=m5;3a6>h4j=0876a>ad83>!5e=3;i>6`i6im0;6)=m5;3a6>h4j=0>76a>ab83>!5e=3;i>6`i6ik0;6)=m5;3a6>h4j=0<76a>dc83>!5e=3;i>6`i6lh0;6)=m5;3a6>h4j=0276a>d883>!5e=3;i>6`i6l10;6)=m5;3a6>h4j=0i76a>d683>!5e=3;i>6`i6l?0;6)=m5;3a6>h4j=0o76a>cb83>!5e=3;i>6`i6k80;6)=m5;3a6>h4j=0m76a>b783>!5e=3;i>6`=h9hk1<7*1=<54o0g6>5<#;k?1=h:4n2`7>5=5<#;k?1=h:4n2`7>7=54o0g3>5<#;k?1=h:4n2`7>1=5<#;k?1=h:4n2`7>3=5<#;k?1=h:4n2`7>==5<#;k?1=h:4n2`7>d=5<#;k?1=h:4n2`7>f=5<#;k?1=h:4n2`7>`=5<#;k?1=h:4n2`7>46<3f;oo7>5$2`6>4c33g9i87?>;:`5b2<7280;6=u+3bg9671<@:n37E=k0:m163<722wi:k650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`5b<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb7da>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e>oi1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg0am3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn;hi:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a356=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>:86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm71694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd08<0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9?6;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f260290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;=650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`44<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb62a>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?9i1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg17m3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:>i:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a346=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>;86=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm70694?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd09<0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9>6;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f270290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;<650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`45<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb63a>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?8i1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1=?5f8g83>>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb63f>5N4l11C?i>4$30f>c=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:?i:18g>5<7s-9hi7=mb:J0`==O;m:0(?5;h;0>5<1<75f12f94?=n:881<75f20194?=n:8>1<75f20794?=n;m91<75f3e694?=h;jh1<75`20394?=zj>8;6=4k:183!5dm39in6F>o>93:17d7<:188m<2=831b=>j50;9j644=831b><=50;9j642=831b><;50;9j7a5=831b?i:50;9l7fd=831d>1<75`3b`94?=h:8;1<75rb601>5N4l11C?i>4$30f>46>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo9=3;29`?6=8r.8oh4<@:n;7)<=e;c8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7k4i9d94?=n180;66g63;29?l?32900e<=k:188m7752900e??<:188m7732900e??::188m6b42900e>j;:188k6ee2900c??>:188yg15=3:1h7>50z&0g`<4jk1C?i64H2f3?!45m3=0e5h50;9j=4<722c2?7>5;h;7>5<5<5<6=44i2f0>5<5<j?;%01a?b>o>;3:17d7;:188m45c2900e??=:188m7742900e??;:188m7722900e>j<:188m6b32900c>mm:188k7762900qo9=7;29`?6=8r.8oh4<@:n;7)<=e;a8m=`=831b5<4?::k:7?6=3`3?6=44i01g>5<5<5<5<5<5d;294~"4kl08no5G3e:8L6b73-89i7?>;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd0:00;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l027d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`46d<72m0;6=u+3bg97gd<@:n37E=k0:&16`<>3`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl82c83>a<729q/?nk53c`8L6b?3A9o<6*=2d8:?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<>n4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h46;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd0:m0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l027d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`46`<72:0;6=u+3bg9663<@:n37E=k0:&16`44?::k16d<722e8==4?::p665e290:?vPm0:?45f<>;27<=i463:?45`<>;27<=k463:?465<>;27<><463:?467<>;27<>>463:?461<>;27<>8463:?463<>;27<>:463:?46=<>;27<>4463:?46d<>;27<>o463:?46f<>;27<>i463:p665d290:?vPne:?45f<>927<=i461:?45`<>927<=k461:?465<>927<><461:?467<>927<>>461:?461<>927<>8461:?463<>927<>:461:?46=<>927<>4461:?46d<>927<>o461:?46f<>927<>i461:p665c290:?vPnc:?45f<47f:?467>47f:?461847f:?463:47f:?46=447f:?46do47f:?46fi47f:p665b2909wS?71:?46a<6;m1v?={t::>96=4={_34g>;0:00:?i5rs3177?6=:rT:;o5273:956b8>6<=k;|q1713=838pR<96;<513?74l2wx>>:9:181[7f127<>;4>3e9~w753?3:1>vP>a99>372=9:n0q~<<4983>7}Y9h=01:<<:01g?xu5;=31<75<5sW;j963820827a=z{;9?n7>52z\2e1=:?;:1=>j4}r000f<72;qU=4o4=63e>45c3ty9?9j50;0xZ4>a34=:o7?d;020>;09l09=95270d9642<5>8;6??;;<515?46<27<>?4=159>375=:8>01:<;:337?815=38:8638278151=:?;=1><:4=60;>77334=957<>4:?46d<59=16;?l52068924d2;;?709=d;020>{t::?:6=4>3z\a0>;09j09=>5270f9645<5>;n6??<;<52b?46;27<>=4=129>377=:8901:<=:330?815;38:?638258156=:?;?1><=4=605>77434=9;7<>3:?46=<59:16;?752018924f2;;8709=b;027>;0:j09=>5273f9645;h6??=;<52`?46:27<=h4=139>34`=:8801:638238157=:?;91><<4=607>77534=997<>2:?463<59;16;?952008924?2;;9709=9;026>;0:h09=?5273`9644<5>8h6??=;<51`?46:2wx>>;<:181[5c=27<>h4=289~w752<3:1>;uQ13a893`?288h708i9;31g>;1nh0:>n526g`957e<5?lh6<2b9>2c`=9;i01:>?:00`?81793;9o63803826f=:?991=?m4=627>44d34=;97?=c:?443<6:j16;=9513a8926?288h709?9;31g>;08h0:>n5271`957e<5>:h6<2b9>35`=9;i01:??:00`?81693;9o63813826f=:?891=?m4=637>44d34=:97?=c:?453<6:j16;<9513a8927?288h709>9;31g>;09h0:>n5270`957e<5>8n6?4i4:?4414i4:?451f;1g7>;0:908h>5273397a5<5>896>j<;<517?5c;27<>94373=;m901:<9:2f0?815?39o?6382980`6=:?;31?i=4=60b>6b434=9n7=k3:?46f<4l:16;?j53e18yv44=?0;6?uQ1c18926>2:h37p}=34594?4|V8h:709?8;1a<>{t::?36=4={_3a4>;08>08n55rs316=?6=:rT:mk5271497g>:>6>l7;|q170d=838pR>;l:181[7fk27<<>4vP>ac9>354=;k20q~<<5d83>7}Y9mh01:>>:2`;?xu5;5<5sW;o5639fg80f==z{;9==7>52z\2`==:>oo1?o64}r0027<72;qU=i94=7dg>6d?3ty9?;=50;0xZ4b134?0;6?uQ1c4893`>2:h37p}=37594?4|V8kj708i8;1a<>{t::<36=4={_3f1>;09k08n55rs315=?6=:rT:i>5270c97g>;26>l7;|q173d=838pR;<52>8l:181[7b827<=:4vP>dg9>340=;k20q~<<6d83>7}Y9mo01:?::2`;?xu5;?l1<75<5sW8;h6381280f==z{;9<=7>52z\14f=:?881?o64}r0037<72;qU>=l4=632>6d?3ty9?:=50;0xZ76f34=:<7=m8:p66132909wS{t::=36=4={_3f<>;08k08n55rs314=?6=:rT:hn5271c97g>c;;7?816k38:96381e8:0>;09m09=85270g9=1=:?8o1><;4=63e><2<5>;m6??:;<514??334=9<7<>5:?464<><27<><4=149>374=1=16;?<52078924420>01:<<:336?815<33?709=4;021>;0:<028638248150=:?;<159527349643<5>8<64:4=604>77234=9477;;<514464:?46<<59<16;?o5959>37g=:8?01:709=c;;7?815k38:96382e8:0>;0:m09=85rs314f?6=:r7=j54>3e9>34b=;jh0q~<<7b83>7}:>o31=>j4=63f>6ee3ty9?:j50;0x93`f289o709>c;1`f>{t::=n6=4={<4ef?74l27<=k4v39fb827a=:?;:1?nl4}r00<5<72;q6:kj512f892462:ii7p}=39394?4|5?ln6<=k;<516?5dj2wx>>6=:18180an3;8h6382280gg=z{;93?7>52z?445<6;m16;?:53b`8yv440=0;6?u2713956b<5>8=6>mm;|q17=3=838p1:>=:01g?815?39hn6s|22:5>5<5s4=;?7?353=9:n01:<6:2aa?xu5;131<745c34=9m7=lb:p66>f2909w09?7;30`>;0:k08oo5rs31;f?6=:r7<<54>3e9>37e=;jh0q~<<8b83>7}:?931=>j4=60g>6ee3ty9?5j50;0x926f289o709>d;025>{t::2n6=4={<53f?74l27<=h4=109~w75?n3:1>v380b827a=:?8i1>:n6<=k;<514?4692wx>>7=:181817n3;8h638208154=z{;92?7>52z?455<6;m16;?<52038yv441=0;6?u2703956b<5>886??>;|q17<3=838p1:?=:01g?815<38:=6s|22;5>5<5s4=:?7?343=9:n01:<::332?xu5;031<745c34=947<>1:p66?f2909w09>7;30`>;0:009=<5rs31:f?6=:r7<=54>3e9>37g=:8;0q~<<9b83>7}:?831=>j4=60a>7763ty9?4j50;0x927f289o709=c;025>{t::3n6=4={<52f?74l27<>i4=109~w75>n3:1=>u270a97a2<5>;o6>j;;<52a?5c<27<=k4376=;m>01:<>:2f7?815:39o86382280`1=:?;>1?i:4=606>6b334=9:7=k4:?462<4l=16;?653e68924>2:n?709=a;1g0>;0:k08h95273a97a2<5>8o6>j;;<51a?5682wvn:5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;>>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>986=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?:>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg14>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f250290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a36>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`47<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb61a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm72a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl83e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9<@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:=i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;9>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>>86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?=>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg13>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f220290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a31>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`40<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb66a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm75a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl84e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9;e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn::i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;8>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<>7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj>?86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e?<>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg12>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f230290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a30>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`41<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb67a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm74a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl85e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo9:e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:;i:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi;;>50;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<7>55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj><86=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e??>1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<0;684?:1y'7fc=:;;0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg11>3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f200290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a33>=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`42<<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb64a>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm77a94?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl86e83>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo99e;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn:8i:1874?412=;pD>j?;%1`a?71=m1Qj>4:{4095c<2;3896?=5}h`3>5<#;k?1mk5a3c694>=nil0;6)=m5;ce?k5e<3;07dol:18'7g3=io1e?o:52:9j5=7=83.8n84>819m7g2=821b=:h50;&0f0<6091e?o:51:9j52c=83.8n84>819m7g2=:21b=:j50;&0f0<6091e?o:53:9j52e=83.8n84>819m7g2=<21b=:l50;&0f0<6091e?o:55:9j52g=83.8n84>819m7g2=>21b=:750;&0f0<6091e?o:57:9j5d?=83.8n84>819m7g2=021b=l650;&0f0<6091e?o:59:9j5d1=83.8n84>819m7g2=i21b=l850;&0f0<6091e?o:5b:9j5d3=83.8n84>819m7g2=k21b=l:50;&0f0<6091e?o:5d:9j5819m7g2=m21b=5h50;&0f0<6091e?o:5f:9j5=2=83.8n84>819m7g2=9910e<97:18'7g3=91:0b>l;:038?ld1290/?o;5b49m7g2=821bn94?:%1a1?d23g9i87?4;h`1>5<#;k?1n85a3c696>=n;m?1<75f13a94?=n;j<1<75f12:94?=h9k91<7*1<65`1c394?"4j<0:n?5a3c695>=h9k:1<7*1>65`1`d94?"4j<0:n?5a3c697>=h9ho1<7*1865`1`f94?"4j<0:n?5a3c691>=h9hi1<7*1:65`1``94?"4j<0:n?5a3c693>=h9mh1<7*1465`1ec94?"4j<0:n?5a3c69=>=h9m31<7*1m65`1e:94?"4j<0:n?5a3c69f>=h9m=1<7*1o65`1e494?"4j<0:n?5a3c69`>=h9ji1<7*1i65`1b394?"4j<0:n?5a3c69b>=h9k<1<7*1==54o0cb>5<#;k?1=o<4n2`7>47<3f;n97>5$2`6>4c33g9i87>4;n3f7?6=,:h>67>5$2`6>4c33g9i87<4;n3f5?6=,:h>65$2`6>4c33g9i87:4;n3gb?6=,:h>65$2`6>4c33g9i8784;n3g`?6=,:h>65$2`6>4c33g9i8764;n03g?6=,:h>65$2`6>4c33g9i87o4;n03e?6=,:h>65$2`6>4c33g9i87m4;n0365$2`6>4c33g9i87k4;n3e7?6=,:h>65$2`6>4c33g9i87??;:m2`f<72-9i97?j4:l0f1<6921i;:>50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f216290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;:<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`436<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb656>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?><1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1003:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:96:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a32g=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>=o6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm76g94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0?o0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo970;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2>6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;5<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4<6<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl88583>a<729q/?nk53c`8L6b?3A9o<6*=2d8g?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<484?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd00?0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0i7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`4<2<72m0;6=u+3bg97gd<@:n37E=k0:&16`<>3`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl88983>a<729q/?nk53c`8L6b?3A9o<6*=2d85?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th<444?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4>0:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?1k1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3=d=83n1<7>t$2af>6de3A9o46F2c3j7>5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm79a94?b=83:p(>mj:2`a?M5c02B8h=5+23g9f>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi;5j50;f94?6|,:in6>lm;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?1o1<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o1h6g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3=`=83n1<7>t$2af>6de3A9o46F5;h;2>5<>o6;m0;66g=1383>>o59:0;66g=1583>>o59<0;66g>o4l=0;66a>i5980;66sm78294?b=83:p(>mj:2`a?M5c02B8h=5+23g92>o?n3:17d7>:188m<5=831b594?::k27a<722c9=?4?::k156<722c9=94?::k150<722c8h>4?::k0`1<722e8oo4?::m154<722wi;4?50;f94?6|,:in6>lm;I1g<>N4l91/>?k5d:k;b?6=3`3:6=44i8194?=n1=0;66g>3e83>>o59;0;66g=1283>>o59=0;66g=1483>>o4l:0;66g>i4kk0;66a=1083>>{e?081<7j50;2x 6eb2:hi7E=k8:J0`5=#:;o156g7f;29?l?62900e4=50;9j=1<722c:?i4?::k157<722c9=>4?::k151<722c9=84?::k0`6<722c8h94?::m0gg<722e9=<4?::a3<5=83n1<7>t$2af>6de3A9o46F1<75`3b`94?=h:8;1<75rb6;7>5N4l11C?i>4$30f><=n0o0;66g61;29?l?42900e4:50;9j56b=831b><<50;9j645=831b><:50;9j643=831b?i=50;9j7a2=831d?nl50;9l647=831vn:7::180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?45|Vk:01:6<:81892>320901:6::81892>120901:68:81892>?20901:66:81892>f20901:6m:81892>d20901:6k:81892>b20901:6i:81892?720901:7>:81892?520901:7<:81892?32090q~<45|Vho01:6<:83892>320;01:6::83892>120;01:68:83892>?20;01:66:83892>f20;01:6m:83892>d20;01:6k:83892>b20;01:6i:83892?720;01:7>:83892?520;01:7<:83892?320;0q~<45|Vhi01:6<:9d892>321l01:6::9d892>121l01:68:9d892>?21l01:66:9d892>f21l01:6m:9d892>d21l01:6k:9d892>b21l01:6i:9d892?721l01:7>:9d892?521l01:7<:9d892?321l0q~<7}Y91;01:7;:01g?xu5;h>1<7;3;8h6s|22c6>5<5sW;52z\23a=:?0;1=>j4}r00e2<72;qU=:m4=6;3>45c3ty9?l650;0xZ41e34=3j7?2909wS?8a:?4c289o7p}=3`a94?4|V8k37097b;30`>{t::ko6=4={_3b3>;00h0:?i5rs31ba?6=:rT:m;5279;956b236<=k;|q17g6=838pR>l>:181[7>i27<4;4>3e9~w75e:3:1>vP>8g9>3=5=9:n0q~<7}Y91>01:6::01g?xu5;k>1<75<6;rTi:638828151=:?1>1><:4=6:6>77334=3:7<>4:?4<2<59=16;565206892>>2;;?7097a;020>;00k09=95279a9642<5>2o6??;;<5;a?46<27<4k4=159>3<6=:8>01:7>:337?81>:38:8638928151=:?0>1><:4}r00f3<7289pRo:4=6:0>77434=387<>3:?4<0<59:16;585201892>02;;870978;027>;00009=>5279c9645<5>2i6??<;<5;g?46;27<4i4=129>3=c=:8901:6i:330?81>838:?638908156=:?081><=4=6;0>77434=287<>3:p66d0290:?vPm2:?4<6<59;16;5:5200892>22;;970976;026>;00>09=?5279:9644<5>226??=;<5;e?46:27<4o4=139>3=e=:8801:6k:331?81?m38:>6388g8157=:?0:1><<4=6;2>77534=2>7<>2:?4=6<59;16;4:52008yv44j10;6?uQ3e7892?22;827p}=3c;94?73sW;9o63870826f=:?>81=?m4=650>44d34=<87?=c:?430<6:j16;:8513a89210288h70988;31g>;0?00:>n5276c957e<5>=i6<2b9>32c=9;i01:9i:00`?81?83;9o63880826f=:?181=?m4=6;6>74f3ty9?oo50;06[74027<;<4i4:?4374i4:?43143=2=;m901:6::2f0?81?>39o?6388680`6=:?121?i=4=6::>6b434=3m7=k3:?4c2:n87097e;1g7>;00o08h>5278297a5<5>3:6>j<;<5:6?5c;27<5>43<2=;m90q~<7}Y9l?01:6=:2`;?xu5;ki1<75<5sW;n>6388180f==z{;9ii7>52z\2a4=:?>l1?o64}r00fc<72;qU=h>4=65f>6d?3ty9?n>50;0xZ4ba34={t::i>6=4={_03f>;0?108n55rs31`2?6=:rT9==6>l7;|q17f>=838pR?>7;<541?5e02wx>>m6:181[7am27<;94vP>f29>325=;k20q~<7}Y9l201:9=:2`;?xu5;ji1<75<5=r7<;=4=279>3=5=1=16;5=5207892>320>01:6;:336?81?=33?70975;021>;00?028638878150=:?1=159527959643<5>2364:4=6:;>77234=3577;;<5;=?46=27<4l464:?43=d=:8?01:6l:86892>d2;;>7097d;;7?81?l38:96388d8:0>;00l09=85279d9=1=:?1l1><;4=6;3><2<5>3;6??:;<5:5??334=2=7<>5:?4=7<><27<5?4=149>3<5=1=16;4=5207892?320>01:7;:336?xu5;jo1<745c34=387<>1:p66ea2909w0982;30`>;00<09=<5rs31g4?6=:r7<;>4>3e9>3=5=:8;0q~<7}:?>>1=>j4=6:5>7763ty9?i<50;0x9212289o70977;025>{t::n86=4={<542?74l27<454=109~w75c<3:1>v3876827a=:?131>f2;;:7p}=3e494?4|5>=26<=k;<5;f?4692wx>>j8:181810i3;8h6388e8154=z{;9o47>52z?43g<6;m16;5k52038yv44l00;6?u276a956b<5>2h6??>;|q17ag=838p1:9k:01g?81?n38:=6s|22fa>5<5s4=3=6=9:n01:7=:332?xu5;mo1<745c34=2?7<>1:p66ba2909w0972;30`>;01=09=<5rs31f4?6=9:q6;5=53e6892>32:n?70975;1g0>;00?08h95279597a2<5>236>j;;<5;=?5c<27<4l43=d=;m>01:6l:2f7?81?l39o86388d80`1=:?1l1?i:4=6;3>6b334=2=7=k4:?4=7<4l=16;4=53e6892?32:n?70965;124>{zj>3=6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3<1=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a31<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>3h6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm78f94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd01l0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo96f;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2g729026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6c2>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:o=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>k86=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2g329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6c6>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:o9:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>k<6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2g?29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv44m80;64uQa`9>3d6=1816;l?5909>3d4=1816;l=5909>3d2=1816;l;5909>3d0=1816;l95909~w75b:3:15vPn8:?4e547f:?4e1816;l8512f8yv44m<0;6?uQ172892g2289o7p}=3d494?4|V8?m709n4;30`>{t::o<6=4={_36a>;0i:0:?i5rs31fk:6<=k;|q17`g=838pR<;;;<5b4?74l2wx>>km:181[5c<272b9>3<>=9;i01:76:00`?81>i3;9o6389c826f=:?0i1=?m4=6;g>44d34=2i7?=c:?4=c<6:j16;l6523c8yv44mm0;603l?70969;d7?81>i3l?7096b;d7?81>k3l?7096d;d7?81>m3l?7096f;d7?81f83;84638a0827==:?h81=>64=6c0>45?34=j87?<8:?4e0<6;116;l8512:892g028937p}=3dg94?4|V8=>7096f;1a<>{t::om6=4={_347>;01l08n55rs31e4?6=:rT:;?5278f97g>3h6>l7;|q17c4=838pR<9?;<5:f?5e02wx>>h<:181[71n27<5l4vP>6d9>37}Y9?<01:77:2`;?xu5;o<1<7?>{<5:3?45>27<27<27<27463:?4e6<><27<27<27<27<2wx>>h8:18181>03;8h638a180f==z{;9m47>52z?4=<<6;m16;l?53c:8yv44n00;6?u278c956b<5>k96>l7;|q17cg=838p1:7m:01g?81f;39i46s|22da>5<5s4=2o7?345c34=j;7=m8:p66`a2902w09n0;31g>;0i80:>n527`0957e<5>k86<2b9>3d0=9;i01:o8:00`?81f039:<6srb6c:>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;lo50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2ge290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;lm50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4ea<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6ce>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?k:1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1e:3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:l<:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>h?6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2d229026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6`5>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:l8:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>h36=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2d>29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6`b>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:lm:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;o=5909>3g2=1816;o;5909>3g0=1816;o95909>3g>=1816;o75909>3gg=181v?:?1;29=~Xf02747f:?4f19>=:181[71;273e9~w727;3:1>vP>609>3g?=9:n0q~<;0583>7}Y9?:01:l7:01g?xu5<9?1<75<5sW;>i638b7827a=z{;>;;7>52z\21a=:?k?1=>j4}r074=<72;qU=8m4=6`7>45c3ty98=750;0xZ43334=i?7?;0il0:>n527`d957e<5>h;6<2b9>3gd=:;k0q~<;0b83>47|V893709nb;d7?81fk3l?709nd;d7?81fm3l?709nf;d7?81e83l?709m1;d7?81e:3l?709m3;30<>;0j=0:?5527c7956><5>h=6<=7;<5a3?74027399>3g?=9:201:ln:01;?xu5<9n1<75<5sW;;j7>52z\237=:?k:1?o64}r0755<72;qU=:?4=6ce>6d?3ty983;296~X6>l16;lm53c:8yv439=0;6?uQ174892ge2:h37p}=40794?76s4=jm7<=6:?4f6<>;27464:?4f1<>;27;27;27;27;27;27;27;0j:08n55rs3623?6=:r73e9>3g2=;k20q~<;1983>7}:?hn1=>j4=6`6>6d?3ty98<750;0x92gb289o709m6;1a<>{t:=;j6=4={<5bb?74l27v38b1827a=:?k21?o64}r075f<72;q6;o?512f892d>2:h37p}=40f94?4|5>h96<=k;<5ae?5e02wx>9?j:18:81e;3;9o638b5826f=:?k?1=?m4=6`5>44d34=i;7?=c:?4f=<6:j16;o7513a892df288h709mb;124>{zj>hh6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3gb=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3g`=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>i96=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7b194?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0k=0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9l5;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2e129026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6a4>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:m7:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>i26=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2ef29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6aa>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:ml:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>io6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2eb29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv439o0;64uQa`9>3f0=1816;n95909>3f>=1816;n75909>3fg=1816;nl5909>3fe=1816;nj5909~w72583:15vPn8:?4g3816;nm512f8yv43::0;6?uQ172892ee289o7p}=43694?4|V8?m709la;30`>{t:=8>6=4={_36a>;0k00:?i5rs3612?6=:rT:9i527b:956b:4?:3y]50e<5>i<6<=k;|q107>=838pR<;;;<5`2?74l2wx>9<6:181[5c<272b9>3gc=9;i01:li:00`?81d83;9o638c0826f=:?j81=?m4=6a0>44d34=h87?=c:?4g0<6:j16;nk523c8yv43:k0;63;84638c6827==:?j21=>64=6a:>45?34=hm7?<8:?4gg<6;116;nm512:892ec28937p}=43a94?4|V8=>709l5;1a<>{t:=8o6=4={_347>;0k=08n55rs361a?6=:rT:;?527b197g>k4?:3y]527<5>i96>l7;|q1066=838pR<9?;<5`5?5e02wx>9=>:181[71n27vP>6d9>3g`=;k20q~<;3283>7}Y9?<01:lj:2`;?xu5<:>1<7?>{<5a`?45>27<27<27<27<27<27<27<27<2wx>9=::18181em3;8h638c780f==z{;>8:7>52z?4fc<6;m16;n953c:8yv43;>0;6?u27b2956b<5>i36>l7;|q106>=838p1:m>:01g?81d139i46s|251:>5<5s4=h>7?3f2=9:n01:ml:2`;?xu5<:i1<745c34=hh7=m8:p615c2902w09l6;31g>;0k>0:>n527b:957e<5>i26<2b9>3fe=9;i01:mk:00`?81dm39:<6srb6ae>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;i>50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2b6290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;i<50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4`6<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?m<1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1c03:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:j6:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>nj6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2be29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6f`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:jk:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>nn6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2ba29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6g3>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:k>:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;i75909>3ag=1816;il5909>3ae=1816;ij5909>3ac=1816;ih5909>3`6=181v?:9:?:181[71;273e9~w72393:1>vP>609>3a`=9:n0q~<;4383>7}Y9?:01:jj:01g?xu5<=91<75<5sW;>i638db827a=z{;>?97>52z\21a=:?mh1=>j4}r0703<72;qU=8m4=6fb>45c3ty989950;0xZ43334=o57?;0l=0:>n527e7957e<5>n=6<2b9>3`7=:;k0q~<;4`83>47|V893709k1;d7?81c:3l?709k3;d7?81c<3l?709k5;d7?81c>3l?709k7;d7?81c03l?709k9;30<>;0lh0:?5527e`956><5>nh6<=7;<5g`?74027399>3a`=9:201:k?:01;?xu5<=h1<75<5sW;?h7>52z\237=:?m<1?o64}r070`<72;qU=:?4=6f6>6d?3ty989h50;0xZ41734=o87=m8:p61372909wS?9f:?4`6<4j11v?::1;296~X6>l16;i<53c:8yv43=;0;6?uQ174892b62:h37p}=44194?76s4=o<7<=6:?4`<<>;27;27;27;27;27;27;27;27;0l008n55rs3661?6=:r73e9>3ag=;k20q~<;5783>7}:?m91=>j4=6fa>6d?3ty988950;0x92b3289o709kc;1a<>{t:=?36=4={<5g1?74l27v38d7827a=:?mo1?o64}r071d<72;q6;i9512f892ba2:h37p}=44`94?4|5>n36<=k;<5f4?5e02wx>9;l:18:81c13;9o638d`826f=:?mh1=?m4=6f`>44d34=oh7?=c:?4``<6:j16;ih513a892c7288h709j1;124>{zj>o96=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a3`5=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a3`3=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj>o36=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm7d;94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd0mh0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo9jb;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f2cd29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6gg>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:kj:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>om6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2`729026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb6d2>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn:h=:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj>l86=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f2`329086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv43=m0;64uQa`9>3`e=1816;hj5909>3`c=1816;hh5909>3c6=1816;k?5909>3c4=1816;k=5909~w722m3:15vPn8:?4af47f:p613a2909wS?93:?4b6<6;m1v?:90;296~X6>816;k<512f8yv43>80;6?uQ172892`6289o7p}=47094?4|V8?m709i0;30`>{t:=<86=4={_36a>;0mo0:?i5rs3650?6=:rT:9i527dg956boo6<=k;|q1030=838pR<;;;<5fg?74l2wx>988:181[5c<272b9>3`2=9;i01:k::00`?81b>3;9o638e6826f=:?l21=?m4=6g:>44d34=nm7?=c:?4ag<6:j16;k:523c8yv43>00;63l?709j7;d7?81b03l?709j9;d7?81bi3l?709jb;d7?81bk3;84638ee827==:?lo1=>64=6ge>45?34=m<7?<8:?4b4<6;116;k<512:892`428937p}=47c94?4|V8=>709jb;1a<>{t:=;0mh08n55rs365g?6=:rT:;?527d;97g>o36>l7;|q103c=838pR<9?;<5f3?5e02wx>98i:181[71n27vP>6d9>3`3=;k20q~<;7083>7}Y9?<01:k;:2`;?xu5<>81<7?>{<5f7?45>27<27<27<27<27<27<27<27463:?4b6<><2wx>99<:18181b<3;8h638eb80f==z{;><87>52z?4a0<6;m16;hj53c:8yv43?<0;6?u27d4956b<5>on6>l7;|q1020=838p1:k8:01g?81bn39i46s|2554>5<5s4=n47?3`g=9:n01:h=:2`;?xu5<>k1<745c34=m?7=m8:p611e2902w09jc;31g>;0mm0:>n527dg957e<5>om6<2b9>3c4=9;i01:h<:00`?81a<39:<6srb6d6>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i;k850;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f2`0290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi;k650;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`4b<<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb6da>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e?oi1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg1am3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn:hi:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1:;6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=6629026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb921>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5><:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1:?6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=6229026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb925>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5>8:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih16;kh5909><56=18164=?5909><54=18164==5909><52=18164=;5909><50=181v?:8d;29=~Xf02747f:?;4199j:181[71;273<;4>3e9~w720n3:1>vP>609><53=9:n0q~<;8183>7}Y9?:015>;:01g?xu5<1;1<77;3;8h6s|25:1>5<5sW;>i63703827a=z{;>3?7>52z\21a=:09;1=>j4}r07<1<72;qU=8m4=923>45c3ty985;50;0xZ43334=mj7?12909wS=k4:?;42<5:01v?:77;29e~X6:j16;k9513a892`?288h709i9;31g>;0nh0:>n527g`957e<5>lh6<2b9><51=:;k0q~<;8983>47|V893709i7;d7?81a03l?709i9;d7?81ai3l?709ib;d7?81ak3l?709id;d7?81am3l?709if;30<>;?890:?552813956><51:96<=7;<:37?740273<94>399><53=9:2015>9:01;?xu5<131<75<5sW;3n7>52z\237=:?oi1?o64}r076d?3ty985j50;0xZ41734=mm7=m8:p61>b2909wS?9f:?4b<<4j11v?:7f;296~X6>l16;k653c:8yv43190;6?uQ174892`02:h37p}=48394?76s4=m:7<=6:?4bc<>;27;273<=464:?;44<>;273<<464:?;47<>;273;273<>464:?;41<>;273<9464:?;40<>;273<8464:?;43<>;273<;464:p61?52909w09i7;30`>;0no08n55rs36:7?6=:r73e9><56=;k20q~<;9583>7}:?o31=>j4=922>6d?3ty984;50;0x92`f289o706?2;1a<>{t:=3=6=4={<5ef?74l273<>4?3:1>v38fb827a=:09>1?o64}r07==<72;q6;kj512f89=622:h37p}=48;94?4|5>ln6<=k;<:32?5e02wx>97n:18:81an3;9o63701826f=:09;1=?m4=921>44d342;?7?=c:?;41<6:j164=;513a89=61288h706?7;124>{zj1:36=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<5?=83;1<7>t$2af>7403A9o46Fn:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<5d=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1:n6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm81d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?990;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6>1;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=7529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb930>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5?;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1;>6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=7129026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb934>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5?7:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1;26=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=7f29086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv431k0;64uQa`9><44=18164<=5909><42=18164<;5909><40=18164<95909><4>=18164<75909~w72>k3:15vPn8:?;5747f:?;518164<6512f8yv431o0;6?uQ17289=70289o7p}=4`294?4|V8?m706>6;30`>{t:=k:6=4={_36a>;?9<0:?i5rs36b6?6=:rT:9i52806956b4?:3y]50e<51;86<=k;|q10d2=838pR<;;;<:26?74l2wx>9o::181[5c<273=l4=289~w72f>3:1mvP>2b9><5g=9;i015>m:00`?8>7k3;9o6370e826f=:09o1=?m4=92e>44d342:<7?=c:?;54<6:j1640;67i3l?706?b;d7?8>7k3l?706?d;d7?8>7m3l?706?f;d7?8>683l?706>1;d7?8>6:3;8463712827==:08>1=>64=936>45?342::7?<8:?;52<6;1164<6512:89=7>28937p}=4`:94?4|V8=>706>1;1a<>{t:=k26=4={_347>;?9908n55rs36be?6=:rT:;?5281d97g>l7;|q10de=838pR<9?;<:3`?5e02wx>9ok:181[71n273vP>6d9><5d=;k20q~<;ag83>7}Y9?<015>n:2`;?xu5{<:3=?45>273=?463:?;57<><273=>463:?;56<><273=9463:?;51<><273=8463:?;50<><273=;463:?;53<><273=:463:?;52<><273=5463:?;5=<><273=4463:?;5<<><2wx>9l>:1818>7i3;8h6371380f==z{;>i>7>52z?;4g<6;m164<=53c:8yv43j:0;6?u281a956b<51;?6>l7;|q10g2=838p15>k:01g?8>6=39i46s|25`6>5<5s42;i7?<46=9:n015?7:2`;?xu545c342:57=m8:p61d>2902w06>2;31g>;?9:0:>n52806957e<51;>6<2b9><4>=9;i015?6:00`?8>6i39:<6srb93a>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i4N4l91d>?850;9~f=7c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;5c<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb902>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0;81<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>5<3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5<::18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj18=6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=4029026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb90;>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5<6:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj18j6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=4e29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb90`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn55<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih164?;5909><70=18164?95909><7>=18164?75909><7g=18164?l5909><7e=181v?:mb;29=~Xf0273>847f:?;63:47f:?;6=447f:?;6do47f:?;6f9ll:181[71;273>n4>3e9~w72el3:1>vP>609><7d=9:n0q~<;bd83>7}Y9?:015513;8h6s|25a3>5<5sW;>i63729827a=z{;>h=7>52z\21a=:0;=1=>j4}r07g7<72;qU=8m4=905>45c3ty98n=50;0xZ433342997?f;31g>;?:90:>n52833957e<51896<94>2b9><7b=:;k0q~<;c783>47|V893706>d;d7?8>6m3l?706>f;d7?8>583l?706=1;d7?8>5:3l?706=3;d7?8>5<3l?706=5;30<>;?:?0:?552835956><51836<=7;<:1=?740273>l4>399><7d=9:20155<39i46s|25a;>5<5sW;h57>52z\237=:0;81?o64}r07gd<72;qU=:?4=902>6d?3ty98nl50;0xZ4173429<7=m8:p61ed2909wS?9f:?;5c<4j11v?:ld;296~X6>l164;273>8464:?;63<>;273>;464:?;62<>;273>:464:?;6=<>;273>5464:?;6<<>;273>4464:?;6d<>;273>l464:?;6g<>;273>o464:?;6f<>;273>n464:p61b72909w06>d;30`>;?:<08n55rs36g5?6=:r73=h4>3e9><70=;k20q~<;d383>7}:08l1=>j4=904>6d?3ty98i=50;0x9=47289o706=8;1a<>{t:=n?6=4={<:15?74l273>44v3723827a=:0;k1?o64}r07`3<72;q64?=512f89=4e2:h37p}=4e594?4|518?6<=k;<:1g?5e02wx>9j7:18:8>5=3;9o63727826f=:0;=1=?m4=90;>44d342957?=c:?;6d<6:j164?l513a89=4d288h706=d;124>{zj18n6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<7`=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<67=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj19?6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm82794?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?;?0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6<7;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=5?29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb91:>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5=n:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj19i6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=5d29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb91g>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5=j:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj19m6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=2729086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv43l00;64uQa`9><6>=18164>75909><6g=18164>l5909><6e=18164>j5909><6c=18164>h5909~w72ci3:15vPn8:?;7=8164>k512f8yv43lm0;6?uQ17289=5c289o7p}=4eg94?4|V8?m706{t:=nm6=4={_36a>;?;k0:?i5rs36f4?6=:rT:9i5282c956b9k<:181[5c<2738=4=289~w72b<3:1mvP>2b9><66=9;i015=>:00`?8>4:3;9o63732826f=:0:>1=?m4=916>44d3428:7?=c:?;72<6:j1649>523c8yv43m<0;6483l?706<1;d7?8>4:3l?706<3;d7?8>4<3l?706<5;d7?8>4>3l?706<7;d7?8>403;8463738827==:0:k1=>64=91a>45?3428o7?<8:?;7a<6;1164>k512:89=5a28937p}=4d494?4|V8=>706<7;1a<>{t:=o<6=4={_347>;?;?08n55rs36fl7;|q10`g=838pR<9?;<:07?5e02wx>9km:181[71n273??4vP>6d9><67=;k20q~<;ee83>7}Y9?<015=?:2`;?xu5{<:1b?45>273?5463:?;7=<><273?4463:?;7<<><273?l463:?;7d<><273?o463:?;7g<><273?n463:?;7f<><273?i463:?;7a<><273?h463:?;7`<><273?k463:?;7c<><2wx>9ki:1818>483;8h6373980f==z{;>m<7>52z?;74<6;m164>753c:8yv43n80;6?u2820956b<519j6>l7;|q10c4=838p15=<:01g?8>4j39i46s|25d0>5<5s42887?<60=9:n015=j:2`;?xu545c3428j7=m8:p61`02902w06<8;31g>;?;00:>n5282c957e<519i6<2b9><6c=9;i015=i:00`?8>3839:<6srb962>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i49<50;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f=24290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi49:50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;00<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb964>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0=21<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>3i3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5:m:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1>h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=2c29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb96f>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5:i:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1?;6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=3629026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb971>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn5;<:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih1649l5909><1e=181649j5909><1c=181649h5909><06=181648?5909><04=181v?:i9;29=~Xf02738o47f:?;0f9hn:181[71;2739?4>3e9~w72aj3:1>vP>609><07=9:n0q~<;fb83>7}Y9?:015;?:01g?xu53n3;8h6s|25df>5<5sW;>i6374d827a=z{;>mj7>52z\21a=:0=n1=>j4}r0645<72;qU=8m4=96`>45c3ty99=?50;0xZ433342?n7?;?n52855957e<51>36<2b9><05=:;k0q~<:0583>47|V893706;3;d7?8>3<3l?706;5;d7?8>3>3l?706;7;d7?8>303l?706;9;d7?8>3i3l?706;b;30<>;?<51>n6<=7;<:7b?7402739=4>399><07=9:2015;=:01;?xu5=9?1<73i39i46s|2425>5<5sW;52z\237=:0=21?o64}r064=<72;qU=:?4=964>6d?3ty99=750;0xZ417342?:7=m8:p606f2909wS?9f:?;00<4j11v?;?b;296~X6>l1649:53c:8yv428j0;6?uQ17489=242:h37p}=51f94?76s42?>7<=6:?;0g<>;2738o464:?;0f<>;2738n464:?;0a<>;2738i464:?;0`<>;2738h464:?;0c<>;2738k464:?;15<>;2739=464:?;14<>;2739<464:?;17<>;2739?464:p606b2909w06;3;30`>;?3e9><1e=;k20q~<:1183>7}:0=?1=>j4=96g>6d?3ty99{t:<;96=4={<:73?74l2738k4v3749827a=:0<:1?o64}r0651<72;q6497512f89=362:h37p}=50794?4|51>j6<=k;<:66?5e02wx>8?9:18:8>3j3;9o6374b826f=:0=n1=?m4=96f>44d342?j7?=c:?;15<6:j1648?513a89=35288h706:3;124>{zj1??6=4>6;31>4`|@:n;7)=le;06=6=]n:08w9k58`8;g?{nih0;6)=m5;c:?k5e<3:07do7:18'7g3=i01e?o:51:9j535=83.8n84>639m7g2=821b=;?50;&0f0<6>;1e?o:51:9j536=83.8n84>639m7g2=:21b=8h50;&0f0<6>;1e?o:53:9j50c=83.8n84>639m7g2=<21b=8j50;&0f0<6>;1e?o:55:9j50e=83.8n84>639m7g2=>21b=8:50;&0f0<6>;1e?o:57:9j7a2=831b=?m50;9j7f0=831b=>650;9l523=83.8n84>759m7g2=821d=:=50;&0f0<6?=1e?o:51:9l524=83.8n84>759m7g2=:21d=:?50;&0f0<6?=1e?o:53:9l526=83.8n84>759m7g2=<21d=;h50;&0f0<6?=1e?o:55:9l53c=83.8n84>759m7g2=>21d=;850;&0f0<6?=1e?o:57:9a<03=83;1<7>t$2af>7403A9o46F5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a<01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1?j6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm84`94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?=j0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6:d;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=3b29026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb97e>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn58?:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1<:6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=0529026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb940>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn58;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1<>6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=0129086=4?{%1`a?44=2B8h55G3e28 74b21=0e?<6:188m74f2900c>??:188yv429>0;64uQa`9><0c=181648h5909><36=18164;?5909><34=18164;=5909><32=18164;;5909~w73603:15vPn8:?;1`47f:?;212909wS?93:?;20<6;m1v?;>a;296~X6>8164;:512f8yv429k0;6?uQ17289=04289o7p}=50a94?4|V8?m70692;30`>{t:<;o6=4={_36a>;?>80:?i5rs372a?6=:rT:9i52872956b=k4?:3y]50e<51?m6<=k;|q1176=838pR<;;;<:6a?74l2wx>8<>:181[5c<273:;4=289~w735:3:1mvP>2b9><00=9;i015;8:00`?8>203;9o63758826f=:044d342>o7?=c:?;1a<6:j164;8523c8yv42::0;62>3l?706:7;d7?8>203l?706:9;d7?8>2i3l?706:b;d7?8>2k3l?706:d;d7?8>2m3;846375g827==:0?:1=>64=942>45?342=>7?<8:?;26<6;1164;:512:89=0228937p}=53694?4|V8=>706:d;1a<>{t:<8>6=4={_347>;?=j08n55rs3712?6=:rT:;?5284`97g>>:4?:3y]527<51?j6>l7;|q117>=838pR<9?;<:6=?5e02wx>8<6:181[71n273954vP>6d9><01=;k20q~<:2c83>7}Y9?<015;9:2`;?xu5=;i1<7?>{<:61?45>2739h463:?;1`<><2739k463:?;1c<><273:=463:?;25<><273:<463:?;24<><273:?463:?;27<><273:>463:?;26<><273:9463:?;21<><273:8463:?;20<><2wx>82>3;8h6375d80f==z{;?9i7>52z?;12<6;m1648h53c:8yv42:o0;6?u284:956b<51<;6>l7;|q1166=838p15;6:01g?8>1939i46s|2412>5<5s42>m7??>4?:3y><0e=9:n0158;:2`;?xu5=:>1<745c342=97=m8:p60522902w06:e;31g>;?=o0:>n52872957e<51<:6<4>2b9><32=9;i0158::00`?8>1>39:<6srb944>5<6>3;965>5Uf2801c=0h03o7sfa`83>!5e=3k27c=m4;28?lg?290/?o;5a89m7g2=921b=;=50;&0f0<6>;1e?o:50:9j537=83.8n84>639m7g2=921b=;>50;&0f0<6>;1e?o:52:9j50`=83.8n84>639m7g2=;21b=8k50;&0f0<6>;1e?o:54:9j50b=83.8n84>639m7g2==21b=8m50;&0f0<6>;1e?o:56:9j502=83.8n84>639m7g2=?21b?i:50;9j57e=831b?n850;9j56>=831d=:;50;&0f0<6?=1e?o:50:9l525=83.8n84>759m7g2=921d=:<50;&0f0<6?=1e?o:52:9l527=83.8n84>759m7g2=;21d=:>50;&0f0<6?=1e?o:54:9l53`=83.8n84>759m7g2==21d=;k50;&0f0<6?=1e?o:56:9l530=83.8n84>759m7g2=?21i4;650;394?6|,:in6?<8;I1g<>N4l91d>?850;9~f=0>290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4;o50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;2g<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb94g>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0?o1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>083:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn59>:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1=96=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=1429026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb957>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn59::18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zj1==6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~f=1029026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb95;>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vn596:180>5<7s-9hi7<<5:J0`==O;m:0(?2900e?<}Yih164:?5909><24=18164:=5909><22=18164:;5909><20=18164:95909><2>=181v?;<7;29=~Xf0273;<47f:?;3747f:?;318=7:181[71;273;54>3e9~w73413:1>vP>609><21=9:n0q~<:3`83>7}Y9?:01599:01g?xu5=:h1<70=3;8h6s|241`>5<5sW;>i63775827a=z{;?8h7>52z\21a=:0>91=>j4}r067`<72;qU=8m4=951>45c3ty99>h50;0xZ433342<=7?;?>j0:>n5287f957e<512b9><2?=:;k0q~<:4383>47|V89370699;d7?8>1i3l?7069b;d7?8>1k3l?7069d;d7?8>1m3l?7069f;d7?8>083l?70681;30<>;??;0:?552861956><51=?6<=7;<:41?740273;;4>399><21=9:201597:01;?xu5==91<70839i46s|2467>5<5sW;52z\237=:0?o1?o64}r0603<72;qU=:?4=94g>6d?3ty999950;0xZ417342=o7=m8:p602?2909wS?9f:?;2g<4j11v?;;9;296~X6>l164;o53c:8yv422:h37p}=55`94?76s42=47<=6:?;34<>;273;<464:?;37<>;273;?464:?;36<>;273;>464:?;31<>;273;9464:?;30<>;273;8464:?;33<>;273;;464:?;32<>;273;:464:?;3=<>;273;5464:p602d2909w0699;30`>;??808n55rs377`?6=:r73:l4>3e9><24=;k20q~<:4d83>7}:0?h1=>j4=950>6d?3ty999h50;0x9=0d289o70684;1a<>{t:v376d827a=:0><1?o64}r0617<72;q64;h512f89=102:h37p}=54194?4|51=;6<=k;<:48;;:18:8>093;9o63773826f=:0>91=?m4=957>44d342<97?=c:?;33<6:j164:9513a89=1?288h70689;124>{zj1=j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0>h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>0l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=1b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<2`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;<5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9:1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm89194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl78583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo675;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn569:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi45950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj12j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e01h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>?l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=>b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<=`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;=5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9;1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm88194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl79583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo665;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn579:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi44950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj13j6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e00h1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>>l3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=?b290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a<<`=83?1<7>t$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;e5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9c1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8`194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7a583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6n5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5o9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4l950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1kj6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0hh1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>fl3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=gb290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;f5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9`1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8c194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7b583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6m5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5l9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4o950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1hj6=4::183!5dm389=6F>o6:j0;66g>3e83>>o4jh0;66a>{e0kh1<7;50;2x 6eb2;8:7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg>el3:197>50z&0g`<5:81C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9j7gg=831d?o650;9~f=db290>6=4?{%1`a?4592B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`;g5<72<0;6=u+3bg9677<@:n37E=k0:&16`<53`l?6=44i00`>5<5<j?;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb9a1>5<2290;w)=le;015>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm8b194?3=83:p(>mj:302?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl7c583>0<729q/?nk52338L6b?3A9o<6*=2d81?l`32900e<ln:188k6d?2900qo6l5;291?6=8r.8oh4=209K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831b?oo50;9l7g>=831vn5m9:186>5<7s-9hi7<=1:J0`==O;m:0(?n4?::k27a<722c8nl4?::m0f=<722wi4n950;794?6|,:in6?<>;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj1ij6=46b|@:n;7)=le;351`=]n:0>w?:51g811?452;91qdol:18'7g3=ik1e?o:50:9j5=7=83.8n84>819m7g2=821b=:h50;&0f0<6091e?o:51:9j52c=83.8n84>819m7g2=:21b=:j50;&0f0<6091e?o:53:9j52e=83.8n84>819m7g2=<21b=:l50;&0f0<6091e?o:55:9j52g=83.8n84>819m7g2=>21b=:750;&0f0<6091e?o:57:9j5d?=83.8n84>819m7g2=021b=l650;&0f0<6091e?o:59:9j5d1=83.8n84>819m7g2=i21b=l850;&0f0<6091e?o:5b:9j5d3=83.8n84>819m7g2=k21b=l:50;&0f0<6091e?o:5d:9j5819m7g2=m21b=5h50;&0f0<6091e?o:5f:9j5=2=83.8n84>819m7g2=9910e<97:18'7g3=91:0b>l;:038?ld5290/?o;5b09m7g2=821b?i;50;9j57e=831b?n850;9j56>=831d=o=50;&0f0<6j;1e?o:50:9l5g7=83.8n84>b39m7g2=921d=o>50;&0f0<6j;1e?o:52:9l5d`=83.8n84>b39m7g2=;21d=lk50;&0f0<6j;1e?o:54:9l5db=83.8n84>b39m7g2==21d=lm50;&0f0<6j;1e?o:56:9l5dd=83.8n84>b39m7g2=?21d=il50;&0f0<6j;1e?o:58:9l5ag=83.8n84>b39m7g2=121d=i750;&0f0<6j;1e?o:5a:9l5a>=83.8n84>b39m7g2=j21d=i950;&0f0<6j;1e?o:5c:9l5a0=83.8n84>b39m7g2=l21d=nm50;&0f0<6j;1e?o:5e:9l5f7=83.8n84>b39m7g2=n21d=o850;&0f0<6j;1e?o:51198k4gf290/?o;51c08j6d328;07b?j5;29 6d228o?7c=m4;28?j7b;3:1(>l::0g7?k5e<3;07b?j2;29 6d228o?7c=m4;08?j7b93:1(>l::0g7?k5e<3907b?j0;29 6d228o?7c=m4;68?j7cn3:1(>l::0g7?k5e<3?07b?ke;29 6d228o?7c=m4;48?j7cl3:1(>l::0g7?k5e<3=07bl::0g7?k5e<3307bl::0g7?k5e<3h07bl::0g7?k5e<3n07b?ie;29 6d228o?7c=m4;g8?j7a;3:1(>l::0g7?k5e<3l07b?j8;29 6d228o?7c=m4;33?>i6lj0;6)=m5;3f0>h4j=0:=65m8b`94?7=83:p(>mj:304?M5c02B8h=5`23494?=zj1ih6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm8bf94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?kl0;694?:1y'7fc=:8l0D>j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qo6lf;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~f=b7290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wi4i?50;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`;`7<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb9f7>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{e0m?1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yg>c?3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vn5j7:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::a1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1>h4=;hd7>5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zj1nh6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sm8ef94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xd?ll0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;`c<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e183>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i<4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?m;0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;a6<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e583>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i84?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?m?0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;a2<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7e983>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3i44?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?mh0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;ag<72m0;6=u+3bg97gd<@:n37E=k0:&16`<23`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7eb83>a<729q/?nk53c`8L6b?3A9o<6*=2d86?l>a2900e4?50;9j=6<722c287>5;h30`?6=3`8:>7>5;h027?6=3`8:87>5;h021?6=3`9o?7>5;h1g0?6=3f9hn7>5;n025?6=3th3ii4?:e83>5}#;jo1?ol4H2f;?M5c82.9>h4:;h:e>5<>o><3:17d?3;29?l46<3:17d<>5;29?l5c;3:17d=k4;29?j5dj3:17b<>1;29?xd?ml0;6i4?:1y'7fc=;kh0D>j7;I1g4>"5:l0>7d6i:188m<7=831b5>4?::k:0?6=3`;8h7>5;h026?6=3`8:?7>5;h020?6=3`8:97>5;h1g7?6=3`9o87>5;n1`f?6=3f8:=7>5;|`;ac<72m0;6=u+3bg97gd<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l74l3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d=k3;29?l5c<3:17b=lb;29?j4693:17pl7f183>6<729q/?nk52278L6b?3A9o<6*=2d8;3>o5:00;66g=2`83>>i4990;66s|2476>5<6;rTjo637dd8;b>;?lo03j637e18;b>;?m803j637e38;b>;?m:03j637e58;b>;?m<03j637e78;b>;?m>03j637e98;b>;?m003j637e`8;b>;?mk03j637eb8;b>;?mm03j637ed8;b>;?mo03j6s|2475>5<5sW;3=637eg827a=z{;?>;7>52z\23c=:0lo1=>j4}r061=<72;qU=:k4=9gg>45c3ty998750;0xZ41c342no7?{t:;?m10:?i5rs376b?6=:rT:m5528d4956b:=4?:3y]5d1<51o>6<=k;|q1137=838pR88=:181[7f=273i>4>3e9~w731;3:1>vP>a59><`4=9:n0q~<:6583>7}Y90k015k>:01g?xu5=??1<7cm3;8h6s|2445>5<5sW;38637e1827a=z{;?=;7>52z\23==:0ml1=>j4}r062=<7289pRo<4=9ff>775342oj7<>2:?;a5<59;164h?520089=c52;;9706j3;026>;?m=09=?528d79644<51o=6??=;<:f3?46:273i54=139><`?=:88015kn:331?8>bj38:>637eb8157=:0ln1><<4=9gf>775342nj7<>2:p600>2909wS=k5:?;b5<5:01v?;9a;2951}Y9;i015ml:00`?8>dl3;9o637cd826f=:0jl1=?m4=9f3>44d342o=7?=c:?;`7<6:j164i=513a89=b3288h706k5;31g>;?l?0:>n528e5957e<51n36<2b9>cl3;9o637f1816d=z{;?=n7>524y]56><51ih6k:4=9ag>c2<51in6k:4=9ae>c2<51n;6k:4=9f2>c2<51n96k:4=9f0>c2<51n?6k:4=9f6>c2<51n=6k:4=9f4>c2<51n36k:4=9f:>c2<51nj6k:4=9fa>c2<51nh6k:4=9fg>c2<51nn6>j<;<:gb?5c;273i=4<`7=;m9015k=:2f0?8>b;39o?637e580`6=:0l?1?i=4=9g5>6b4342n;7=k3:?;a=<4l:164h753e189=cf2:n8706jb;1g7>;?mj08h>528df97a5<51on6>j<;<:fb?5c;2wx>88l:181[7b=273hi4vP>e29>7}Y9l8015jm:2`;?xu5=?l1<7ci39i46s|2453>5<5sW;n<637d880f==z{;?<=7>52z\2`c=:0m21?o64}r0637<72;qU=ik4=9f4>6d?3ty99:=50;0xZ4bc342o:7=m8:p60132909wS{t:<=36=4={_03=>;?l808n55rs374=?6=:rT9<5528e297g>;l4?:3y]5cc<51im6>l7;|q112d=838pR89l:181[7b0273oi4vP>db9>3b|51ii6?<9;<:ga??6342oi77<;<:ga??3342oi7<>3:?;``<59=164ik520789=ba20;015ji:8189=ba20>015ji:330?8>cn38:8637dg8150=:0l:15<528d29=6=:0l:159528d29645<51o;6??;;<:f4?46=273i<461:?;a4<>;273i<464:?;a4<59:164h?520689=c62;;>706j2;;2?8>b:338706j2;;7?8>b:38:?637e38151=:0l81><;4=9g0><7<51o864=4=9g0><2<51o86??<;<:f7?46<273i>4=149><`2=18164h:5929><`2=1=164h:520189=c32;;?706j4;021>;?m<02=637e48:7>;?m<028637e48156=:0l?1><:4=9g6>772342n:77>;<:f2??4342n:77;;<:f2?46;273i;4=159><`0=:8?015k8:8389=c0209015k8:8689=c02;;8706j7;020>;?m>09=8528d:9=4=:0l215>528d:9=1=:0l21><=4=9g;>773342n47<>5:?;a<<>9273i4463:?;a<<><273i44=129><`?=:8>015k6:336?8>bi33:706ja;;0?8>bi33?706ja;027>;?mh09=9528dc9643<51oi64?4=9ga><5<51oi64:4=9ga>774342nn7<>4:?;ag<59<164hm5909><`e=1:164hm5959><`e=:89015kl:337?8>bk38:9637ee8:5>;?mm02?637ee8:0>;?mm09=>528df9642<51oo6??:;<:fa??6342ni77<;<:fa??3342ni7<>3:?;a`<59=164hk520789=ca20;015ki:8189=ca20>015ki:330?8>bn38:8637eg8150=z{;?52z?;gf<6;m164ih52038yv42090;6?u28bf956b<51o;6??>;|q11=7=838p15mj:01g?8>cm38:=6s|24:1>5<5s42hj7?494?:3y>45c342n87<>1:p60>12909w06k3;30`>;?m<09=<5rs37;3?6=:r73h94>3e9><`0=:8;0q~<:8983>7}:0m?1=>j4=9g;>7763ty995750;0x9=b1289o706j9;025>{t:<2j6=4={<:g3?74l273i:4=109~w73?j3:1>v37d9827a=:0lk1>86j:1818>cj3;8h637ee8154=z{;?3j7>52z?;`f<6;m164hk52038yv42190;6?u28ef956b<51om6??>;|q11<7=83;8w06ke;1g0>;?lo08h9528d297a2<51o:6>j;;<:f6?5c<273i>4<`2=;m>015k::2f7?8>b>39o8637e680`1=:0l21?i:4=9g:>6b3342nm7=k4:?;ag<4l=164hm53e689=cc2:n?706je;1g0>;?mo08h9528g297465}#;jo1?i94H2f;?M5c82.8=i4>67;8m4502900e?=?:188k6772900qo6i2;297?6=8r.8oh4<@:n;7)=>d;352<=n9:=1<75f22294?=h;8:1<75rb9d0>5<4290;w)=le;1g3>N4l11C?i>4$23g>40112c:?:4?::k175<722e8==4?::at$2af>6b03A9o46F0;29?xd?n<0;6>4?:1y'7fc=;m=0D>j7;I1g4>"49m0::;74i014>5<5<55;294~"4kl09:=5G3e:8L6b73-9:h7?9689j561=831b><>50;9j7f3=831d?<>50;9l667=831vn5h8:187>5<7s-9hi7<91:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a=3083>>{e0o21<7:50;2x 6eb2;<:7E=k8:J0`5=#;8n1=;86;h303?6=3`8:<7>5;h1`1?6=3f88=7>5;|`;b<<72=0;6=u+3bg9637<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k7562900qo6ia;290?6=8r.8oh4=609K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`22394?=zj1li6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::at$2af>7463A9o46F=831vn5hi:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?783:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl60083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1981<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb820>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:40<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5=850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<60290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7?8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?800;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm91c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0:i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=5c=83?1<7>t$2af>7463A9o46F=831vn4>i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?683:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl61083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1881<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb830>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:50<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5<850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<70290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7>8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?900;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm90c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0;i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=4c=83?1<7>t$2af>7463A9o46F=831vn4?i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?583:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl62083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1;81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb800>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:60<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5?850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<40290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7=8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?:00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm93c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj08i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1ai4?:483>5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=7c=83?1<7>t$2af>7463A9o46F=831vn45<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?483:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl63083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1:81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb810>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:70<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi5>850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<50290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7<8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?;00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm92c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj09i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=6c=83?1<7>t$2af>7463A9o46F=831vn4=i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?383:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl64083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1=81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb860>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:00<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi59850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<20290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7;8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?<00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm95c94?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zj0>i6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::a=1c=83?1<7>t$2af>7463A9o46F=831vn4:i:186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188yg?283:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pl65083>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{e1<81<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb870>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<87>55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`:10<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wi58850;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~f<30290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qo7:8;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?=00;684?:1y'7fc=:;;0D>j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sm94c94?5=83:p(>mj:2f4?M5c02B8h=5+30f9530>3`;8;7>5;h004?6=3f9:<7>5;|`:1g<72:0;6=u+3bg97a1<@:n37E=k0:&05a<6>?30e<=8:188m7572900c>??:188yg?2k3:1?7>50z&0g`<4l>1C?i64H2f3?!56l3;=:45f12594?=n:::1<75`30294?=zj0?o6=4<:183!5dm39o;6Fj8;I1g<>N4l91/?0<729q/?nk52728L6b?3A9o<6*<1e8223?5<6=44o233>5<j?;%12`?71>01b=>950;9j646=831b?n;50;9l667=831vn48>:187>5<7s-9hi7<91:J0`==O;m:0(>?k:045=>o6;>0;66g=1183>>o4k<0;66a=3083>>{e1?81<7:50;2x 6eb2;<:7E=k8:J0`5=#;8n1=;86;h303?6=3`8:<7>5;h1`1?6=3f88=7>5;|`:26<72=0;6=u+3bg9637<@:n37E=k0:&05a<6>?30e<=8:188m7772900e>m::188k7562900qo794;290?6=8r.8oh4=5g9K7a><@:n;7)=>d;352<=n9:=1<75f20294?=n;j?1<75`30294?=zj0<>6=4::183!5dm388;6F44?::k16d<722c9>o4?::k16f<722e8==4?::a=30=83>1<7>t$2af>7513A9o46F?750;9j67g=831b>?l50;9l746=831vn488:186>5<7s-9hi7<<7:J0`==O;m:0(?0;29?xd>>10;684?:1y'7fc=::=0D>j7;I1g4>"5:l0356*5<1290;w)=le;00<>N4l11C?i>4$30f>4553-9i:7=j1:k16<<722c9>l4?::k16g<722c9>n4?::k16a<722e8==4?::a=3g=8391<7>t$2af>7523A9o46F?750;9j67g=831d?<>50;9~f<0e290>6=4?{%1`a?44?2B8h55G3e28 74b21n0(>l9:2g7?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl66b83>1<729q/?nk52248L6b?3A9o<6*=2d8;1>o5:00;66g=2`83>>o5:k0;66a<1183>>{e1?n1<7:50;2x 6eb2;9=7E=k8:J0`5=#:;o1=>k4$2`5>6c23`8957>5;h01e?6=3`89n7>5;n124?6=3th2:h4?:283>5}#;jo1>>;4H2f;?M5c82.9>h471:k16<<722c9>l4?::m055<722wi5;h50;694?6|,:in6?=9;I1g<>N4l91/>?k520a8 6d12:o87d<=9;29?l45i3:17d<=b;29?j5683:17pl67183>3<729q/?nk522:8L6b?3A9o<6*=2d87?l4513:17d<=a;29?l45j3:17d<=c;29?l45l3:17b=>0;29?xd>?80;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l09m6g=2883>>o5:h0;66a<1183>>{e1>81<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1=>j4i30:>5<5<54;294~"4kl09?;5G3e:8L6b73-89i7<>9:&0f3<4m?1b>?750;9j67g=831b>?l50;9l746=831vn49;:187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg?0=3:1?7>50z&0g`<5;<1C?i64H2f3?!45m32<7d<=9;29?l45i3:17b=>0;29?xd>??0;694?:1y'7fc=::<0D>j7;I1g4>"5:l0346*5;h01f?6=3`89o7>5;n124?6=3th2;54?:783>5}#;jo1>>64H2f;?M5c82.9>h4=249j67?=831b>?o50;9j67d=831b>?m50;9j67b=831d?<>50;9~f<1>290?6=4?{%1`a?44>2B8h55G3e28 74b2o80e?<6:188m74f2900e?<@:n;7)<=e;d1?l4513:17d<=a;29?l45j3:17b=>0;29?xd>?k0;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l09m6g=2883>>o5:h0;66a<1183>>{e1>i1<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1>l5f23;94?=n:;k1<75`30294?=zj0=o6=4<:183!5dm38896F5<5<54;294~"4kl09?;5G3e:8L6b73-89i7;;;%1a2?5b02c9>44?::k16d<722c9>o4?::m055<722wi5:h50;194?6|,:in6?=:;I1g<>N4l91/>?k5869j67?=831b>?o50;9l746=831vn46?:180>5<7s-9hi7<<5:J0`==O;m:0(?0;29?xd>080;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l03=6g=2883>>o5:h0;66a<1183>>{e1181<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o14<5f23;94?=n:;k1<75`30294?=zj0286=4::183!5dm388;6F5;h01f?6=3`89o7>5;n124?6=3th2494?:483>5}#;jo1>>94H2f;?M5c82.9>h4=1c9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn46::187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg??>3:1?7>50z&0g`<5;<1C?i64H2f3?!45m38:46g=2883>>o5:h0;66a<1183>>{e11=1<7:50;2x 6eb2;9=7E=k8:J0`5=#:;o14;5f23;94?=n:;k1<75f23`94?=h;8:1<75rb8:;>5<4290;w)=le;001>N4l11C?i>4$30f>=25<j?;%01a?`53`8957>5;h01e?6=3`89n7>5;n124?6=3th24l4?:283>5}#;jo1>>;4H2f;?M5c82.9>h474:k16<<722c9>l4?::m055<722wi55l50;194?6|,:in6?=:;I1g<>N4l91/>?k5859j67?=831b>?o50;9l746=831vn46l:187>5<7s-9hi7<<6:J0`==O;m:0(?2900e???:188yg??l3:187>50z&0g`<5;?1C?i64H2f3?!45m32=7d<=9;29?l45i3:17d<=b;29?j5683:17pl68d83>6<729q/?nk52278L6b?3A9o<6*=2d8;0>o5:00;66g=2`83>>i4990;66sm99d94?5=83:p(>mj:316?M5c02B8h=5+23g9<1=n:;31<75f23c94?=h;8:1<75rb8;3>5<4290;w)=le;001>N4l11C?i>4$30f>=25<j?;%01a?733`8957>5;h01e?6=3`89n7>5;n124?6=3th25?4?:283>5}#;jo1>>;4H2f;?M5c82.9>h477:k16<<722c9>l4?::m055<722wi54=50;794?6|,:in6?=8;I1g<>N4l91/>?k521d8m74>2900e?<@:n;7)<=e;017>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm98794?2=83:p(>mj:315?M5c02B8h=5+23g9<3=n:;31<75f23c94?=n:;h1<75`30294?=zj03=6=4<:183!5dm38896F5<5<53;294~"4kl09?85G3e:8L6b73-89i7<>8:k16<<722c9>l4?::m055<722wi54650;694?6|,:in6?=9;I1g<>N4l91/>?k51408m74>2900e???:188yg?>13:187>50z&0g`<5;?1C?i64H2f3?!45m38:56g=2883>>o5:h0;66g=2c83>>i4990;66sm98c94?5=83:p(>mj:316?M5c02B8h=5+23g9<1=n:;31<75f23c94?=h;8:1<75rb8;a>5<4290;w)=le;001>N4l11C?i>4$30f>=75<j?;%01a?>63`8957>5;h01e?6=3f9:<7>5;|`:=a<72:0;6=u+3bg9663<@:n37E=k0:&16`?o50;9l746=831vn47j:180>5<7s-9hi7<<5:J0`==O;m:0(?0;29?xd>1o0;6>4?:1y'7fc=::?0D>j7;I1g4>"5:l03;6*5<4290;w)=le;001>N4l11C?i>4$30f>=1<,:h=6>k6;h01=?6=3`89m7>5;n124?6=3th2m<4?:283>5}#;jo1>>;4H2f;?M5c82.9>h471:k16<<722c9>l4?::m055<722wi5l<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4o<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6a483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9`494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8c4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:e<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=dg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?fk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>im0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1ho1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0km6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2n<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5o<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4l<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6b483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9c494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8`4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:f<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=gg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ek3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>jm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1ko1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0hm6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2o<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5n<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4m<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6c483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9b494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8a4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:g<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=fg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?dk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>km0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1jo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0im6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2h<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5i<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4j<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6d483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9e494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8f4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:`<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=ag=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ck3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>lm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1mo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0nm6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2i<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5h<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4k<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6e483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9d494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8g4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:a<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=`g=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?bk3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>mm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1lo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0om6=4::183!5dm388;6F5<5<5<55;294~"4kl09?:5G3e:8L6b73-89i79i;h01=?6=3`89m7>5;h01f?6=3`89o7>5;n124?6=3th2j<4?:483>5}#;jo1>>94H2f;?M5c82.9>h48f:k16<<722c9>l4?::k16g<722c9>n4?::m055<722wi5k<50;794?6|,:in6?=8;I1g<>N4l91/>?k57g9j67?=831b>?o50;9j67d=831b>?m50;9l746=831vn4h<:186>5<7s-9hi7<<7:J0`==O;m:0(?2900e?<@:n;7)<=e;5e?l4513:17d<=a;29?l45j3:17d<=c;29?j5683:17pl6f483>0<729q/?nk52258L6b?3A9o<6*=2d84b>o5:00;66g=2`83>>o5:k0;66g=2b83>>i4990;66sm9g494?3=83:p(>mj:314?M5c02B8h=5+23g93c=n:;31<75f23c94?=n:;h1<75f23a94?=h;8:1<75rb8d4>5<2290;w)=le;003>N4l11C?i>4$30f>2`5<5<j?;%01a?1a3`8957>5;h01e?6=3`89n7>5;h01g?6=3f9:<7>5;|`:b<<72<0;6=u+3bg9661<@:n37E=k0:&16`<0n2c9>44?::k16d<722c9>o4?::k16f<722e8==4?::a=cg=83?1<7>t$2af>7503A9o46F?750;9j67g=831b>?l50;9j67e=831d?<>50;9~f<`e290>6=4?{%1`a?44?2B8h55G3e28 74b2>l0e?<6:188m74f2900e???:188yg?ak3:197>50z&0g`<5;>1C?i64H2f3?!45m3=m7d<=9;29?l45i3:17d<=b;29?l45k3:17b=>0;29?xd>nm0;684?:1y'7fc=::=0D>j7;I1g4>"5:l0>o5:h0;66g=2c83>>o5:j0;66a<1183>>{e1oo1<7;50;2x 6eb2;9<7E=k8:J0`5=#:;o1;k5f23;94?=n:;k1<75f23`94?=n:;i1<75`30294?=zj0lm6=4::183!5dm388;6F5<5<5<54;294~"4kl09?;5G3e:8L6b73-89i7?<;h01=?6=3`89m7>5;h01f?6=3f9:<7>5;|`b44<72=0;6=u+3bg9660<@:n37E=k0:&16`<58l1b>?750;9j67g=831b>?l50;9l746=831vnl>=:180>5<7s-9hi7<<5:J0`==O;m:0(?6<729q/?nk52278L6b?3A9o<6*=2d815==n:;31<75f23c94?=h;8:1<75rb`27>5<4290;w)=le;001>N4l11C?i>4$30f>77?3`8957>5;h01e?6=3f9:<7>5;|`b40<72:0;6=u+3bg9663<@:n37E=k0:&16`<5911b>?750;9j67g=831d?<>50;9~fd61290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo?7;297?6=8r.8oh4=1e9K7a><@:n;7)<=e;36?l`32900e<=k:188k6d?2900qoo?8;297?6=8r.8oh4=349K7a><@:n;7)<=e;0b?l4513:17d<=a;29?j5683:17pln0883>0<729q/?nk52338L6b?3A9o<6*<1e8223?<,;8n6?5ff583>>o6:j0;66g>3e83>>o4jh0;66a>{ei9k1<7;50;2x 6eb2;8:7E=k8:J0`5=#;8n1=;86;%01a?41<75f13a94?=n9:n1<75f3cc94?=h;k21<75rb`2a>5<2290;w)=le;015>N4l11C?i>4$23g>40112.9>h4=;hd7>5<5<5<55;294~"4kl09><5G3e:8L6b73-9:h7?9689'67c=:2cm87>5;h31g?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b4a<72<0;6=u+3bg9677<@:n37E=k0:&05a<6>?30(?n4?::k27a<722c8nl4?::m0f=<722wim=k50;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd6a290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo>0;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>oa<3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sma0194?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zjh;?6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k5149jb1<722c:>n4?::k27a<722c8o84?::m0f=<722wim<850;694?6|,:in6?N4l91bj94?::k27a<722c8nl4?::m0f=<722wim<950;794?6|,:in6?<>;I1g<>N4l91/?j50;9j7gg=831d?o650;9~fd7?290>6=4?{%1`a?4592B8h55G3e28 67c28<=56*=2d81?l`32900e<ln:188k6d?2900qoo>9;291?6=8r.8oh4=239K7a><@:n;7)=>d;352<=#:;o1=85ff583>>o6:j0;66g>3e83>>o4k<0;66a>{ei8k1<7;50;2x 6eb2;897E=k8:J0`5=#;8n1=;86;%01a?723`l?6=44i00`>5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::ae4b=83?1<7>t$2af>7453A9o46Fm::188k6d?2900qoo>e;291?6=8r.8oh4=209K7a><@:n;7)=>d;352<=#:;o1>6gi4;29?l75k3:17d?j7;I1g4>"49m0::;74$30f>7=nn=0;66g>2b83>>o6;m0;66g>i4j10;66sma3294?3=83:p(>mj:302?M5c02B8h=5+30f9530>3-89i7<4ig694?=n9;i1<75f12f94?=n;kk1<75`3c:94?=zjh8:6=4::183!5dm389=6F5<5<j?;%12`?71>01/>?k52:ke0?6=3`;9o7>5;h30`?6=3`9im7>5;n1a>4?:483>5}#;jo1>??4H2f;?M5c82.8=i4>67;8 74b2;1bj94?::k26f<722c:?i4?::k0fd<722e8n54?::ae72=83?1<7>t$2af>7463A9o46F=831vnl<::186>5<7s-9hi7<=1:J0`==O;m:0(>?k:045=>"5:l097dh;:188m44d2900e<=k:188m6df2900c>l7:188ygg5>3:197>50z&0g`<5:81C?i64H2f3?!56l3;=:45+23g96>oa<3:17d?=c;29?l74l3:17d=ma;29?j5e03:17pln2683>7<729q/?nk52268L6b?3A9o<6*=2d81e>o5:00;66a<1183>>{ei;21<7=50;2x 6eb2;9>7E=k8:J0`5=#:;o1>l5f23;94?=n:;k1<75`30294?=zjh826=4=:183!5dm38886F5<j?;%01a?4f3`8957>5;n124?6=3thj>o4?:383>5}#;jo1>>:4H2f;?M5c82.9>h4=a:k16<<722e8==4?::ae7e=8381<7>t$2af>7533A9o46F?750;9l746=831vnl5<7s-9hi7<<4:J0`==O;m:0(?2900c>??:188ygg5m3:1>7>50z&0g`<5;=1C?i64H2f3?!45m38j7d<=9;29?j5683:17pln2g83>7<729q/?nk52268L6b?3A9o<6*=2d81e>o5:00;66a<1183>>{ei::1<7<50;2x 6eb2;9?7E=k8:J0`5=#:;o1>l5f23;94?=h;8:1<75rb`12>5<5290;w)=le;000>N4l11C?i>4$30f>7g5<7>52;294~"4kl09?95G3e:8L6b73-89i75;|`b76<72;0;6=u+3bg9662<@:n37E=k0:&16`<5i2c9>44?::m055<722wim>:50;094?6|,:in6?=;;I1g<>N4l91/>?k52`9j67?=831d?<>50;9~fd52290?6=4?{%1`a?4582B8h55G3e28 74b2;1bj94?::k27a<722c8nl4?::m0f=<722wim>850;694?6|,:in6?N4l91/>?k52:ke0?6=3`;8h7>5;h1ae?6=3f9i47>5;|`b72<72:0;6=u+3bg964b<@:n37E=k0:&16`<6=2cm87>5;h30`?6=3f9i47>5;|`b7=<72:0;6=u+3bg964b<@:n37E=k0:&16`<6=2cm87>5;h30`?6=3f9i47>5;|`b7<<72?0;6=u+3bg966><@:n37E=k0:&16`44?::k16d<722c9>o4?::k16f<722c9>i4?::m055<722wim>o50;694?6|,:in6?=9;I1g<>N4l91/>?k520a8m74>2900e???:188ygg4j3:187>50z&0g`<5;?1C?i64H2f3?!45m38:o6*5;h01f?6=3`89o7>5;n124?6=3thj?i4?:483>5}#;jo1>>94H2f;?M5c82.9>h4=1`9'7g0=;l:0e?<6:188m74f2900e???:188ygg4m3:197>50z&0g`<5;>1C?i64H2f3?!45m38:m6*5<1290;w)=le;00<>N4l11C?i>4$30f>7d<,:h=6>ji;h01=?6=3`89m7>5;h01f?6=3`89o7>5;h01`?6=3f9:<7>5;|`b05<72<0;6=u+3bg9661<@:n37E=k0:&16`<602.8n;4?o50;9j67d=831b>?m50;9l746=831vnl:>:185>5<7s-9hi7<<8:J0`==O;m:0(?1<729q/?nk52248L6b?3A9o<6*=2d860>"4j?08i?5f23;94?=n:;k1<75f23`94?=h;8:1<75rb`60>5<5290;w)=le;01b>N4l11C?i>4i30;>5<j?;h015;|`b00<72;0;6=u+3bg967`<@:n37E=k0:k16=<722e8==4?::ae10=8381<7>t$2af>74a3A9o46F=831d?<>50;9~fd2029096=4?{%1`a?45n2B8h55G3e28m74?2900c>??:188ygg303:1>7>50z&0g`<5:o1C?i64H2f3?l4503:17b=>0;29?xdf<00;6?4?:1y'7fc=:;l0D>j7;I1g4>o5:10;66a<1183>>{ei=k1<7<50;2x 6eb2;8m7E=k8:J0`5=n:;21<75`30294?=zjh>i6=4=:183!5dm389j6F5<52;294~"4kl09>k5G3e:8L6b73`8947>5;n124?6=3thj8i4?:383>5}#;jo1>?h4H2f;?M5c82c9>54?::m055<722wim9k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei=l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj9<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo:3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd3329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`76>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei<<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo:a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`7`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl;k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae0c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma4d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf>90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b24<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg1;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl8;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh<>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma7494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim;950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b2=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln6883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg1i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd0c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim;k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei?l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj;<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo83;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd1329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`56>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei><1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae21=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo8a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`5`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl9k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::ae2c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma6d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdf090;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`b<4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygg?;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnl6;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjh2>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma9494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wim5950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`b<=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17pln8883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygg?i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjh2h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fd>c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wim5k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ei1l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thj5<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoo63;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd?329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`;6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ei0<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::ae<1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qoo6a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`;`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnl7k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aet$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66sma8d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfi90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`be4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggf;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlo;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhk>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66sma`494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wiml950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`be=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plna883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggfi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhkh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdgc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimlk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eihl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjn<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qoom3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdd329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb``6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eik<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aeg1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooma;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb```>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnllk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aegc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smacd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfk90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`bg4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggd;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlm;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjhi>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smab494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimn950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`bg=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plnc883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggdi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhih6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdec290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimnk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eijl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjh<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qook3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fdb329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`f6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eim<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aea1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooka;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`f`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnljk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aeac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smaed94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdfm90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ba4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188yggb;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnlk;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjho>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smad494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722wimh950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ba=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plne883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yggbi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjhoh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fdcc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722wimhk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{eill1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thjj<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qooi3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fd`329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rb`d6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{eio<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::aec1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qooia;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rb`d`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnlhk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aecc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smagd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde890;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a44<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd7;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno>;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk:>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb1494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win=950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a4=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm0883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd7i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk:h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg6c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win=k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej9l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi=<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol>3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg7329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc36>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej8<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af41=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol>a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc3`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno?k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af4c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb0d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde:90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a64<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd5;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno<;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk8>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb3494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win?950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a6=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm2883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd5i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk8h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg4c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win?k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej;l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi?<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol<3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg5329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc16>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej:<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af61=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc1`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno=k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af6c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb2d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde<90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a04<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd3;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno:;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk>>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb5494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win9950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a0=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm4883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd3i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk>h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg2c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win9k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej=l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi9<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol:3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg3329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc76>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej<<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af01=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol:a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<n7>54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc7`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno;k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af0c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb4d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde>90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a24<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd1;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno8;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk<>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb7494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win;950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a2=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm6883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd1i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg0c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win;k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej?l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi;<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol83;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg1329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc56>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej><1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af21=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol8a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc5`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno9k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::af2c=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb6d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xde090;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`a<4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygd?;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vno6;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjk2>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb9494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722win5950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`a<=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plm8883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygd?i3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjk2h6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fg>c290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722win5k50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ej1l1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thi5<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qol63;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fg?329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc;6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ej0<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::af<1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qol6a;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc;`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vno7k:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::aft$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smb8d94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdei90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ae4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdf;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnoo;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjkk>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smb`494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winl950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ae=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plma883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygdfi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkkh6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fggc290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winlk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejhl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thin<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolm3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgd329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbc`6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejk<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afg1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolma;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbc``>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnolk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afgc=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbcd94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdek90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`ag4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdd;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnom;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjki>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbb494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winn950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`ag=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plmc883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188ygddi3:157>50z&0g`<4kj1C?i64H2f3?!45m390e5h50;9j=4<722c2?7>5;h;7>5<5<5<j?;%01a?41<75f13a94?=n9:n1<75`3c:94?=zjkih6=46:183!5dm39ho6F5f8g83>>o>93:17d7<:188m<2=831b=?m50;9j56>=831b=>j50;9l7g>=831d?o750;9~fgec290?6=4?{%1`a?46n2B8h55G3e28 74b2;1bj94?::k26f<722c:?i4?::m0f=<722winnk50;;94?6|,:in6>ml;I1g<>N4l91/>?k53:k;b?6=3`3:6=44i8194?=n1=0;66g>2b83>>o6;10;66g>3e83>>i4j10;66a>{ejjl1<7:50;2x 6eb2;;m7E=k8:J0`5=#:;o1>6gi4;29?l75k3:17d?<<729q/?nk53ba8L6b?3A9o<6*=2d80?l>a2900e4?50;9j=6<722c287>5;h31g?6=3`;847>5;h30`?6=3f9i47>5;n1a=?6=3thih<4?:583>5}#;jo1>h4=;hd7>5<5<j?;%01a?5>o>;3:17d7;:188m44d2900e<=7:188m45c2900c>l7:188k6d>2900qolk3;290?6=8r.8oh4=1g9K7a><@:n;7)<=e;08mc2=831b=?m50;9j56b=831d?o650;9~fgb329026=4?{%1`a?5dk2B8h55G3e28 74b2:1b4k4?::k:5?6=3`386=44i8694?=n9;i1<75f12:94?=n9:n1<75`3c:94?=h;k31<75rbcf6>5<3290;w)=le;02b>N4l11C?i>4$30f>7=nn=0;66g>2b83>>o6;m0;66a>{ejm<1<7750;2x 6eb2:ih7E=k8:J0`5=#:;o1?6g7f;29?l?62900e4=50;9j=1<722c:>n4?::k27=<722c:?i4?::m0f=<722e8n44?::afa1=83>1<7>t$2af>77a3A9o46F5;h31g?6=3`;8h7>5;n1a5}#;jo1?nm4H2f;?M5c82.9>h4<;h:e>5<>o><3:17d?=c;29?l7403:17d?j7;I1g4>"5:l097dh;:188m44d2900e<=k:188k6d?2900qolka;29=?6=8r.8oh4<@:n;7)<=e;18m=`=831b5<4?::k:7?6=3`3?6=44i00`>5<5<5<54;294~"4kl09=k5G3e:8L6b73-89i7<4ig694?=n9;i1<75f12f94?=h;k21<75rbcf`>5<>290;w)=le;1`g>N4l11C?i>4$30f>6=n0o0;66g61;29?l?42900e4:50;9j57e=831b=>650;9j56b=831d?o650;9l7g?=831vnojk:187>5<7s-9hi7<>f:J0`==O;m:0(?n4?::k27a<722e8n54?::afac=8331<7>t$2af>6ed3A9o46F5;h;2>5<>o6:j0;66g>3983>>o6;m0;66a>i4j00;66smbed94?2=83:p(>mj:33e?M5c02B8h=5+23g96>oa<3:17d?=c;29?l74l3:17b=m8;29?xdem90;644?:1y'7fc=;ji0D>j7;I1g4>"5:l087d6i:188m<7=831b5>4?::k:0?6=3`;9o7>5;h305;n1a5;|`aa4<72=0;6=u+3bg964`<@:n37E=k0:&16`<53`l?6=44i00`>5<5<7>59;294~"4kl08on5G3e:8L6b73-89i7=4i9d94?=n180;66g63;29?l?32900e<l6:188ygdb;3:187>50z&0g`<59o1C?i64H2f3?!45m380ek:50;9j57e=831b=>j50;9l7g>=831vnok;:18:>5<7s-9hi7=lc:J0`==O;m:0(?5;h;0>5<1<75f13a94?=n9:21<75f12f94?=h;k21<75`3c;94?=zjko>6=4;:183!5dm38:j6F>o6:j0;66g>3e83>>i4j10;66smbd494??=83:p(>mj:2a`?M5c02B8h=5+23g97>o?n3:17d7>:188m<5=831b594?::k26f<722c:?54?::k27a<722e8n54?::m0f<<722winh950;694?6|,:in6??i;I1g<>N4l91/>?k52:ke0?6=3`;9o7>5;h30`?6=3f9i47>5;|`aa=<7200;6=u+3bg97fe<@:n37E=k0:&16`<43`2m6=44i8394?=n1:0;66g64;29?l75k3:17d?<8;29?l74l3:17b=m8;29?j5e13:17plme883>1<729q/?nk520d8L6b?3A9o<6*=2d81?l`32900e<l7:188yv71>m0;6?uQbg;89d31289o7p}>67g94?4|Vkl370o:8;30`>{t9?;f==0:?i5rs0444?6=:rTij;52a4a956b3e9~w400<3:1>vPmf39>e32=9:n0q~?97483>7}Yk9;01l8?:01g?xu6>><1<73;8h6s|1754>5<5sWhmj63n69827a=z{8<<47>52z\ab`=:i?k1=>j4}r353<<72;qUnkj4=`4`>45c3ty:::o50;0xZg`d34k<<7?66g94?4|Vkl;70o88;30`>{t9?=m6=4={_a77>;39m0:455rs04;4?6=:rTh8?5240f95=1829~w40?<3:1>vPl3e9>04b=9180q~?98483>7}Yk:i019?k:0:2?xu6>1<1<75<5sWi8m63;1e823`=z{8<347>52z\`7<=:<8n1=:j4}r35<<<72;qUo>64=53g>41d3ty::5o50;0xZf5034>:h7?8b:p53>e2909wSm<6:?75a<6?h1v<87c;296~Xd;<16869g94?4|Vj>270:>d;3b<>{t9?2m6=4={_a7<>;39m0:m:5rs04:4?6=:rTh8:5240f95d09`9~w40><3:1>vPl3g9>04b=91l0q~?99483>7}Yk:>019?k:0:7?xu6>0<1<75<5sWn9?63;1e82e6=z{8<247>52z\g67=:<8n1=l?4}r35=<<72;qUh??4=53g>4g73ty::4o50;0xZa4734>:h7?6f:p53?e2909wSj>e:?75a<61l1v<86c;296~Xc9m16868g94?4|Vm;i70:>d;3:f>{t9?3m6=4={_f2e>;39m0:545rs04b4?6=:rTo=45240f95<><5=;o6<78;|q22d4=838pRi?8;<62`?7>>2wx=;o<:181[b6>27?=i4>949~w40f<3:1>vPk149>04b=90>0q~?9a483>7}Yl;k019?k:0;0?xu6>h<1<76s|17c4>5<5sWn9463;1e82=4=z{852z\g62=:<8n1=4>4}r35e<<72;qUh?84=53g>4>b3ty::lo50;0xZa4234>:h7?7d:p53ge2909wSj=4:?75a<60j1v<8nc;296~Xc9o1686`g94?4|Vm;870:>d;3;=>{t9?km6=4>4`y]530d34>;47=l5:?74<<4jh168=o53cc8916d2:hj70:?d;1ae>;38l08nl5241d97gg<5=;;6>ln;<625?5ei27?=?4045=;kk019?;:2a6?826=39im63;1780g0=:<821?oo4=53:>6df34>:n7=ma:?75f<4jh168;28>08nl5251:97gg<5<:26>ln;<73e?5ei27>15e=;kk018>k:2`b?837m39im63:0g80fd=:=8:1?oo4=432>6df34?:>7=ma:?656<4jh169<:53cc890722:hj70;>6;1ae>;29>08nl5250:97gg<5<;26>m9;<70g?5d>27>9k41=4=;j<018o::2a5?83d039h:63:ec80g3=:>9o1?n84=712>6e134<>87=l6:?532<4k?16:4o53b4893dc2:i=708i6;1`2>;0:o08nl5272297gg<5>9:6>ln;<506?5ei274362=;kk01:=::2`b?814>39im6383680fd=:?:21?oo4=61:>6df34=8m7=ma:?47g<4jh16;>m53cc8925c2:hj709;0;o08nl5275297gg<5>>:6>ln;<576?5ei27<8>4312=;kk01::::2`b?813>39im6384680fd=:?=21?oo4=66:>6df34=?m7=ma:?40g<4jh16;9m53cc8922c2:hj709;e;1ae>;0?:6>ln;<566?5ei27<9>4302=;kk01:;::2`b?812>39im6385680fd=:?<21?oo4=67:>6df34=>m7=ma:?41g<4jh16;8m53cc8923c2:hj709:e;1ae>;0=o08nl5277297gg<5><:6>ln;<556?5ei27<:>4332=;kk01:8::2`b?811>39im6386680fd=:??21?oo4=64:>6df34==m7=ma:?42g<4jh16;;m53cc8920c2:hj7099e;1ae>;0>o08o;5278497f0<5>k26>m9;<5ag?5d>273`4=;j<01:h::2a5?8>7039h:6371c80g3=:0;o1?n84=962>6e1342>87=l6:?;22<4k?164:o53cc89=1e2:hj7068c;1ae>;??m08nl5286g97gg<51=m6>ln;<:;4?5ei2734<4<=4=;kk0156<:2`b?8>?<39im6378480fd=:01<1?oo4=9:4>6df342347=ma:?;<<<4jh1645o53cc89=>e2:hj7067c;1ae>;?0m08nl5289g97gg<512m6>ln;<::4?5ei2735<4<<4=;kk0157<:2`b?8>><39im6379480fd=:00<1?oo4=9;4>6df342247=ma:?;=<<4jh1644o53cc89=?e2:hj7066c;1ae>;?1m08nl5288g97gg<513m6>ln;<:b4?5ei273m<4f<39im637a480fd=:0h<1?oo4=9c4>6df342j47=ma:?;e<<4jh164lo53cc89=ge2:hj706nc;1ae>;?im08nl528`g97gg<51km6>ln;<:a4?5ei273n<4e<39im637b480fd=:0k<1?oo4=9`4>6df342i47=ma:?;f<<4jh164oo53cc89=de2:hj706mc;1ae>;?jm08nl528cg97gg<51hm6>ln;<:`4?5ei273o<4d<39im637c480fd=:0j<1?oo4=9a4>6df342h47=ma:?;g<<4jh164no53b489=`e2:hj706ic;1ae>;?nm08nl528gg97gg<51lm6>ln;<;34?5ei272<<4=54=;kk014><:2`b?8?7<39im6360480fd=:19<1?oo4=824>6df343;47=ma:?:4<<4jh165=o53cc89<6e2:hj707?c;1ae>;>8m08nl5291g97gg<50:m6>ln;<;24?5ei272=<4=44=;kk014?<:2`b?8?6<39im6361480fd=:18<1?oo4=834>6df343:47=ma:?:5<<4jh165c;1ae>;>9m08nl5290g97gg<50;m6>ln;<;14?5ei272><4=74=;kk014<<:2`b?8?5<39im6362480fd=:1;<1?oo4=804>6df343947=ma:?:6<<4jh165?o53cc89<4e2:hj707=c;1ae>;>:m08nl5293g97gg<508m6>ln;<;04?5ei272?<4=64=;kk014=<:2`b?8?4<39im6363480fd=:1:<1?oo4=814>6df343847=ma:?:7<<4jh165>o53cc89<5e2:hj707;>;m08nl5292g97gg<509m6>ln;<;74?5ei2728<4=14=;kk014:<:2`b?8?3<39im6364480fd=:1=<1?oo4=864>6df343?47=ma:?:0<<4jh1659o53cc89<2e2:hj707;c;1ae>;>m6>ln;<;64?5ei2729<4=04=;kk014;<:2`b?8?2<39im6365480fd=:1<<1?oo4=874>6df343>47=ma:?:1<<4jh165:=523;89;f8109>l52a1`97gg<5h:h6>ln;4e42=;kk01l?::2a6?8g6l39h963n1d80fd=:i8l1?oo4=`03>6df34k9=7=ma:?b67<4jh16m?=53cc89d432:hj70o=5;1ae>;f:?08nl52a2797gg<5h9=6>ln;ki:01;?85a83;8463;4n=0m863;4nm0:?5523gg956><5:lm6<=7;<634?74027?<54i4:?74<5f59>0=7=n=1684=5f59>0<2=n=1684;5f59>0<0=n=168495f59>0<>=n=168475f59>0000d6=n=168l?5f59>0d4=n=168l=5f59>0d2=n=168l;5f59>0d0=n=168l95f59>0d>=n=168l75f59>0dg=n=168om5f59>0gb=n=168ok5f59>0f1=n=168n65f59>0a6=n=168i?5f59>0a4=n=168i65f59>0`4=n=168k>5f59>0c7=n=168k<5f59>0c5=9:2019h;:01;?82a=3;8463;f7827==:64=5d;>45?34>m57?<8:?7bd<6;1168kl512:891`d289370:id;30<>;3nl0:?5524gd9b1=:=9:1j9525139b1=:=981j9525119b1=:=9>1j9525179b1=:=9<1j9525159b1=:=921j95251;9b1=:=9k1j95251`9b1=:=9i1j95251f9b1=:=9o1j95251d9b1=:=8:1j9525039b1=:=881j9525019b1=:=8>1j9525079b1=:=8<1j9525059b1=:=821j95250;956><5<9h6<=7;<76b?74027>4?4>399>1d3=9:2018m7:01;?83bj3;846390d827==:>:;1=>64=777>45?34<<;7?<8:?5=d<6;116:oj512:893`12893709=f;d7?81483l?709<1;d7?814:3l?709<3;d7?814<3l?709<5;d7?814>3l?709<7;d7?81403l?709<9;d7?814i3l?7093l?709;7;d7?81303l?709;9;d7?813i3l?709;b;d7?813k3l?709;d;d7?813m3l?709;f;d7?81283l?709:1;d7?812:3l?709:3;d7?812<3l?709:5;d7?812>3l?709:7;d7?81203l?709:9;d7?812i3l?709:b;d7?812k3l?709:d;d7?812m3l?709:f;d7?81183l?70991;d7?811:3l?70993;d7?811<3l?70995;d7?811>3l?70997;d7?81103l?70999;d7?811i3l?7099b;d7?811k3l?7099d;d7?811m3l?7099f;30<>;01?0:?5527`;956><5>hh6<=7;<5`b?74027399>3c3=9:2015>7:01;?8>6j3;846372d827==:0=;1=>64=977>45?342=;7?<8:?;3d4i4:?;<14i4:?;=14i4:?;e14i4:?;f14i4:?;g1=56=n=165=?5f59>=54=n=165==5f59>=52=n=165=;5f59>=50=n=165=95f59>=5>=n=165=75f59>=5g=n=165=l5f59>=5e=n=165=j5f59>=5c=n=165=h5f59>=46=n=165=44=n=165<=5f59>=42=n=165<;5f59>=40=n=165<95f59>=4>=n=165<75f59>=4g=n=165=4e=n=165=4c=n=165=76=n=165??5f59>=74=n=165?=5f59>=72=n=165?;5f59>=70=n=165?95f59>=7>=n=165?75f59>=7g=n=165?l5f59>=7e=n=165?j5f59>=7c=n=165?h5f59>=66=n=165>?5f59>=64=n=165>=5f59>=62=n=165>;5f59>=60=n=165>95f59>=6>=n=165>75f59>=6g=n=165>l5f59>=6e=n=165>j5f59>=6c=n=165>h5f59>=16=n=1659?5f59>=14=n=1659=5f59>=12=n=1659;5f59>=10=n=165995f59>=1>=n=165975f59>=1g=n=1659l5f59>=1e=n=1659j5f59>=1c=n=1659h5f59>=06=n=1658?5f59>=04=n=1658=5f59>=02=n=1658;5f59>=00=n=165895f59>=0>=n=165875f59>e50=n=16m=95f59>e5?=n=16m=o5f59>e5d=n=16m=m5f59>e5b=n=16m=k5f59>e5`=n=16m<>5f59>e47=n=16m<<5f59>e45=n=16m<:5f59>e43=n=16m<85f59>e41=n=16m<65f59>e4?=n=16me4d=n=16me4b=n=16me4`=n=16m?>5f59>e77=n=16m?<5f59>e75=n=16m?:5f59>e73=n=16m?85f59>e63=n=16m>85f59>e61=n=16m>65f59>e1c=9:201l:i:g689d37289370o:1;d7?8g2:3;8463n528e0>;f==0:?552a479b1=:i<<1=>64=`74>c2<5h?36<=7;m7?<8:?b1g399>e0b=n=16m8k512:89d3a2o>01l8?:01;?8g193l?70o92;30<>;f>:0m863n65827==:i??1j952a74956><5h<<6k:4=`4;>45?34k=57h;;e3c=9:201l8i:g689d17289370o81;d7?8g0:3;8463n728e0>;f?=0:?552a679b1=:i><1=>64=`54>c2<5h=36<=7;399>e2b=n=16m:k512:89d1a2o>01l6?:01;?8g?93l?70o72;30<>;f0:0m863n85827==:i1?1j952a94956><5h2<6k:4=`:;>45?34k357h;;e=c=9:201l6i:g689d?7289370o61;d7?8g>:3;8463n928e0>;f1=0:?552a879b1=:i0<1=>64=`;4>c2<5h336<=7;399>e01lo?:01;?8gf93l?70on2;30<>;fi:0m863na5827==:ih?1j952a`4956><5hk<6k:4=`c;>45?34kj57h;;edc=9:201loi:g689dd7289370om1;d7?8ge:3;8463nb28e0>;fj=0:?552ac79b1=:ik<1=>64=``4>c2<5hh36<=7;399>egb=n=16mok512:89dda2o>01lm?:01;?8gd93l?70ol2;30<>;fk:0m863nc5827==:ij?1j952ab4956><5hi<6k:4=`a;>45?34kh57h;;efc=9:201lmi:g689db7289370ok1;d7?8gc:3;8463nd28e0>;fl=0:?552ae79b1=:im<1=>64=`f4>c2<5hn36<=7;399>eab=n=16mik512:89dba2o>01lk?:01;?8gb93l?70oj2;30<>;fm:0m863ne5827==:il?1j952ad4956><5ho<6k:4=`g;>45?34kn57h;;e`c=9:201lki:g689d`7289370oi1;d7?8ga:3;8463nf28e0>;fn=0:?552ag79b1=:io<1=>64=`d4>c2<5hl36<=7;399>ecb=n=16mkk512:89d`a2o>01o>?:01;?8d793l?70l?2;30<>;e8:0m863m05827==:j9?1j952b14956><5k:<6k:4=c2;>45?34h;57h;;<`3e?74027if5c=9:201o>i:g689g77289370l>1;d7?8d6:3;8463m128e0>;e9=0:?552b079b1=:j8<1=>64=c34>c2<5k;36<=7;<`2=?`334h:m7?<8:?a5g399>f4b=n=16n01o;e::0m863m25827==:j;?1j952b34956><5k8<6k:4=c0;>45?34h957h;;<`1e?74027i>o4i4:?a6f<6;116n?j5f59>f7c=9:201o;e;=0:?552b279b1=:j:<1=>64=c14>c2<5k936<=7;<`0=?`334h8m7?<8:?a7g399>f6b=n=16n>k512:89g5a2o>01o:?:01;?8d393l?70l;2;30<>;e<:0m863m45827==:j=?1j952b54956><5k><6k:4=c6;>45?34h?57h;;<`7e?74027i8o4i4:?a0f<6;116n9j5f59>f1c=9:201o:i:g689g37289370l:1;d7?8d2:3;8463m528e0>;e==0:?552b479b1=:j<<1=>64=c74>c2<5k?36<=7;<`6=?`334h>m7?<8:?a1g399>f0b=n=16n8k512:89g3a2o>01o8?:01;?8d193l?70l92;30<>;e>:0m863m65827==:j??1j952b74956><5k<<6k:4=c4;>45?34h=57h;;<`5e?74027i:o4i4:?a2f<6;116n;j5f59>f3c=9:201o8i:g689g17289370l81;d7?8d0:3;8463m728e0>;e?=0:?552b679b1=:j><1=>64=c54>c2<5k=36<=7;<`4=?`334h399>f2b=n=16n:k512:89g1a2o>01o6?:01;?8d?93l?70l72;30<>;e0:0m863m85827==:j1?1j952b94956><5k2<6k:4=c:;>45?34h357h;;<`;e?74027i4o4i4:?af=c=9:201o6i:g689g?7289370l61;d7?8d>:3;8463m928e0>;e1=0:?552b879b1=:j0<1=>64=c;4>c2<5k336<=7;<`:=?`334h2m7?<8:?a=g399>f01oo?:01;?8df93l?70ln2;30<>;ei:0m863ma5827==:jh?1j952b`4956><5kk<6k:4=cc;>45?34hj57h;;<`be?74027imo4i4:?aef<6;116nlj5f59>fdc=9:201ooi:g689gd7289370lm1;d7?8de:3;8463mb28e0>;ej=0:?552bc79b1=:jk<1=>64=c`4>c2<5kh36<=7;<`a=?`334him7?<8:?afg399>fgb=n=16nok512:89gda2o>01om?:01;?8dd93l?70ll2;30<>;ek:0m863mc5827==:jj?1j952bb4956><5ki<6k:4=ca;>45?34hh57h;;<``e?74027ioo4i4:?agf<6;116nnj5f59>ffc=9:201omi:g689gb7289370lk1;d7?8dc:3;8463md28e0>;el=0:?552be79b1=:jm<1=>64=cf4>c2<5kn36<=7;<`g=?`334hom7?<8:?a`g399>fab=n=16nik512:89gba2o>01ok?:01;?8db93l?70lj2;30<>;em:0m863me5827==:jl?1j952bd4956><5ko<6k:4=cg;>45?34hn57h;;|q22g7=838hovPme`9>7``=9::01>ki:012?85bn3;8863=4=2ge>44b349nj7?<5:?0ac<6:m16?k>5122896`7289:70=i0;300>;4n90:>k523g29565<5:l;6<2e9>7cb=9::01>hk:012?85al3;8863=4=2dg>44b349mh7?<5:?0ba<6:m16?kk5122896`b289:70=ie;300>;4nl0:>k523gg9565<5:ln6<2e9>7c`=9::01>hi:012?85an3;8863=4=2de>44b349mj7?<5:?0bc<6:m168=>512289167289:70:?0;300>;3890:>k524129565<5=:;6<2e9>05>=9;i019>6:00`?827i3;9o63;0c826f=:<9i1=?m4=52g>44d34>;i7?=c:?74c<6:j168<>513a89176288h70:>2;31g>;39:0:>n52406957e<5=;>6<2b9>04>=9;i019?6:00`?826i3;9o63;1c826f=:<8i1=?m4=53g>44d34>3<7?=c:?7<4<6:j1684=513a891?3288h70:65;31g>;31?0:>n52485957e<5=336<2b9>0l3;9o63;9d826f=:<0l1=?m4=5c3>44d34>j=7?=c:?7e7<6:j168l=513a891g3288h70:n5;31g>;3i?0:>n524`5957e<5=k36<2b9>0ge=9;i019lk:00`?82em3;9o63;c6826f=:44d34>o=7?=c:?7`7<6:j168i6513a891c5288h70:i0;31g>;3n80:>n524g0957e<5=l86<=?;<6e7?74927?j>4>359>0c5=9;l019h<:010?82a;3;9i63;f28270=:45734>m87?<1:?7b1<6;=168k:513d891`3289870:i4;31a>;3n=0:?8524g6957b<5=l>6<=?;<6e1?74927?j84>359>0c3=9;l019h::010?82a=3;9i63;f48270=:45734>m:7?<1:?7b3<6;=168k8513d891`1289870:i6;31a>;3n?0:?8524g4957b<5=l<6<=?;<6e3?74927?j:4>359>0c1=9;l019h8:010?82a?3;9i63;f68270=:45734>m47?<1:?7b=<6;=168k6513d891`?289870:i8;31a>;3n10:?8524g:957b<5=l26<=?;<6e=?74927?j44>359>0c?=9;l019h6:010?82a13;9i63;f88270=:45734>mm7?<1:?7bd<6;=168ko513d891`f289870:ia;31a>;3nh0:?8524gc957b<5=li6<=?;<6ef?74927?jo4>359>0cd=9;l019hm:010?82aj3;9i63;fc8270=:45734>mo7?<1:?7bf<6;=168km513d891`d289870:ic;31a>;3nj0:?8524ga957b<5=lo6<=?;<6e`?74927?ji4>359>0cb=9;l019hk:010?82al3;9i63;fe8270=:45734>mi7?<1:?7b`<6;=168kk513d891`b289870:ie;31a>;3nl0:?8524gg957b<5=lm6<<<4>2b9>154=9;i018><:00`?837<3;9o63:04826f=:=9<1=?m4=424>44d34?;47?=c:?64<<6:j169=o513a8906e288h70;?c;31g>;28m0:>n5251g957e<5<:m6<=<4>2b9>144=9;i018?<:00`?836<3;9o63:14826f=:=8<1=?m4=434>44d34?:47?=c:?65<<6:j169>m513a8903a288h70;72;31g>;2i<0:>n525b:957e<52b9>202=9;i01;98:00`?80>i3;9o639be826f=:>o<1=?m4=64e>44d34=2:7?=c:?4e<<6:j16;om513a892ea288h709j2;31g>;0n<0:>n5281:957e<51;i6<2b9><02=9;i01588:00`?8>di3;9o637fc826f=:0oi1=?m4=9dg>44d342mi7?=c:?;bc<6:j165=>513a89<66288h707?2;31g>;>8:0:>n52916957e<50:>6<2b9>=5>=9;i014>6:00`?8?7i3;9o6360c826f=:19i1=?m4=82g>44d343;i7?=c:?:4c<6:j165<>513a89<76288h707>2;31g>;>9:0:>n52906957e<50;>6<2b9>=4>=9;i014?6:00`?8?6i3;9o6361c826f=:18i1=?m4=83g>44d343:i7?=c:?:5c<6:j165?>513a89<46288h707=2;31g>;>::0:>n52936957e<508>6<:4>2b9>=7>=9;i014<6:00`?8?5i3;9o6362c826f=:1;i1=?m4=80g>44d3439i7?=c:?:6c<6:j165>>513a89<56288h707<2;31g>;>;:0:>n52926957e<509>6<2b9>=6>=9;i014=6:00`?8?4i3;9o6363c826f=:1:i1=?m4=81g>44d3438i7?=c:?:7c<6:j1659>513a89<26288h707;2;31g>;><:0:>n52956957e<50>>6<2b9>=1>=9;i014:6:00`?8?3i3;9o6364c826f=:1=i1=?m4=86g>44d343?i7?=c:?:0c<6:j1658>513a89<36288h707:2;31g>;>=:0:>n52946957e<50?>6<2b9>=0>=9;i014;6:00`?8?1=38956366b816<=:1?n1>?74=850>74f3432h7<=9:?:=`<5:01654h523;89;f800:>n52a1c957e<5h:i6<2b9>e5c=9;i01l>i:00`?8g683;9o63n1080fd=:i881=?m4=`30>44d34k:87?=c:?b50<6:j16m<853cc89d70288h70o>8;31g>;f900:>n52a0c957e<5h;i6<2b9>e4c=9;i01l?i:00`?8g583;9o63n20826f=:i;81=?m4=`00>44d34k987?=c:?b60<6:j16m?8513a89d5>2;8i70o;f;k09>452a2a967g<5h9o6?2b9>e1`=9;i01l;?:00`?8g293;9o63n53826f=:i<91=?m4=`77>44d34k>97?=c:?b13<6:j16m89513a89d3?288h70o:9;31g>;f=h0:>n52a4`957e<5h?h6<2b9>e0`=9;i01l8?:00`?8g193;9o63n63826f=:i?91=?m4=`47>44d34k=97?=c:?b23<6:j16m;9513a89d0?288h70o99;31g>;f>h0:>n52a7`957e<5h2b9>e3`=9;i01l9?:00`?8g093;9o63n73826f=:i>91=?m4=`57>44d34k<97?=c:?b33<6:j16m:9513a89d1?288h70o89;31g>;f?h0:>n52a6`957e<5h=h6<2b9>e2`=9;i01l6?:00`?8g?93;9o63n83826f=:i191=?m4=`:7>44d34k397?=c:?b<3<6:j16m59513a89d>?288h70o79;31g>;f0h0:>n52a9`957e<5h2h6<2b9>e=`=9;i01l7?:00`?8g>93;9o63n93826f=:i091=?m4=`;7>44d34k297?=c:?b=3<6:j16m49513a89d??288h70o69;31g>;f1h0:>n52a8`957e<5h3h6<2b9>e<`=9;i01lo?:00`?8gf93;9o63na3826f=:ih91=?m4=`c7>44d34kj97?=c:?be3<6:j16ml9513a89dg?288h70on9;31g>;fih0:>n52a``957e<5hkh6<2b9>ed`=9;i01ll?:00`?8ge93;9o63nb3826f=:ik91=?m4=``7>44d34ki97?=c:?bf3<6:j16mo9513a89dd?288h70om9;31g>;fjh0:>n52ac`957e<5hhh6<2b9>eg`=9;i01lm?:00`?8gd93;9o63nc3826f=:ij91=?m4=`a7>44d34kh97?=c:?bg3<6:j16mn9513a89de?288h70ol9;31g>;fkh0:>n52ab`957e<5hih6<2b9>ef`=9;i01lj?:00`?8gc93;9o63nd3826f=:im91=?m4=`f7>44d34ko97?=c:?b`3<6:j16mi9513a89db?288h70ok9;31g>;flh0:>n52ae`957e<5hnh6<2b9>ea`=9;i01lk?:00`?8gb93;9o63m43826f=:j=91=?m4=c67>44d34h?97?=c:?a03<6:j16n99513a89g2?288h70l;9;31g>;en52b5`957e<5k>h6<2b9>f1`=9;i01o;?:00`?8d293;9o63m53826f=:j<91=?m4=c77>44d34h>97?=c:?a13<6:j16n89513a89g3?288h70l:9;31g>;e=h0:>n52b4`957e<5k?h6<2b9>f0`=9;i01o8?:00`?8d193;9o63m63826f=:j?91=?m4=c47>44d34h=97?=c:?a23<6:j16n;9513a89g0?288h70l99;31g>;e>h0:>n52b7`957e<5k2b9>f3`=9;i01o9?:00`?8d093;9o63m73826f=:j>91=?m4=c57>44d34h<97?=c:?a33<6:j16n:9513a89g1?288h70l89;31g>;e?h0:>n52b6`957e<5k=h6<2b9>f2`=9;i01o6?:00`?8d?93;9o63m83826f=:j191=?m4=c:7>44d34h397?=c:?a<3<6:j16n59513a89g>?288h70l79;31g>;e0h0:>n52b9`957e<5k2h6<2b9>f=`=9;i01o7?:00`?8d>93;9o63m93826f=:j091=?m4=c;7>44d34h297?=c:?a=3<6:j16n49513a89g??288h70l69;31g>;e1h0:>n52b8`957e<5k3h6<2b9>f<`=9;i01oo?:00`?8df93;9o63ma3826f=:jh91=?m4=cc7>44d34hj97?=c:?ae3<6:j16nl9513a89gg?288h70ln9;31g>;eih0:>n52b``957e<5kkh6<2b9>fd`=9;i01ol?:00`?8de93;9o63mb3826f=:jk91=?m4=c`7>44d34hi97?=c:?af3<6:j16no9513a89gd?288h70lm9;31g>;ejh0:>n52bc`957e<5khh6<2b9>fg`=9;i01om?:00`?8dd93;9o63mc3826f=:jj91=?m4=ca7>44d34hh97?=c:?ag3<6:j16nn9513a89ge?288h70ll9;31g>;ekh0:>n52bb`957e<5kih6<2b9>ff`=9;i01oj?:00`?8dc93;9o63md3826f=:jm91=?m4=cf7>44d34ho97?=c:?a`3<6:j16ni9513a89gb?288h70lk9;31g>;elh0:>n52be`957e<5knh6<2b9>fa`=9;i01ok?:00`?8db93;9o63me3826f=:jl91=?m4=cg7>44d34hn97?=c:?aa3<6:j16nh9513a89gc?288h70lj9;31g>{t9?h96=4n{_3522=:<8n1?i;4=84a>74f343<>7<=a:?:33<5:01654<523;89;>1<09>l52a2;967e4?:01xZf7034>247=ma:?7=<<4jh1684o53cc891?e2:hj70:na;30`>;>?>09>n5296:967b<50=j6?e5?=;kk01l>n:2`b?8g7l39im63n0d80fd=:i:l1>?j4=`63>74>34k?=7<=c:?b07<5:k1v<8m4;29b~Xd911685753b7891>c289<70:n9;30`>;>?>09>o5296:967g<50=26?==7=:;k0146<:30`?8??<389m63684816d=:i:l1>?l4=`63>74e3ty::o;50;44b~Xemk1U=a69]54g23W;:m95Q10c0?[76i;1U=;_32e5=Y983m7S?>9d9]54?c3W;:5n5Q10`2?[76j91U=ab9]54ge3W;:m;5Q10;a?[761h1U=>6<;_30<7=Y9:2:7S?<819]561a3W;8;h5Q125g?[74?k1U=>9n;_303<=Y9:=37S?<769]56113W;8;85Q1257?[74?:1U=>9=;_3035=Y9:h1U=>86;_302==Y9:<<7S?<649]56033W;8:>5Q1241?[74>81U=>8?;_301c=Y9:?n7S?<5e9]563d3W;8455Q12:4?[740?1U=>6:;_30<1=Y9:=h7S?<709]56013W;89o5Q127b?[75?;1U=?9>;_3135=Y9;01U=?87;_3122=Y9;<=7S?=649]57033W;9;45Q135;?[75?>1U=?99;_3130=Y9;=?7S?=729]570b3W;9:>5Q1341?[728:1U=8>=;_3644=Y9<:;7S?;fg9]51`b3W;?ji5Q15da?[73nh1U=9h6;_37b==Y9=l<7S?;f79]51`23W;?j95Q15d0?[73n;1U=9h?;_37ac=Y9=on7S?;ee9]51cd3W;?io5Q15gb?[73m01U=9k7;_37a2=Y9=o>7S?;e59]51c43W;?i?5Q15g2?[73m91U=9ji;_37``=Y9=no7S?;db9]506?3W;><:5Q1425?[728<1U=8>;;_37bf=Y9=l:7S?;e79]51be3W;?hl523df9670<5:om6>lj;<1fb?5en278ik47``=;j801>ki:2a2?85bn39ih636e4349nj7=8c:?0ac<4?h16?hh536;896ca2:=370=jf;143>;4mo08;;523dd9723<5:om6>9;;<1fb?50:278ik4<709>7``=;>:01>ki:24e?85bn39=i6360e349nj7=9a:?0ac<4>016?hh5375896ca2:<=70=jf;151>;4mo08:9523dd9735<5:om6>8=;<1fb?519278ik4<619>7``=;ki:27f?85bn39>o6363>349nj7=:8:?0ac<4=>16?hh5344896ca2:?>70=jf;160>;4mo089>523dd97=4<5:om6>6>;<1fb?5?8278ik4<7g9>7``=;>o01>ki:25g?85bn39635349nj7=>b:?0ac<49016?hh530:896ca2:;<70=i0;1aa>;4n908nk523g297f6<5:l;6>m=;<1e4?5d9278j=47c6=9;<01>h?:2``?85a839h?6361>349m<7=88:?0b5<4?>16?k>5364896`72:=>70=i0;140>;4n908;?523g29727<5:l;6>9?;<1e4?51n278j=4<6d9>7c6=;?n01>h?:24`?85a839=n63600349m<7=96:?0b5<4><16?k>5376896`72:<870=i0;156>;4n908:<523g29736<5:l;6>;i;<1e4?52m278j=4<5b9>7c6=;h?:27b?85a839>563631349m<7=:5:?0b5<4==16?k>5341896`72:2970=i0;1;5>;4n9084=523g2972`<5:l;6>9j;<1e4?50l278j=4<729>7c6=;?201>h?:27g?85a839>>6367?349m<7=>7:?0b4<6;>16?k<5125896`f2;;;70=ib;004>;4nj09==523gf97gc<5:lo6>li;<1e`?5d8278ji47cb=;j;01>hk:2`g?85al3;9:6347a349mh7?>d:?0ba<69j16?kj510`896`c28;j70=id;32=>;4nm0:=5523gf9541<5:lo6129>7cb=98801>hk:032?85al3;:<6346c349mh7??c:?0ba<68h16?kj511;896`c28:370=id;333>;4nm0:<;523gf9553<5:lo6<>;;<1e`?77;278ji4>039>7cb=99;01>hk:gd896`c2oo01>hk:gf896`c2oi01>hk:g`896`c2ok01>hk:g;896`c2o201>hk:g5896`c2o<01>hk:006?85al3;9863446349mh7?=0:?0ba<69?16?kj511`896`c28:;70=id;d6?85al39:n63670349mh7=>6:?0ba<6<116?kj5154896`c28>>70=id;370>;4nm0:8>523gf9514<5:lo6<:>;<1e`?738278ji4>509>7cb=9<:01>hk:06e?85al3;?i6342e349mh7?;a:?0ba<6<016?kj512d896`b2:hn70=ie;1ab>;4nl08o=523gg97f4<5:ln6>m>;<1ea?5el278jh4>279>7cc=;ki01>hj:2a0?85am3i970=ie;a3?85am3;:j6347e349mi7?>a:?0b`<69016?kk510:896`b28;<70=ie;321>;4nl0:=9523gg9545<5:ln6119>7cc=99l01>hj:02f?85am3;;h6346>349mi7??8:?0b`<68>16?kk5114896`b28:>70=ie;330>;4nl0:<>523gg9554<5:ln6<>>;<1ea?`a349mi7hj;<1ea?`c349mi7hl;<1ea?`e349mi7hn;<1ea?`>349mi7h7;<1ea?`0349mi7h9;<1ea?75=278jh4>259>7cc=9;901>hj:001?85am3;9=6346e349mi7??0:?0b`7cc=;8301>hj:23;?85am39:;63421349mi7?;5:?0b`<6<=16?kk5151896`b28>970=ie;375>;4nl0:8=523gg9507<5:ln6<;?;<1ea?73n278jh4>4d9>7cc=9=n01>hj:06`?85am3;?n6345a349mj7=me:?0bc<4jo16?kh53b2896`a2:i970=if;1`5>;4no08ni523gd9570<5:lm6>ll;<1eb?5d;278jk4>1g9>7c`=98n01>hi:03`?85an3;:n6347?349mj7?>7:?0bc<69<16?kh5106896`a28;870=if;326>;4no0:=<523gd9546<5:lm6<>i;<1eb?77m278jk4>0e9>7c`=99i01>hi:02b?85an3;;563461349mj7??5:?0bc<68=16?kh5111896`a28:970=if;335>;4no0mj63;4no0mh63;4no0mn63;4no0m563;4no0m;63;4no0:>8523gd9572<5:lm6<<<;<1eb?75:278jk4>209>7c`=9;:01>hi:035?85an3;;n63?6;<1eb?560278jk4<169>7c`=;8<01>hi:06;?85an3;?:63424349mj7?;2:?0bc<6<816?kh5152896`a28?:70=if;364>;4no0:8k523gd951c<5:lm6<:k;<1eb?73k278jk4>4c9>7c`=9=k01>hi:06:?85an3;8j63;0180f`=:<9:1?oh4=523>6e734>;<7=l2:?745<4k8168=>53cf89167288=70:?0;1ag>;38908o>524129g7=:<9:1o=52412954`<5=:;61c9>056=98k019>?:03:?82783;:463;018252=:<9:1=<;4=523>47334>;<7?>3:?745<69;168=>51038916728;;70:?0;33b>;3890:l;<634?77i27?<=4>089>056=992019>?:024?82783;;:63;018240=:<9:1==:4=523>46434>;<7??2:?745<688168=>5fg9>056=nl168=>5fe9>056=nj168=>5fc9>056=nh168=>5f89>056=n1168=>5f69>056=n?168=>513789167288?70:?0;317>;3890:>?524129577<5=:;6<27?<=4>0c9>056=99:019>?:g7891672:;i70:?0;12=>;38908=5524129741<5=:;6>?9;<634?73027?<=4>479>056=9=?019>?:067?82783;??63;018207=:<9:1=9?4=523>42734>;<7?:1:?745<6=9168=>515d8916728>n70:?0;37`>;3890:8n52412951d<5=:;6<:n;<634?73127?<=4>3g9>057=:::019>=:333?827;38:<63;058155=:<9?1><>4=525>75734>3<7=l5:?7<4<4jh168585202891>02;9;70:78;024>;30h09==5249`9666<5=2h6???;<6;`?46827?4h4=119>0=`=:::0197?:333?82>938:<63;938175=:<091?oo4=5;7>6df34>297=ma:?7=3<4jh1684953cc891gc289<70:ne;303>;3j80:?:524c09561<5=h>6<=8;<6ag?5ei27?ni40f1=;kk019m7:2a6?82c839im63;d080g0=:1><>4=5f6>75734>o:7<>0:?7`2<599168il5125891bb289<70:j1;303>;3m<0:?:524d:9561<5=oi6<=8;<6e6?5ei27?j>40c5=;kl019h<:2a3?82a;39h>63;f280g4=:44134>m?7=mc:?7b6<4k:168k=536a891`42:=j70:i3;14=>;3n:08;5524g19721<5=l86>99;<6e7?50=27?j>4<759>0c5=;>8019h<:252?82a;39<<63;f2802c=:60c34>m?7=9c:?7b6<4>k168k=537c891`42:<270:i3;153>;3n:08:;524g19733<5=l86>8;;<6e7?51;27?j>4<639>0c5=;?;019h<:243?82a;39>j63;f2801`=:63e34>m?7=:a:?7b6<4=0168k=534:891`42:?<70:i3;162>;3n:0898524g19702<5=l86>;<;<6e7?5?:27?j>4<809>0c5=;1:019h<:25e?82a;3960?34>m?7=:d:?7b6<4=;168k=530`891`42:;270:i3;12<>;3n:08=;524g19743<5=l86>?;;<6e0?5em27?j940c2=;j:019h;:2a1?82a<39h=63;f580fa=:1=?84=5d7>6dd34>m87=l3:?7b1<4?j168k:536c891`32:=270:i4;14<>;3n=08;:524g69720<5=l?6>9:;<6e0?50<27?j94<739>0c2=;>;019h;:253?82a<39=j63;f5802`=:1?;j4=5d7>60d34>m87=9b:?7b1<4>h168k:537;891`32:<<70:i4;152>;3n=08:8524g69732<5=l?6>8<;<6e0?51:27?j94<609>0c2=;?:019h;:27e?82a<39>i63;f5801f=:1?8l4=5d7>63f34>m87=:9:?7b1<4=1168k:5345891`32:?=70:i4;161>;3n=0899524g69705<5=l?6>6=;<6e0?5?927?j94<819>0c2=;>l019h;:25f?82a<391?;64=5d7>63c34>m87=:2:?7b1<49k168k:530;891`32:;370:i4;122>;3n=08=8524g69742<5=l>6>lj;<6e1?5en27?j840c3=;j8019h::2a2?82a=39ih63;f48263=:6e434>m97m=;<6e1?e734>m97=8c:?7b0<4?h168k;536;891`22:=370:i5;143>;3n<08;;524g79723<5=l>6>9;;<6e1?50:27?j84<709>0c3=;>:019h::24e?82a=39=i63;f4802a=:60e34>m97=9a:?7b0<4>0168k;5375891`22:<=70:i5;151>;3n<08:9524g79735<5=l>6>8=;<6e1?51927?j84<619>0c3=;o63;f4801g=:63>34>m97=:8:?7b0<4=>168k;5344891`22:?>70:i5;160>;3n<089>524g797=4<5=l>6>6>;<6e1?5?827?j84<7g9>0c3=;>o019h::25g?82a=3963534>m97=>b:?7b0<490168k;530:891`22:;=70:i5;121>;3n<08=9524g7951><5=l>6<:9;<6e2?5em27?j;40c0=;j:019h9:2a1?82a>39h=63;f780fa=:6dd34>m:7=l3:?7b3;3n?08;:524g49720<5=l=6>9:;<6e2?50<27?j;4<739>0c0=;>;019h9:253?82a>39=j63;f7802`=:60d34>m:7=9b:?7b3<4>h168k8537;891`12:<<70:i6;152>;3n?08:8524g49732<5=l=6>8<;<6e2?51:27?j;4<609>0c0=;?:019h9:27e?82a>39>i63;f7801f=:63f34>m:7=:9:?7b3<4=1168k85345891`12:?=70:i6;161>;3n?0899524g49705<5=l=6>6=;<6e2?5?927?j;4<819>0c0=;>l019h9:25f?82a>3963c34>m:7=:2:?7b3<49k168k8530;891`12:;370:i6;122>;3n?08=8524g49742<5=l=6<:7;<6e2?73>27?j:40c1=;kl019h8:2a3?82a?39h>63;f680g4=:44134>m;7=mc:?7b2<4k:168k9530`891`02:;270:i7;12<>;3n>08=;524g59742<5=l36>lj;<6e0c>=;j8019h7:2a2?82a039ih63;f98263=:6e434>m47=>b:?7b=<490168k6530:891`?2:;=70:i8;120>;3n008nh524g;97g`<5=l26>m?;<6e=?5d:27?j440c?=;kn019h6:005?82a139io63;f880g6=:67>34>m57=>8:?7b<<49?168k75306891`f2:hn70:ia;1ab>;3nh08o=524gc97f4<5=lj6>m>;<6ee?5el27?jl4>279>0cg=;ki019hn:2a0?82ai39:n63;f`805<=:67134>mm7=>4:?7bg<4jl168kl53cd891`e2:i;70:ib;1`6>;3nk08o<524g`97gb<5=li6<<9;<6ef?5ek27?jo40cd=k;168kl5c19>0cd=;8h019hm:23:?82aj39:463;fc8053=:42?34>mn7?;6:?7bf<4jl168km53cd891`d2:i;70:ic;1`6>;3nj08o<524ga97gb<5=lh6<<9;<6eg?5ek27?jn40ce=k;168km5c19>0ce=;8h019hl:23:?82ak39:463;fb8053=:42?34>mo7?;6:?7ba<4jl168kj53cd891`c2:i;70:id;1`6>;3nm08o<524gf97gb<5=lo6<<9;<6e`?5ek27?ji40cb=k;168kj5c19>0cb=;8h019hk:23:?82al39:463;fe8053=:42?34>mh7?;6:?7b`<4jl168kk53cd891`b2:i;70:ie;1`6>;3nl08o<524gg97gb<5=ln6<<9;<6ea?5ek27?jh40cc=k;168kk5c19>0cc=;8h019hj:23:?82am39:463;fd8053=:42?34>mi7?;6:?7bc<4jh169=>53cc890662:hj70;?2;1ae>;28:08nl5251697gg<5<:>6>ln;<732?5ei273j<4>369>32m70o:6;;2?8g2>33870o:6;;7?8g2032m70o:8;;2?8g2033870o:8;;7?8g2i32m70o:a;;2?8g2i33870o:a;;7?8g2k32m70o:c;;2?8g2k33870o:c;;7?8g2m32m70o:e;;2?8g2m33870o:e;;7?8g1832m70o90;;2?8g1833870o90;;7?8g1:32m70o92;;2?8g1:33870o92;;7?8g1<32m70o94;;2?8g1<33870o94;;7?8g1>32m70o96;;2?8g1>33870o96;;7?8g1032m70o98;;2?8g1033870o98;;7?8g1i32m70o9a;;2?8g1i33870o9a;;7?8g1k32m70o9c;;2?8g1k33870o9c;;7?8g1m32m70o9e;;2?8g1m33870o9e;;7?8g0832m70o80;;2?8g0833870o80;;7?8g0:32m70o82;;2?8g0:33870o82;;7?8g0<32m70o84;;0?8g0<33?70o86;:e?8g0>33:70o86;;0?8g0>33?70o88;:e?8g0033:70o88;;0?8g0033?70o8a;;2?8g0i33870o8a;;7?8g0k32m70o8c;;0?8g0k33?70o8e;;0?8g0m33?70o70;;2?8g?833870o70;;7?8g?:33:70o72;;0?8g?:33?70o74;;2?8g?<33870o74;;7?8g?>33:70o76;;0?8g?>33?70o78;;2?8g?033870o78;;7?8g?i33:70o7a;;0?8g?i33?70o7c;;2?8g?k33870o7c;;7?8g?m33:70o7e;;0?8g?m33?70o60;;2?8g>833870o60;;7?8g>:33:70o62;;0?8g>:33?70o64;;2?8g><33870o64;;7?8g>>33:70o66;;0?8g>>33?70o68;;2?8g>033870o68;;7?8g>i33:70o6a;;0?8g>i33?70o6c;;2?8g>k33870o6c;;7?8g>m33:70o6e;;0?8g>m33?70on0;;2?8gf833870on0;;7?8gf:33:70on2;;0?8gf:33?70on4;;2?8gf<33870on4;;7?8gf>33:70on6;;0?8gf>33?70on8;;2?8gf033870on8;;7?8gfi33:70ona;;0?8gfi33?70onc;;2?8gfk33870onc;;7?8gfm33:70one;;0?8gfm33?70om0;;2?8ge833870om0;;7?8ge:33:70om2;;0?8ge:33?70om4;;2?8ge<33870om4;;7?8ge>33:70om6;;0?8ge>33?70om8;;2?8ge033870om8;;7?8gei33:70oma;;0?8gei33?70omc;;2?8gek33870omc;;7?8gem33:70ome;;0?8gem33?70ol0;;2?8gd833870ol0;;7?8gd:33:70ol2;;0?8gd:33?70ol4;;2?8gd<33870ol4;;7?8gd>33:70ol6;;0?8gd>33?70ol8;;2?8gd033870ol8;;7?8gdi33:70ola;;0?8gdi33?70olc;;2?8gdk33870olc;;7?8gdm33:70ole;;0?8gdm33?70ok0;;2?8gc833870ok0;;7?8gc:33:70ok2;;0?8gc:33?70ok4;;2?8gc<33870ok4;;7?8gc>33:70ok6;;0?8gc>33?70ok8;;2?8gc033870ok8;;7?8gci33:70oka;;0?8gci33?70okc;:e?8gck33:70okc;;0?8gck33?70oke;;2?8gcm33870oke;;7?8gb832m70oj0;;2?8gb833870oj0;;7?8gb:32m70oj2;;0?8gb<32m70oj4;;0?8gb>32m70oj6;;0?8gb032m70oj8;;0?8gbi32m70oja;;0?8gbk32m70ojc;;0?8gbm32m70oje;;0?8ga832m70oi0;;0?8ga:32m70oi2;;0?8ga<32m70oi4;;0?8ga>32m70oi6;;0?8ga032m70oi8;;0?8gai32m70oia;;0?8gak32m70oic;;0?8gam32m70oie;;0?8d7832m70l?0;;0?8d7:32m70l?2;;0?8d7<32m70l?4;;0?8d7>32m70l?6;;0?8d7032m70l?8;;0?8d7i32m70l?a;;0?8d7k32m70l?c;;0?8d7m32m70l?e;;0?8d6832m70l>0;;0?8d6:32m70l>2;;0?8d6<32m70l>4;;0?8d6>32m70l>6;;0?8d6032m70l>8;;0?8d6i32m70l>a;;0?8d6k32m70l>c;;0?8d6m32m70l>e;;0?8d5832m70l=0;;0?8d5:32m70l=2;;0?8d5<32m70l=4;;0?8d5>32m70l=6;;0?8d5032m70l=8;;0?8d5i32m70l=a;;0?8d5k32m70l=c;;0?8d5m32m70l=e;;0?8d4832m70l<0;;0?8d4:32m70l<2;;0?8d4<32m70l<4;;0?8d4>32m70l<6;;0?8d4032m70l<8;;0?8d4i32m70l32m70l;6;;2?8d3>33870l;6;;7?8d3032m70l;8;;2?8d3033870l;8;;7?8d3i32m70l;a;;2?8d3i33870l;a;;7?8d3k32m70l;c;;2?8d3k33870l;c;;7?8d3m32m70l;e;;2?8d3m33870l;e;;7?8d2832m70l:0;;2?8d2833870l:0;;7?8d2:32m70l:2;;2?8d2:33870l:2;;7?8d2<32m70l:4;;2?8d2<33870l:4;;7?8d2>32m70l:6;;2?8d2>33870l:6;;7?8d2032m70l:8;;2?8d2033870l:8;;7?8d2i32m70l:a;;2?8d2i33870l:a;;7?8d2k32m70l:c;;2?8d2k33870l:c;;7?8d2m32m70l:e;;2?8d2m33870l:e;;7?8d1832m70l90;;2?8d1833870l90;;7?8d1:32m70l92;;2?8d1:33870l92;;7?8d1<32m70l94;;2?8d1<33870l94;;7?8d1>32m70l96;;2?8d1>33870l96;;7?8d1032m70l98;;2?8d1033870l98;;7?8d1i32m70l9a;;2?8d1i33870l9a;;7?8d1k32m70l9c;;2?8d1k33870l9c;;7?8d1m32m70l9e;;2?8d1m33870l9e;;7?8d0832m70l80;;2?8d0833870l80;;7?8d0:32m70l82;;2?8d0:33870l82;;7?8d0<32m70l84;;2?8d0<33870l84;;7?8d0>32m70l86;;2?8d0>33870l86;;7?8d0032m70l88;;2?8d0033870l88;;7?8d0i32m70l8a;;2?8d0i33870l8a;;7?8d0k32m70l8c;;2?8d0k33870l8c;;7?8d0m32m70l8e;;2?8d0m33870l8e;;7?8d?832m70l70;;2?8d?833870l70;;7?8d?:32m70l72;;2?8d?:33870l72;;7?8d?<32m70l74;;2?8d?<33870l74;;7?8d?>32m70l76;;2?8d?>33?70l78;:e?8d?033:70l78;;7?8d?i32m70l7a;;2?8d?i33?70l7c;:e?8d?k33:70l7c;;7?8d?m32m70l7e;;2?8d?m33?70l60;:e?8d>833:70l60;;7?8d>:32m70l62;;2?8d>:33?70l64;:e?8d><33:70l64;;7?8d>>32m70l66;;2?8d>>33?70l68;:e?8d>033:70l68;;7?8d>i32m70l6a;;2?8d>i33?70l6c;:e?8d>k33:70l6c;;7?8d>m32m70l6e;;2?8d>m33?70ln0;:e?8df833:70ln0;;7?8df:32m70ln2;;2?8df:33?70ln4;:e?8df<33:70ln4;;7?8df>32m70ln6;;2?8df>33?70ln8;:e?8df033:70ln8;;7?8dfi32m70lna;;2?8dfi33?70lnc;:e?8dfk33:70lnc;;7?8dfm32m70lne;;2?8dfm33?70lm0;:e?8de833:70lm0;;7?8de:32m70lm2;;2?8de:33?70lm4;:e?8de<33:70lm4;;7?8de>32m70lm6;;2?8de>33?70lm8;:e?8de033:70lm8;;7?8dei32m70lma;;2?8dei33?70lmc;:e?8dek33:70lmc;;7?8dem32m70lme;;2?8dem33?70ll0;:e?8dd833:70ll0;;7?8dd:32m70ll2;;2?8dd:33?70ll4;:e?8dd<33:70ll4;;7?8dd>32m70ll6;;2?8dd>33?70ll8;:e?8dd033:70ll8;;7?8ddi32m70lla;;2?8ddi33?70llc;:e?8ddk33:70llc;;7?8ddm32m70lle;;2?8ddm33?70lk0;:e?8dc833:70lk0;;7?8dc:32m70lk2;;2?8dc:33?70lk4;:e?8dc<33:70lk4;;7?8dc>32m70lk6;;2?8dc>33?70lk8;:e?8dc033:70lk8;;7?8dci32m70lka;;2?8dci33?70lkc;:e?8dck33:70lkc;;7?8dcm32m70lke;;2?8dcm33?70lj0;:e?8db833:70lj0;;7?8db:32m70lj2;;2?8db:33?70lj4;:e?8db<33:70lj4;;7?8db>32m70lj6;;2?8db>33870lj8;:e?8db033:70lj8;;7?xu6>k<1<7=t^cgg?8?2139i463n01816d=z{853z\f0f=:1;31?o64=8f2>74d3ty::o650;1xZ`2e343947=m8:?:gc<5:j1v<8m9;297~Xb6cc94?5|Vl>2707=6;1a<>;>kl09>n5rs04af?6=;rTn855293797g><50ih6?=75=;k2014mm:30`?xu6>ko1<7=t^d67?8?5:39i4636c8816f=z{853z\f06=:1;;1?o64=8a4>74d3ty::n>50;1xZ`253439<7=m8:?:g=<5:j1v<8l1;297~Xb<81656b094?5|Vl>;707>e;1a<>;>k=09>n5rs04`7?6=;rTn?k5290f97g><50i>6?4=2b9~w40d=3:1?vPj3e9>=4d=;k2014m>:30`?xu6>j<1<7=t^d1`?8?6i39i4636c3816f=z{853z\f7g=:1831?o64=8a3>74d3ty::n650;1xZ`5>343:47=m8:?:f`<5:j1v<8l9;297~Xb;1165<953c:896bc94?5|Vl9<707>6;1a<>;>jm09>n5rs04`f?6=;rTn?;5290797g><50hi6?=45=;k2014ln:30`?xu6>jo1<7=t^d10?8?6:39i4636b9816f=z{853z\f77=:18;1?o64=8`:>74d3ty::i>50;1xZ`56343:<7=m8:?:f2<5:j1v<8k1;297~Xb;9165=h53c:896e094?5|Vl8n707?e;1a<>;>j?09>n5rs04g7?6=;rTn>i5291f97g><50h?6?=5d=;k2014l<:30`?xu6>m<1<7=t^d0b?8?7i39i4636b0816f=z{853z\f6<=:1931?o64=8ce>74d3ty::i650;1xZ`4?343;47=m8:?:f5<5:j1v<8k9;297~Xb:>165=953c:896ec94?5|Vl8=707?6;1a<>;>ij09>n5rs04gf?6=;rTn>85291797g><50ko6?;<;30?5e0272mo4=2b9~w40cl3:1?vPj519>=55=;k2014o6:30`?xu6>mo1<7=t^d6e?8?7:39i4636a`816f=z{853z\f0`=:19;1?o64=8c;>74d3ty::h>50;1xZ`2c343;<7=m8:?:e3<5:j1v<8j1;297~Xb<<164kh53c:896d094?5|Vl9j706ie;1a<>;>i<09>n5rs04f7?6=;rTn>k528gf97g><50k86?l<1<7=t^gda?8?2039i4636fd816f=z{853z\ebd=:1<=1?o64=8de>74d3ty::h650;1xZc`>343>:7=m8:?:ba<5:j1v<8j9;297~Xan11658;53c:89<`e2;8h7p}>6dc94?5|Vol<707:4;1a<>;>nj09>n5rs04ff?6=;rTmj;5294197g><50lj6?=07=;k2014h6:30`?xu6>lo1<7=t^gd1?8?2839i4636f6816f=z{853z\eb4=:1=l1?o64=8d6>74d3ty::k>50;1xZc`7343?i7=m8:?:b3<5:j1v<8i1;297~Xamo1659j53c:89<`32;8h7p}>6g094?5|Voon707;c;1a<>;>n;09>n5rs04e7?6=;rTmii5295`97g><50l86?=1?=;k2014ki:30`?xu6>o<1<7=t^ggb?8?3039i4636f1816f=z{853z\ea==:1==1?o64=8gf>74d3ty::k650;1xZcc0343?:7=m8:?:af<5:j1v<8i9;297~Xam?1659;53c:896gc94?5|Voo>707;4;1a<>;>mk09>n5rs04ef?6=;rTmi95295197g><50o26?=17=;k2014k7:30`?xu6>oo1<7=t^gg2?8?3839i4636e7816f=z{853z\ea5=:1:l1?o64=8g4>74d3ty:;=>50;1xZcba3438i7=m8:?:a0<5:j1v<9?1;297~Xalm165>j53c:8971094?5|Vonh707;>m=09>n5rs0537?6=;rTmho5292`97g><50o96?=6?=;k2014k>:30`?xu6?9<1<7=t^gf;?8?4039i4636dg816f=z{8=;;7>53z\e`2=:1:=1?o64=8fg>74d3ty:;=650;1xZcb13438:7=m8:?:``<5:j1v<9?9;297~Xal<165>;53c:8971c94?5|Von?707<4;1a<>;>lh09>n5rs053f?6=;rT:<=>4=810>6d?343on7<=c:p526d2908wShif:?:77<4j1165i7523a8yv708m0;6>uQfgg89<562:h3707k7;01g>{t9>:n6=4<{_de`>;>;908n5529e:967el7;<;g2?45k2wx=:??:180[`a<272>h4=a2=:;i0q~?81083>6}Ynl30145<4sWloi6362b80f==:1m91>?m4}r3456<72:qUji=4=80a>6d?343o>7<=c:p52732908wShk2:?:6d<4j1165i>523a8yv709<0;6luQ174a?826<39i46366c816<=:1>81>?74=855>74e3432>7<=a:?:=6<5:k1654:523`89{t9>;=6=4={_352d=:<8=1?o64}r3452<72;qUo<74=53b>6d?3ty:;<650;33<~;4ml08=k523dd9740<5:om6>?:;<1fb?56<278ik4<129>7c6=;8<01>h?:236?85a839:863>>4=2db>6e2349mo7?<7:?747<4k<168=;53b7891602;;;70:74;004>;30?08o85249:9561<5=2j6>m:;<6;g?74?27?4h40<7=;j?019l::333?82en388<63;c88175=:1?n;4=5f5>45034>on7<>0:?7a0<599168k=5305891`42:;870:i4;123>;3n=08=>524g79741<5=l>6>?<;<6e2?56?27?j;4<129>0c1=;8=019h8:236?82a?39:?63;f98052=:67434>m57=>7:?7b<<49<168k75301891`f2:;<70:ia;121>;3nh08=>524g`9741<5=li6>?:;<6ef?56;27?jn4<169>0ce=;8?019hl:230?82al39:;63;fe8050=:67034>mi7=>5:?7b`<49:165;:520289d3720901l;=:9d89d1320;01l9n:9d89d1d20;01l9j:9d89d1b20;01l6?:9d89d>521l01l6;:9d89d>121l01l67:9d89d>f21l01l6l:9d89d>b21l01l7?:9d89d?521l01l7;:9d89d?121l01l77:9d89d?f21l01l7l:9d89d?b21l01lo?:9d89dg521l01lo;:9d89dg121l01lo7:9d89dgf21l01lol:9d89dgb21l01ll?:9d89dd521l01ll;:9d89dd121l01ll7:9d89ddf21l01lll:9d89ddb21l01lm?:9d89de521l01lm;:9d89de121l01lm7:9d89def21l01lml:9d89deb21l01lj?:9d89db521l01lj;:9d89db121l01lj7:9d89dbf21l01ljj:9d89dc520;01lk=:8689dc320;01lk;:8689dc120;01lk9:8689dc?20;01lk7:8689dcf20;01lkn:8689dcd20;01lkl:8689dcb20;01lkj:8689d`720;01lh?:8689d`520;01lh=:8689d`320;01lh;:8689d`120;01lh9:8689d`?20;01lh7:8689d`f20;01lhn:8689d`d20;01lhl:8689d`b20;01lhj:8689g6720;01o>?:8689g6520;01o>=:8689g6320;01o>;:8689g6120;01o>9:8689g6?20;01o>7:8689g6f20;01o>n:8689g6d20;01o>l:8689g6b20;01o>j:8689g7720;01o??:8689g7520;01o?=:8689g7320;01o?;:8689g7120;01o?9:8689g7?20;01o?7:8689g7f20;01o?n:8689g7d20;01o?l:8689g7b20;01o?j:8689g4720;01o120901o67:8189g>f20901o6l:8189g>b20901o7?:8189g?520901o7;:8189g?120901o77:8189g?f20901o7l:8189g?b20901oo?:8189gg520901oo;:8189gg120901oo7:8189ggf20901ool:8189ggb20901ol?:8189gd520901ol;:8189gd120901ol7:8189gdf20901oll:8189gdb20901om?:8189ge520901om;:8189ge120901om7:8189gef20901oml:8189geb20901oj?:8189gb520901oj;:8189gb120901oj7:8189gbf20901ojl:8189gbb20901ok?:8189gc520901ok;:8189gc120>01ok7:818yv70900;6?u23dd9g7=:;oo1?>o4}r345d<72;q6?hh5c19>7cc=;:30q~?81c83>7}:;ll1nk523gg976>7``=jl16?kk53258yv709m0;6?u23dd9fa=:;oo1?>;4}r345`<72;q6?hh5bb9>7cc=;:>0q~?81g83>7}:;ll1no523gg9765=4?:3y>7``=jh16?kk53208yv70:80;6?u23dd9gg=:;oo1?>?4}r3467<72;q6?hh5c`9>7cc=;::0q~?82283>7}:;ll1o4523gg977`94?:3y>7``=k116?kk533g8yv70:<0;6?u23dd9g2=:;oo1??j4}r3463<72;q6?hh5c79>7cc=;;i0q~?82683>7}:;ll1o8523gg977g54?:3y>7``=k=16?kk533;8yv70:00;6?u23dd9g6=:;oo1??64}r346d<72;q6?hh5b89>7cc=;;=0q~?82c83>45|5:om61b9>7``=98h01>ki:03b?85bn3;:563472349nj7?>4:?0ac<69:16?hh5100896ca28;:70=jf;324>;4mo0:k;<1fb?77k278ji4<369~w415k3:1>v3;4}r346a<72;q6?hh511;896`c2:9?7p}>73g94?4|5:om6<>7;<1e`?54;2wx=:52z?0ac<68?16?kj53238yv70;80;6?u23dd9553<5:lo6>=?;|q2364=838p1>ki:027?85al399j6s|1610>5<5s49nj7??3:?0ba<4:l1v<9<4;296~;4mo0:7``=99;01>hk:20`?xu6?:<1<7c`<5:lo6>ki:gg896`c2:827p}>72:94?4|5:om6kj4=2dg>64?3ty:;>750;0x96ca2oi01>hk:204?xu6?:k1<7cd<5:lo6><9;|q236d=838p1>ki:gc896`c2:8>7p}>72a94?4|5:om6k74=2dg>6433ty:;>j50;0x96ca2o201>hk:200?xu6?:o1<7c1<5:lo6><=;|q236`=838p1>ki:g4896`c2:8:7p}>75294?4|5:om6<<:;<1e`?5282wx=::>:18185bn3;98637>52z?0ac<6::16?kj535g8yv70<:0;6?u23dd9574<5:lo6>:k;|q2312=838p1>ki:002?85al39?o6s|1666>5<5s49nj7?=0:?0ba<47``=99h01>hk:215?xu6?=21<7467349mh7==b:p522>2909w0=jf;d6?85al399<6s|166b>5<5s49nj7=<7:?:b`<5:k1v<9;b;296~;4mo08?8529gd967d7``=;:>014hk:30a?xu6?=n1<7654343mn7<=b:p522b2909w0=jf;106>;>nj09>o5rs057b?6=:r78ik4<309>=cg=:;h0q~?85183>7}:;ll1?>>4=8d;>74e3ty:;8?50;0x96ca2:8m707i9;01f>{t9>?96=4={<1fb?55m272j:4=2c9~w412;3:1>v3?l4}r3411<72;q6?hh533a89<`12;8i7p}>74794?4|5:om6>;7>52z?0ac<4:1165k=523`8yv70=10;6?u23dd9771<50l:6?ki:205?8?bn389n6s|167b>5<5s49nj7==5:?:b5<5:k1v<9:b;296~;4mo08>9529dg967d7``=;;9014kl:30a?xu6?645343nh7<=b:p523b2909w0=jf;115>;>mk09>o5rs056b?6=:r78ik4<519>=`?=:;h0q~?86183>7}:;ll1?9h4=8gb>74e3ty:;;?50;0x96ca2:>n707j8;01f>{t9><96=4={<1fb?53l272i;4=2c9~w411;3:1>v3?l4}r3421<72;q6?hh535`8977794?4|5:om6>:>;<;f7?45j2wx=:89:18185bn398:636e5816g=z{8==;7>52z?0ac<4:k165h<523`8yv70>10;6?u23dd9776<50o;6?;4mo0:8;523dd9513<5:om6<:;;<1fb?73;278ik4>439>7``=9=;01>ki:063?85bn3;>=6342b349nj7?;d:?0ac<6j70=jf;37=>;4mo0:?k523dd9=`=:;ll15n523dd9=g=:;ll15l523dd9=<=:;ll155523dd9=2=:;ll15;523dd9e2=:;ll1m;523dd9e0=:;ll1m9523dd9e6=:;oo1?9>4}r342d<72;q6?hh5a39>7cc=;:l0q~?86c83>7}:;ll1m<523gg976c7``=i916?kk532f8yv70>m0;6?u23dd9=c=:;oo1?>m4}r342`<72;q6?hh5949>7cc=;:h0q~?86g83>7}:;o:1o?52412976g7c6=k9168=>532;8yv70?80;6?u23g29fc=:<9:1?>64}r3437<72;q6?k>5bd9>056=;:=0q~?87283>7}:;o:1ni5241297637c6=jj168=>53268yv70?<0;6?u23g29fg=:<9:1?>=4}r3433<72;q6?k>5b`9>056=;:80q~?87683>7}:;o:1oo5241297677c6=kh168=>53228yv70?00;6?u23g29g<=:<9:1??h4}r343d<72;q6?k>5c99>056=;;o0q~?87c83>7}:;o:1o:52412977b7c6=k?168=>533a8yv70?m0;6?u23g29g0=:<9:1??o4}r343`<72;q6?k>5c59>056=;;30q~?87g83>7}:;o:1o>52412977>7c6=j0168=>53358yv70080;6<=t=2d3>47a349m<7?>d:?0b5<69j16?k>510`896`728;j70=i0;32=>;4n90:=5523g29541<5:l;6129>7c6=98801>h?:032?85a83;:<6346c349m<7??c:?0bc<4;>1v<972;296~;4n90:4?:3y>7c6=99301>hi:217?xu6?1>1<746?349mj7=<3:p52>22909w0=i0;333>;4no08??5rs05;2?6=:r78j=4>079>7c`=;:;0q~?88683>7}:;o:1==;4=2de>6573ty:;5650;0x96`728:?70=if;11b>{t9>226=4={<1e4?77;278jk4<2d9~w41?i3:1>v35113896`a2:8h7p}>79a94?4|5:l;6kh4=2de>64f3ty:;5j50;0x96`72oo01>hi:20:?xu6?1o1<7cb<5:lm6><7;|q23=`=838p1>h?:ga896`a2:8<7p}>78294?4|5:l;6kl4=2de>6413ty:;4?50;0x96`72ok01>hi:206?xu6?081<7c?<5:lm6><;;|q23<5=838p1>h?:g:896`a2:887p}>78694?4|5:l;6k94=2de>6453ty:;4;50;0x96`72o<01>hi:202?xu6?0<1<7442349mj7=:0:p52?02909w0=i0;310>;4no088k5rs05:229>7c`=;=o0q~?89883>7}:;o:1=?<4=2de>62c3ty:;4o50;0x96`7288:70=if;17g>{t9>3i6=4={<1e4?758278jk4<4c9~w41>k3:1>v3511`896`a2:9=7p}>78g94?4|5:l;6<>?;<1eb?55j2wx=:7i:18185a83l>70=if;114>{t9>k;6=4={<1e4?54?272h<4=2c9~w41f93:1>v3?l4}r34e7<72;q6?k>5326897`194?4|5:l;6>=<;<;`a?45j2wx=:o;:18185a8398>636cb816g=z{8=j97>52z?0b5<4;8165no523`8yv70i?0;6?u23g29766<50ii6?h?:20e?8?d1389n6s|16c;>5<5s49m<7==e:?:g2<5:k1v<9n9;296~;4n908>i529b:967d7c6=;;i014m9:30a?xu6?hh1<764f343h87<=b:p52gd2909w0=i0;11=>;>k<09>o5rs05b`?6=:r78j=4<299>=f5=:;h0q~?8ad83>7}:;o:1??94=8a2>74e3ty:;lh50;0x96`72:8=707l2;01f>{t9>h;6=4={<1e4?55=272o=4=2c9~w41e93:1>v3?l4}r34f7<72;q6?k>5331897c194?4|5:l;6><=;<;a`?45j2wx=:l;:18185a8399=636bc816g=z{8=i97>52z?0b5<4=9165om523`8yv70j?0;6?u23g2971`<50hj6?h?:26f?8?e0389n6s|16`;>5<5s49m<7=;d:?:f<<5:k1v<9m9;296~;4n9088n529c5967d7c6=;=h014l::30a?xu6?kh1<7626343i:7<=b:p52dd2909w0=i0;102>;>j=09>o5rs05a`?6=:r78j=4<2c9>=g4=:;h0q~?8bd83>7}:;o:1??>4=8`0>74e3ty:;oh50;0385a83;?463423349m<7?;3:?0b5<6<;16?k>5153896`728>;70=i0;365>;4n90:9=523g2951`<5:l;6<:j;<1e4?73l278j=4>4b9>7c6=9=h01>h?:06b?85a83;?5635241297167c6=i;168=>532d8yv70k80;6?u23g29e4=:<9:1?>k4}r34g7<72;q6?k>5a19>056=;:n0q~?8c283>7}:;o:15k52412976e7c6=1<168=>532`8yv70k<0;69u23g39646<503:1?v3>>4=84b>6773ty:;n950;0x96`52:;;70o?6;30`>{t9>i36=4={<1e7?74l272:84<119~w41d13:1:v3672349mj7=>5:?745<49<165;;523a8yv70kh0;6?u23g6956b<50
??;|q23fd=83h;:2`;?85al39:863673343=o7<=b:p52ed2909w0=i5;30`>;>>m08==5rs05``?6=>r78j847cb=;8901>hj:230?85an39:?63;018056=:1?n1>?l4}r34g`<72;q6?k85125896`f2:;;7p}>7bd94?2|5:l=6???;e15=:;20q~?8d183>7}:;o<1?n;4=`60>6773ty:;i?50;0x96`12:;;70=i7;303>{t9>n96=4={<1e3?46827j884<119~w41c;3:1>v34}r34`1<72:q6?k95223896`?289<70:?9;30`>{t9>n>6=4={<1e3e9~w41c>3:1>v34}r34`2<72=q6?k752028916f2:h370784;01=>;>?<09>45rs05g=22=;8:0q~?8d883>6}:;o31?<>4=2db>450349mn7?<7:p52bf2909w0=ib;124>;38h0:?i5rs05gf?6=:r78jn4=26=;8:0q~?8db83>f}:;on1o?523gf9g5=:;on1nk523gf9f`=:;on1ni523gf9ff=:;on1no523gf9fd=:;on1oo523gf9gd=:;on1o452b9;97g>7cb=k116n5l53c:8yv70ll0;6?u23gf9g2=:j1=1?o64}r34`c<72;q6?kj5c79>f=`=;k20q~?8e183>7}:;on1o852b8397g>7cb=k=16n5j53c:8yv70m;0;6?u23gf9g6=:j091?o64}r34a6<72;q6?kj5b89>f<3=;k20q~?8e583>7}:;on1?:m4=5d;>6gc3ty:;h;50;0x96`c2:=j70:i8;1bf>{t9>o=6=4={<1e`?50127?j54v37d;94?4|5:lo6>99;<6e52z?0ba<4?=168k653`78yv70mj0;6?u23gf9724<5=l36>o<;|q23`b=838p1>hk:252?82a039j>6s|16gf>5<5s49mh7=80:?7b=<4i81v<9jf;296~;4nm08:k524g:97d67cb=;?o019h7:2;e?xu6?o;1<760c34>m47=6e:p52`52909w0=id;15g>;3n1085i5rs05e7?6=:r78ji4<6c9>0c>=;0i0q~?8f583>7}:;on1?;o4=5d;>6?e3ty:;k;50;0x96`c2:<270:i8;1:e>{t9>l=6=4={<1e`?51?27?j54<999~w41a?3:1>v37g;94?4|5:lo6>8;;<6e=2wx=:hn:18185al39=?63;f980=1=z{8=mn7>52z?0ba<4>;168k653818yv70nj0;6?u23gf9737<5=l36>7=;|q23cb=838p1>hk:243?82a0392=6s|16df>5<5s49mh7=:f:?7b=<4191v<9if;296~;4nm089h524g:97=`7cb=;63e34>m47=7c:p5=652909w0=id;16e>;3n1084o5rs0:37?6=:r78ji4<589>0c>=;1k0q~?70583>7}:;on1?864=5d;>6>>3ty:4=;50;0x96`c2:?<70:i8;1;<>{t91:=6=4={<1e`?52>27?j54<869~w4>7?3:1>v37p}>81;94?4|5:lo6>;<;<6en:18185al393>63;f980f6=z{82;n7>52z?0ba<408168k653c08yv7?8j0;6?u23gf97=6<5=l36>l>;|q2<5b=838p1>hk:25e?82a039i<6s|192f>5<5s49mh7=8e:?7b=<4io1v<6?f;296~;4nm08;i524g:97dc7cb=;>9019h7:2c7?xu608;1<760?34>m47=69:p5=752909w0=id;16`>;3n1084h5rs0:27?6=:r78ji4<539>0c>=;190q~?71583>0}:;on15h523gg9=`=:;ol15h524129=`=:0jk1=h;4}r3;50<727cc=1j16?kh59b9>056=1j164no51d18yv7?9?0;68u23gf9=g=:;oo15o523gd9=g=:<9:15o528bc95`47cb=1h16?kk59`9>7c`=1h168=>59`9>0}:;on154523gg9=<=:;ol154524129=<=:0jk1=h>4}r3;5<<727cc=1116?kh5999>056=11164no51ed8yv7?9h0;68u23gf9=2=:;oo15:523gd9=2=:<9:15:528bc95ac7cb=1?16?kk5979>7c`=1?168=>5979>0}:;on1m:523gg9e2=:;ol1m:524129e2=:0jk1>=j4}r3;5a<727cc=i?16?kh5a79>056=i?164no521a8yv7?9l0;68u23gf9e0=:;oo1m8523gd9e0=:<9:1m8528bc965d7cb=i=16?kk5a59>7c`=i=168=>5a59>0}:;on1m>523gg9e6=:;ol1m>524129e6=:0jk1>=74}r3;64<727cc=i;16?kh5a39>056=i;164no521:8yv7?:;0;68u23gf9e4=:;oo1m<523gd9e4=:<9:1m<528bc95cc>4?:4y>7cb=i916?kk5a19>7c`=i9168=>5a19>0}:;on15k523gg9=c=:;ol15k524129=c=:0jk1=h64}r3;60<727cc=1<16?kh5949>056=1<164no51ea8yv7?:?0;6?u23gg9fc=:j0=1?o64}r3;62<72;q6?kk5bd9>f7}:;oo1ni52b8f97g>44?:3y>7cc=jj16n4h53c:8yv7?:h0;6?u23gg9fg=:j0h1?o64}r3;6g<72;q6?kk5b`9>fd5=;k20q~?72b83>7}:;oo1oo52b`797g>i4?:3y>7cc=kh16nl?53c:8yv7?:l0;6?u23gg9g<=:jh31?o64}r3;6c<72;q6?kk5c99>fdd=;k20q~?73183>7}:;oo1o:52b`597g>7cc=k?16nlj53c:8yv7?;;0;6?u23gg9g0=:jhl1?o64}r3;76<72;q6?kk5c59>fg7=;k20q~?73583>7}:;oo1o>52bc197g>7cc=j016no953c:8yv7?;?0;6?u23gg972e<5=lh6>ok;|q2<61=838p1>hj:25b?82ak39jn6s|191;>5<5s49mi7=89:?7bf<4ih1v<6<9;296~;4nl08;5524ga97d?7cc=;>=019hl:2c;?xu60:h1<761134>mo7=n7:p5=5d2909w0=ie;141>;3nj08m;5rs0:0`?6=:r78jh4<759>0ce=;h?0q~?73d83>7}:;oo1?:<4=5d`>6g43ty:4>h50;0x96`b2:=:70:ic;1b6>{t91>;6=4={<1ea?50827?jn4393:1>v34}r3;07<72;q6?kk537g891`d2:3m7p}>85194?4|5:ln6>8k;<6eg?5>m2wx=5:;:18185am39=o63;fb80=a=z{82?97>52z?0b`<4>k168km538a8yv7?7m;|q2<11=838p1>hj:24:?82ak392m6s|196;>5<5s49mi7=97:?7bf<4111v<6;9;296~;4nl08:;524ga97<17cc=;??019hl:2;5?xu60=h1<760334>mo7=65:p5=2d2909w0=ie;157>;3nj08595rs0:7`?6=:r78jh4<639>0ce=;090q~?74d83>7}:;oo1?;?4=5d`>6?53ty:49h50;0x96`b2:<;70:ic;1:5>{t91?;6=4={<1ea?52n27?jn4<919~w4>293:1>v384194?4|5:ln6>;m;<6eg?5?k2wx=5;;:18185am39>m63;fb8097>52z?0b`<4=0168km539c8yv7?=?0;6?u23gg970><5=lh6>66;|q2<01=838p1>hj:274?82ak39346s|197;>5<5s49mi7=:6:?7bf<40>1v<6:9;296~;4nl0898524ga97=07cc=;<>019hl:2:6?xu6063434>mo7=74:p5=3d2909w0=ie;1;6>;3nj08n>5rs0:6`?6=:r78jh4<809>0ce=;k80q~?75d83>7}:;oo1?5>4=5d`>6d63ty:48h50;0x96`b2:=m70:ic;1a4>{t91<;6=4={<1ea?50m27?jn4193:1>v387194?4|5:ln6>87;<6eg?5>12wx=58;:18185am39>h63;fb80<`=z{82=97>52z?0b`<4=;168km53918yv7?>?0;6?u23gg9770<5k>?6<=k;|q2<31=838p1>hj:206?8d303;8h6s|194;>5<5s49mi7==4:?a0d<6;m1v<699;296~;4nl08>>52b54956b7cc=;;801o:j:01g?xu60?h1<764634h><7?;ef04=9:n0q~?76d83>7}:;oo1?9k4=c77>45c3ty:4;h50;0x96`b2:>o70l:6;30`>{t91=;6=4={<1ea?53k27i954>3e9~w4>093:1>v3j4}r3;37<72;q6?kk535389g3b289o7p}>86194?4|5:ln6>=9;<`6e?74l2wx=59;:18185am399n63m63827a=z{82<97>52z?0b`<4:916n;:512f8yv7???0;6nu23gd9g7=:;ol1o=523gd9fc=:;ol1nh523gd9fa=:;ol1nn523gd9fg=:;ol1nl523gd9gg=:;ol1ol523gd9g<=:jk31?o64}r3;32<72;q6?kh5c99>fg3=;k20q~?77983>7}:;ol1o:52bcf97g>7c`=k?16noh53c:8yv7??h0;6?u23gd9g0=:jkh1?o64}r3;3g<72;q6?kh5c59>ff5=;k20q~?77b83>7}:;ol1o>52bb797g>7c`=j016nn?53c:8yv7??l0;6?u23gd972e<5=l<6>ok;|q2<2`=838p1>hi:25b?82a?39jn6s|19:3>5<5s49mj7=89:?7b2<4ih1v<671;296~;4no08;5524g597d?7c`=;>=019h8:2c;?xu60191<761134>m;7=n7:p5=>32909w0=if;141>;3n>08m;5rs0:;1?6=:r78jk4<759>0c1=;h?0q~?78783>7}:;ol1?:<4=5d4>6g43ty:45950;0x96`a2:=:70:i7;1b6>{t91236=4={<1eb?50827?j:4?13:1>v34}r3;89`94?4|5:lm6>8k;<6e3?5>m2wx=56l:18185an39=o63;f680=a=z{823h7>52z?0bc<4>k168k9538a8yv7?0l0;6?u23gd973g<5=l<6>7m;|q2<=`=838p1>hi:24:?82a?392m6s|19;3>5<5s49mj7=97:?7b2<4111v<661;296~;4no08:;524g597<17c`=;??019h8:2;5?xu60091<760334>m;7=65:p5=?32909w0=if;157>;3n>08595rs0::1?6=:r78jk4<639>0c1=;090q~?79783>7}:;ol1?;?4=5d4>6?53ty:44950;0x96`a2:<;70:i7;1:5>{t91336=4={<1eb?52n27?j:4<919~w4>>13:1>v388`94?4|5:lm6>;m;<6e3?5?k2wx=57l:18185an39>m63;f68052z?0bc<4=0168k9539c8yv7?1l0;6?u23gd970><5=l<6>66;|q2<<`=838p1>hi:274?82a?39346s|19c3>5<5s49mj7=:6:?7b2<40>1v<6n1;296~;4no0898524g597=07c`=;<>019h8:2:6?xu60h91<763434>m;7=74:p5=g32909w0=if;1;6>;3n>08n>5rs0:b1?6=:r78jk4<809>0c1=;k80q~?7a783>7}:;ol1?5>4=5d4>6d63ty:4l950;0x96`a2:=m70:i7;1a4>{t91k36=4={<1eb?50m27?j:4f13:1>v38``94?4|5:lm6>87;<6e3?5>12wx=5ol:18185an39>h63;f680<`=z{82jh7>52z?0bc<4=;168k953918yv7?il0;6?u24129fc=:jj=1?o64}r3;ec<72;q68=>5bd9>ff?=;k20q~?7b183>7}:<9:1ni52bb`97g>056=jj16nnj53c:8yv7?j;0;6?u24129fg=:jm;1?o64}r3;f6<72;q68=>5b`9>fa5=;k20q~?7b583>7}:<9:1oo52bbd97g>056=kh16ni953c:8yv7?j?0;6?u24129g<=:jm31?o64}r3;f2<72;q68=>5c99>fa3=;k20q~?7b983>7}:<9:1o:52bef97g>056=k?16nih53c:8yv7?jh0;6?u24129g0=:jmh1?o64}r3;fg<72;q68=>5c59>f`7=;k20q~?7bb83>7}:<9:1o>52bd197g>056=j016nh;53c:8yv7?jl0;6?u2412972e<5=li6>ok;|q2?:25b?82aj39jn6s|19a3>5<5s4>;<7=89:?7bg<4ih1v<6l1;296~;38908;5524g`97d?056=;>=019hm:2c;?xu60j91<761134>mn7=n7:p5=e32909w0:?0;141>;3nk08m;5rs0:`1?6=:r7?<=4<759>0cd=;h?0q~?7c783>7}:<9:1?:<4=5da>6g43ty:4n950;0x91672:=:70:ib;1b6>{t91i36=4={<634?50827?jo4d13:1>v3;01802c=:4}r3;gd<72;q68=>537g891`e2:3m7p}>8b`94?4|5=:;6>8k;<6ef?5>m2wx=5ml:181827839=o63;fc80=a=z{82hh7>52z?745<4>k168kl538a8yv7?kl0;6?u2412973g<5=li6>7m;|q2?:24:?82aj392m6s|19f3>5<5s4>;<7=97:?7bg<4111v<6k1;296~;38908:;524g`97<1056=;??019hm:2;5?xu60m91<760334>mn7=65:p5=b32909w0:?0;157>;3nk08595rs0:g1?6=:r7?<=4<639>0cd=;090q~?7d783>7}:<9:1?;?4=5da>6?53ty:4i950;0x91672:<;70:ib;1:5>{t91n36=4={<634?52n27?jo4<919~w4>c13:1>v3;01801`=:534a891`e2:2o7p}>8e`94?4|5=:;6>;m;<6ef?5?k2wx=5jl:181827839>m63;fc8052z?745<4=0168kl539c8yv7?ll0;6?u2412970><5=li6>66;|q2?:274?82aj39346s|19g3>5<5s4>;<7=:6:?7bg<40>1v<6j1;296~;3890898524g`97=0056=;<>019hm:2:6?xu60l91<763434>mn7=74:p5=c32909w0:?0;1;6>;3nk08n>5rs0:f1?6=:r7?<=4<809>0cd=;k80q~?7e783>7}:<9:1?5>4=5da>6d63ty:4h950;0x91672:=m70:ib;1a4>{t91o36=4={<634?50m27?jo4b13:1>v3;01803a=:5361891`e2:k?7p}>8d`94?4|5=:;6>87;<6ef?5>12wx=5kl:181827839>h63;fc80<`=z{82nh7>52z?745<4=;168kl53918yv7?ml0;6?u24129770<5k<;6<=k;|q2<``=838p19>?:206?8d103;8h6s|19d3>5<5s4>;<7==4:?a2d<6;m1v<6i1;296~;38908>>52b74956b056=;;801o8j:01g?xu60o91<764634h<<7?;e>j0:?i5rs0:e1?6=:r7?<=4<4g9>f22=9:n0q~?7f783>7}:<9:1?9k4=c55>45c3ty:4k950;0x91672:>o70l82;30`>{t91l36=4={<634?53k27i;l4>3e9~w4>a13:1>v3;01800g=:j>i1=>j4}r3;bd<72;q68=>535389g1?289o7p}>8g`94?4|5=:;6>=9;<`;4?74l2wx=5hl:1818278399n63m83827a=z{82mh7>52z?745<4:916n:k512f8yv7?nl0;6>u24139561<5=:96<=8;<637?5682wx=5hi:181827939:<63;10827a=z{83;<7>56z?746<6;>168<>53c:89<0>2;827079f;01e>;>0;09>l52b50956b055=;j?0149>:233?xu61981<7:t=527>45034>:>7=m8:?:2d<5:h165;l523a8yv7>8:0;6?u241697f3<5h>?6>??;|q2=52=839p19>;:233?827=3;8;63;078272=z{83;97>52z?740<499168=951258yv7>8?0;6?u24149746<5=;86<=k;|q2=51=838p19>8:2a6?8?0:39:<6s|182;>5<5s4>;;7=>0:?751<6;m1v<7?9;2924}:<921?o64=8c1>74>343j?7<=9:?:e1<5:0165l;523;89;>i109>4529`;967?<50kj6?<6;<;bf?451272mn4=289>=db=:;3014oj:30:?8?fn3895636b1816<=:1k;1>?74=8`1>74>343i?7<=9:?:f1<5:0165o;523;89;>j109>4529c;967?<50hj6?<6;<;af?451272nn4=289>=gb=:;3014lj:30:?8?en3895636c1816<=:1j;1>?74=8a1>74>343h?7<=9:?:g1<5:0165n;523;89;>k109>4529b;967?<50ij6?<6;<;`f?451272on4=289>=fb=:;3014mj:30:?8?dn3895636d1816<=:1m;1>?74=8f1>74>343o?7<=9:?:`1<5:0165i;523;89;>l109>4529e;967?<50nj6?<6;<;gf?451272hn4=289>=ab=:;3014jj:30:?8?cn3895636e1816<=:1l;1>?74=8g1>74>343n?7<=9:?:a1<5:0165h;523;89;>m109>4529d;967?<50oj6?<6;<;ff?451272in4=289>=`b=:;3014kj:30:?8?bn3895636f1816<=:1o;1>?74=8d1>74>343m?7<=9:?:b1<5:0165k;523;89<`12;82707i7;01=>;>n109>4529g;967?<50lj6?<6;<;ef?451272jn4=289>=cb=:;3014hj:30:?8?an389563n26816<=:i=?1>?64}r3:4d<72;3k>08n5524g0956b05d=;k2019m::333?8g3i389463n4d827a=z{83;o7>53z?74f<6;m168=j512f89<0?2:;;7p}>91f94?4|5=:h6>l7;j:181827m3;8h636668055=z{83;j7>53z?74`<4j1165;9523a89<0>2;8o7p}>90294?4|5=:m6<=k;<;5=?5682wx=4?>:185827n39i463666816d=:1?21>?74=84:>74e34k8o7<=b:?b3`<6;m1v<7>2;296~;3990:?i5297d97464?:9y>047=;k201488:30a?8?10389n63668816f=:1?l1>?74=8;5>74f34k8o7<=c:?b15<6;m1v<7>4;296~;39;0:?i5297`9746045=;k20148m:30a?xu618<1<745c343=:7=>0:p5<702909w0:>5;1a<>;39m0i86s|183;>5<5s4>::7?9;296~;39?08n55240f9f7=z{83:m7>565y>041=9:n0149;:30a?8?0=389m636a3816d=:1h91>?o4=8c7>74f343j97<=a:?:e3<5:h165l9523c89;>ih09>l529``967g<50kh6?=d`=:;k014l?:30b?8?e9389m636b3816d=:1k91>?o4=8`7>74f343i97<=a:?:f3<5:h165o9523c89;>jh09>l529c`967g<50hh6?=g`=:;k014m?:30b?8?d9389m636c3816d=:1j91>?o4=8a7>74f343h97<=a:?:g3<5:h165n9523c89;>kh09>l529b`967g<50ih6?=f`=:;k014j?:30b?8?c9389m636d3816d=:1m91>?o4=8f7>74f343o97<=a:?:`3<5:h165i9523c89;>lh09>l529e`967g<50nh6?=a`=:;k014k?:30b?8?b9389m636e3816d=:1l91>?o4=8g7>74f343n97<=a:?:a3<5:h165h9523c89;>mh09>l529d`967g<50oh6?=``=:;k014h?:30b?8?a9389m636f3816d=:1o91>?o4=8d7>74f343m97<=a:?:b3<5:h165k9523c89<`?2;8j707i9;01e>;>nh09>l529g`967g<50lh6?=c`=:;k01l>?:30:?8g79389n63me680f==z{83:n7>53z?752<4jh16890a94?2|5=;36<=k;<;b4?45i27j9>4f=2=9:n0q~?61e83>7}:<821?o64=5c;>45c3ty:5289o70:>b;1a<>;f=;0:?i5rs0;2b?6=;r7?=44=30=:;h0148j:30b?xu61;:1<745c343<97=>0:p5<46290=w0:>b;30`>;39j08n552975967?<50<36?v3;1b827a=:1><1?<>4}r3:66<720ae=:8:0147>:30b?8?><389o63n1380f==z{83987>55z?75a=<7=:;h0147<:30`?8g6;39i46s|1806>5<4s4>:h7:?0;6>u240f9653<5h2;6<=k;j4}r3:6=<72:q683289o70oj8;30`>{t90826=4<{<62`?47:27j4;4>3e9>e`g=9:n0q~?62`83>6}:<8n1>=?4=`:b>45c34kno7?d;034>;f0j0:?i52adg956bn4?:2y>04b=9ol01l67:01g?8ga:3;8h6s|180g>5<4s4>:h7?id:?b=5<6;m16mk:512f8yv7>:l0;6>u240f95ce<5h396<=k;j4}r3:75<72:q68{t909:6=4<{<62`?7a127j554>3e9>ec0=9:n0q~?63383>6}:<8n1=k64=`;7>45c34kmi7?d;3e3>;f1h0:?i52b12956b04b=9o<01l7l:01g?8gak3;8h6s|1816>5<4s4>:h7?i5:?b=`<6;m16n=:512f8yv7>;?0;6>u240f95c2<5hk;6<=k;<`32?74l2wx=4=8:180826l3;m>63na5827a=:j981=>j4}r3:7=<72:q68{t90926=4<{<62`?7a827jm?4>3e9>f5e=9:n0q~?63`83>6}:<8n1=hh4=`cb>45c34h;47?d;3fa>;fij0:?i52b02956b04b=9ln01lo7:01g?8d6:3;8h6s|181g>5<4s4>:h7?jc:?bf5<6;m16n=k512f8yv7>;l0;6>u240f95`d<5hh96<=k;<`22?74l2wx=4=i:180826l3;nm63nad827a=:j821=>j4}r3:05<72:q684;30`>{t90>:6=4<{<62`?7b?27jn;4>3e9>f4g=9:n0q~?64383>6}:<8n1=h84=``;>45c34h:o7?d;3f1>;fjh0:?i52b0g956b04b=9l901llj:01g?8d583;8h6s|1866>5<4s4>:h7?j2:?bg5<6;m16n?:512f8yv7>u240f95`7<5hhh6<=k;<`12?74l2wx=4:8:180826l3;n<63nc5827a=:j;81=>j4}r3:0=<72:q68{t90>26=4<{<62`?7cm27jo?4>3e9>f7e=9:n0q~?64`83>6}:<8n1=ij4=`ab>45c34h947?d;03`>;fkj0:?i52b22956b04b=:9i01lm7:01g?8d4:3;8h6s|186g>5<4s4>:h7u240f965g<5hn;6<=k;<`00?74l2wx=4:i:180826l38;563nd3827a=:j:<1=>j4}r3:15<72:q68{t90?:6=4<{<62`?7am27jh54>3e9>f6g=9:n0q~?65383>6}:<8n1=k=4=`fb>45c34h8i7?d;3f<>;fl?0:?i52b52956b04b=9mi01ljj:01g?8d4k3;8h6s|1876>5<5s4>3<7?:01g?82?;388=63;858272=z{83>47>54z?7<4<4j11685<5125891?c289o70o;6;01<>{t90?26=4:{<6;6?46827?5:4>3e9>0gb=9:n019mi:014?82c839i46s|187b>5<5s4>3>7=l5:?:3<<4991v<7:b;296~;30;08==5249195610=5=:8:019m>:333?82d:38:<63;d080f==z{83>h7>52z?7<6<4k<165:o53028yv7>=l0;6?u24979561<5=236>??;|q2=0`=83039i463679816f=:1191>?o4=8:7>74d343397<=9:p5<072909w0:75;1`1>;>?o08==5rs0;55?6=;r7?484<119>0=0=9:=01968:014?xu61?81<767734>247?;>?k08==5rs0;50?6=:r7?444>369>0=e=;8:0q~?66483>2}:<131><>4=5;:>6d?343<47<=b:?:3c<5:h1655=523`89<>32;8i70775;01f>{t90<=6=4<{<6;=?56827?4l4>369>0=d=9:=0q~?66683>7}:<1h1?<>4=5;:>45c3ty:5;650;0x91>d2:i>7078c;124>{t90<26=4={<6;`?5d=27j>54<119~w4?1i3:1?v3;8e8055=:<1o1=>94=5:e>4503ty:5;l50;0x91>b2:;;70:60;303>{t903e9~w4?1l3:1>v3;9180g0=:1>n1?<>4}r3:2`<72:q684>5302891?6289<70:62;303>{t903e9~w4?083:1>v3;92827a=:7p}>96094?4|5=3?6<=k;<6b3?5e02wx=49<:18082><39i463:04827a=:>kn1?i;4}r3:31<72;q684;512f89<102:;;7p}>96794??|5=3>6>l7;<6:g?74l272;:4=289>=2?=:;h0149n:30b?8g4n389563n41816f=:i=;1>?j4=`61>74f3ty:5:850;0x91?1289o70788;124>{t90=<6=48{<6:2?5e0272;:4=2`9>=2>=:;301496:30:?8?0m389n63n3g816d=:i=:1>?o4}r3:3=<72;q684953c:89=ef2hi0q~?67883>7}:<0i1?o64=8::>74e3ty:5:o50;0x91?c2:h370:l0;024>{t90=i6=4:{<6:a?74l27?m=4==g=:;k0146i:30b?8g4l389n6s|185`>5<4s4>2i7=m8:?:523c8yv7>?m0;68u248d956b<5=k?6>l7;<;;3?45127j?h4=2c9>e17=:;k0q~?67d83>7}:<0l1?o64=8:;>74>3ty:5:h50;`x91g7289o70:n8;1a<>;>0>09>l5299:967g<50226?==e=:;k0146j:30b?8g3?389463n4e816==z{833<7>52z?7e4<6;m16m9?53028yv7>080;6>u24`397g><502h6?<6;<;;`?45i2wx=46=:18182f:3;8h636818055=z{833?7>58z?7e7<4j116557523;89<>d2;8i7077d;01=>;>0l09>45299d967?<503;6?<6;52z?7e6<4j1168nl52028yv7>0?0;6?u24`6956b<5h9m6>??;|q2==1=838p19o::01g?8g3839:<6s|18:;>5<5s4>j:7?52z?7e<<4j1168om512f8yv7>0j0;65u24`c97g><50=j6?<6;<;;4?45i2724;4=289>e6g=:;k01l=i:30`?8g39389n63n43816<=z{833h7>53z?7eg<6;>168lm5125891gb2;9:7p}>99g94?5|5=ki6?=?;<6bg?5d=27j>44<119~w4??n3:1>v3;ac8055=:i931=>j4}r3:=5<72;f:109>452a3;967?0db=:::019oj:2a6?8??939:<6s|18;1>5<5s4>jh7=>0:?b4d<6;m1v<763;291~;3il09==5296a967g<502:6?<6;54=2`9~w4?><3:1?v3;ag8272=:94=5`1>7563ty:54;50;1x91ga2;9;70:m0;1`1>;f:h08==5rs0;:2?6=:r7?mk4<119>e5d=9:n0q~?69683>1}:<>4=852>74>34k;n7=m8:?b6d<5:01v<768;297~;3j809?=524c097f3<50296>??;|q2=:233?8g7k3;8h6s|18;b>5<3s4>i>7<>0:?:34<5:h1655<523;89d6d2:h37p}>98`94?5|5=h86<=8;<6a1?56827?n:4>369~w4?>k3:1?v3;b28175=:6773ty:54j50;0x91d42:;;70o?e;30`>{t903n6=4<{<6a0?74?27?n;4>369>0g1=::;0q~?69g83>6}:1>>>4=5`5>6e2343387=>0:p5;f8m0:?i5rs0;b5?6=:r7?n84==3=;8:0q~?6a383>0}:<>4=85a>74f343i:0;68u24c59646<50=i6?<6;<;4`?45i2724>4=289>e5c=;k20q~?6a583>6}:94=5`:>45034>io7=m8:p5w0:m8;024>;3no0:?i526cf9ef=:i9l1?o64=`0a>74>3ty:5l850;1x91d?2:i>70:m9;004>;f:k08==5rs0;b3?6=;r7?n54=309>0gg=9:=019lm:014?xu61h21<767734k;j7?290>w0:ma;024>;2890:?i526cf9e`=:i8:1?o64=`0`>74>3ty:5lo50;1x91df2:i>70:mb;004>;f:j08==5rs0;bf?6=:r7?no4<119>e46=9:n0q~?6ab83>6}:45c3439:<6s|18cf>5<4s4>ii7=m8:?b4c<4jh16m<>53cc8yv7>io0;6>u24cd9561<5=i:6?=>;<6`3?74l2wx=4l?:18182en39:<63;c9827a=z{83i=7>52z?7g5<6;>168n<53028yv7>j;0;6?u24b297f3<50236>??;|q2=g5=838p19m?:233?82d93;8;6s|18`7>5<5s4>h=7=l5:?:<2<4991v<7m5;296~;3k;0:?:524b197460f4=;j?01466:233?xu61k=1<745034>h87=>0:p5;f4e11=;8:0q~?6b`83>7}:1=>94=5a6>6773ty:5ol50;0x91e32:i>7077a;124>{t90hh6=4={<6`1?74?27?o;4<119~w4?el3:1>v3;c480g0=:11h1?<>4}r3:f`<72;q68n8520289d2e2:;;7p}>9cd94?4|5=i=6>m:;?m4}r3:g4<72:q68n75125891ef2;9:70:k0;30`>{t90i96=4={<6`=?56827?h<4>3e9~w4?d;3:1>v3;c`8272=:4}r3:g1<72;q68no53b789<>c2:;;7p}>9b794?4|5=ii6<=8;<6`g?5682wx=4m9:18182dj39h96368b8055=z{83h;7>52z?7gf<6;>168nj53028yv7>k10;69u24ba9646<5h936>l7;v3;cb80g0=:11o1?<>4}r3:gd<72;q68nj5125891eb2:;;7p}>9b`94?4|5=io6>m:;<;;b?5682wx=4ml:18182dm3;8;63;cg8055=z{83hh7>52z?7g`<59916m9o53028yv7>kl0;6?u24bg97f3<503;6>??;|q2=f`=83>p19mi:333?8g4?39i463n3e816<=:i=h1>?64}r3:`5<72;q68nh53b789d2?2:;;7p}>9e394?4|5=n96<=k;<6g1?5682wx=4j=:18782c:39i463;d28155=:1><1>?o4=`1:>74f3ty:5i=50;0x91b4289<70:k6;124>{t90n?6=4={<6g7?5d=2725?4<119~w4?c=3:1?v3;d28055=:1=>94=5f6>4503ty:5i850;0x91b32:;;70:k7;303>{t90n<6=4={<6g2?5d=2725<4<119~w4?c03:1>v3;d680g0=:i;n1?<>4}r3:`<<72;q68i95223891b?289o7p}>9ec94?2|5=n36>l7;<;:7?45i272594=2`9>=<3=:;30q~?6dc83>6}:94=5fa>67734>oh7?<7:p5;3lm08o85298197460a?=;8:01l?<:01g?xu61mo1<7=t=5fb>45034>oo7?<7:?7`a<5;81v<7kf;297~;3lh09?=524ea97f3<503?6>??;|q2=`6=838p19jn:233?8g6:3;8h6s|18g2>5<5s4>on7=l5:?:=0<4991v<7j2;297~;3ll09?=524d397f3<5h8n6>??;|q2=`5=838p19jj:233?8g6=3;8h6s|18g7>5<4s4>oj7?<7:?7a5<6;>168h?52238yv7>m<0;6>u24ed9666<5=o;6>m:;<;:2?5682wx=4k9:18182cn39:<63n15827a=z{83n;7>54z?7a5<599165;8523;894;1a<>{t90o36=4:{<6f5?468272:;4=2`9>=3c=:;301l?::2`;?8g5m38956s|18g:>5<5s4>n>7?0`5=9:=019k::233?82b?3;8;6s|18g`>5<4s4>n?7<<0:?7a2<4k<1654653028yv7>mm0;6?u24d19746<5h;36<=k;|q2=`c=839p19k;:014?82b>3;8;63;e68174=z{83nj7>53z?7a1<5;9168h853b7892:;;7p}>9g294?4|5=o?6>??;:18182b=39h96369`8055=z{83m>7>58z?7a3<599169<>512f893562hk01;98:`c89=4b2hk015;;:`c892;8270o>7;1a<>{t90l86=47{<6f3?46827>=<4>3e9>267=i116::95a99><7c=i11648:5a99>=<>=:;301l?7:2`;?xu61o>1<7=t=5g;>75734>nn7=l5:?:=g<4991v<7i5;296~;3m108==52a0c956b0`?=9:=019kn:014?82bj388=6s|18d4>5<4s4>n57<<0:?7ad<4k<1654m53028yv7>n10;6?u24d;9746<5h;26<=k;|q2=c?=832p19kn:333?836?3;8h639558be>;11h0jm637408be>;?>>0jm6369b816<=:i831?o64}r3:bd<721q68hl52028907?289o708:4;c;?80>i3k3706;1;c;?8>1?3k37076b;01=>;f9h08n55rs0;ef?6=;r7?in4>369>0`b=9:=019h?:2`;?xu61oi1<7;t=5g`>77734?::7?e7`=:;30q~?6fe83>6}:75734k9j7=>0:p5<`b2908w0:jc;005>;3ml0:?:524dd95610`b=;8:01l?m:01g?xu6i9:1<7;t=5gf>77734?:97?e66=:;30q~?n0083>6}:75734k8<7=>0:p5d652909w0:jf;124>;f9j0:?i5rs0c37?6=?r7?j=4>3e9>=<>=:;h01476:30b?8?>i38956369c816d=:10i1>?o4=`5e>6d?3ty:m=:50;4x91`6289o70o>7;1ae>;f9108nl52a0;97f3<5h;j6>m:;::18082a939i463n1c80fd=:i8i1?oo4}r3b43<72:q68k<53c:89073289o708md;`5?xu6i9=1<7mt=5d0>f4<5=l86n>4=5d0>g`<5=l86ok4=5d0>gb<5=l86om4=5d0>gd<5=l86oo4=5d0>fd<5=l86no4=5d0>f?<51>:6<9:;|q2e5>=838p19h<:b:89=2628=87p}>a1;94?4|5=l86n94=962>4153ty:m=o50;0x91`42j<015:>:052?xu6i9h1<7f3<51>:6<9?;|q2e5e=838p19h<:b689=2628a1f94?4|5=l86n=4=962>40b3ty:m=k50;0x91`42k3015:>:045?xu6i9l1<7jt=5d0>42?34>m?7?;6:?7b6<6<<168k=5156891`428>870:i3;376>;3n:0:8<524g19516<5=l86<;>;<6e7?72827?j>4>4g9>3`4=9?901542b34=n>7?91:?;6`<6?:1v1;297~;3n:0:8i527d09536<518n6<9=;|q2e44=839p19h<:06`?81b:3;>j6372d8234=z{8k:?7>53z?7b6<6a0694?5|5=l86<:n;<5f6?72l273>h4>6g9~w4g6=3:1?v3;f2820<=:?l81=8m4=90f>40b3ty:m<850;1x91`4289m709j2;360>;?:l0::;5rs0c23?6=:r7?j>40c?=;>i0q~?n1983>7}:61f3ty:m<750;0x91`42:kj70:i9;14=>{t9h;j6=4={<6e7?5f127?j44<799~w4g6j3:1>v3;f280e==:2:==7p}>a0f94?4|5=l86>o9;<6e=?50=2wx=l?j:18182a;39j963;f88031=z{8k:j7>52z?7b6<4i:168k753608yv7f:90;6?u24g197d4<5=l26>9>;|q2e77=838p19h<:2c2?82a139<<6s|1`01>5<5s4>m?7=n0:?7b<<4>o1v94?:3y>0c5=;0o019h6:24g?xu6i;?1<76?c34>m57=9c:p5d412909w0:i3;1:g>;3n008:o5rs0c13?6=:r7?j>4<9c9>0c?=;?k0q~?n2983>7}:60>3ty:m?750;0x91`42:3370:i9;153>{t9h8j6=4={<6e7?5>?27?j44<679~w4g5j3:1>v3;f280=3=:2:a3f94?4|5=l86>7;;<6e=?51;2wx=l52z?7b6<41;168k753738yv7f;90;6?u24g197<7<5=l26>8?;|q2e67=838p19h<:2;3?82a139>j6s|1`11>5<5s4>m?7=7f:?7b<<4=l1v0c5=;1i019h6:27a?xu6i:?1<76>e34>m57=:a:p5d512909w0:i3;1;e>;3n008945rs0c03?6=:r7?j>4<889>0c?=;<20q~?n3983>7}:6303ty:m>750;0x91`42:2<70:i9;162>{t9h9j6=4={<6e7?5?>27?j44<549~w4g4j3:1>v3;f280<0=:2:?87p}>a2f94?4|5=l86>l<;<6e=?5?:2wx=l=j:18182a;39i>63;f880<4=z{8k8j7>52z?7b6<4j8168k753928yv7f<90;6?u24g197g6<5=l26>9i;|q2e17=838p19h<:2ce?82a1395<5s4>m?7=ne:?7b<<4?m1v0c5=;03019h6:24;?xu6i=?1<76>b34>m57=:d:p5d212909w0:i3;1;7>;3n0089?5rs0c73?6==r7?j>46e:?7b1<>m27?j846e:?7b3<>m27=ni4>e49~w4g303:19v3;f28:g>;3n=02o63;f48:g>;3n?02o639be82a6=z{8k?57>55z?7b6<>j27?j946b:?7b0<>j27?j;46b:?5fa<6m;1v;3n<02m63;f78:e>;1jm0:i<5rs0c7f?6==r7?j>469:?7b1<>127?j8469:?7b3<>127=ni4>e19~w4g3k3:19v3;f28:<>;3n=02463;f48:<>;3n?024639be82`c=z{8k?h7>55z?7b6<>?27?j9467:?7b0<>?27?j;467:?5fa<6ll1v;3n<02:63;f78:2>;1jm0:hi5rs0c7b?6==r7?j>4n7:?7b1;3n=0j:63;f48b2>;3n?0j:639be814f=z{8k>=7>55z?7b6;3n<0j863;f78b0>;1jm094n3:?7b1;3n=0j>63;f48b6>;3n?0j>639be814==z{8k>97>55z?7b6;3n<0j<63;f78b4>;1jm0:j>5rs0c63?6==r7?j>46f:?7b1<>n27?j846f:?7b3<>n27=ni4>e99~w4g203:19v3;f28:1>;3n=02963;f48:1>;3n?029639be82`f=z{8k>57>5cz?7b1749~w4g2i3:1>v3;f58`<>;?>>0:;>5rs0c6f?6=:r7?j94l7:?;22<6?;1vh7>52z?7b1719~w4g2m3:1>v3;f58`0>;?>>0::k5rs0c6b?6=:r7?j94l3:?;22<6>l1v5dz?7b1<6<1168k:5154891`328>>70:i4;370>;3n=0:8>524g69514<5=l?6<:>;<6e0?73827?j94>509>0c2=9<:019h;:06e?8>703;=?637558230=z{8k=>7>53z?7b1<6a7194?5|5=l?6<:k;<:3739~w4g1<3:1?v3;f5820f=:0921=8h4=977>4163ty:m;;50;1x91`328>i706?8;36a>;?==0:;=5rs0c52?6=;r7?j94>4`9><5>=942>342;47?:c:?;11<6>l1v5<5s4>m87=nb:?7bd<4?h1v0c2=;h3019hn:25;?xu6i?n1<76g?34>mm7=87:p5d0b2909w0:i4;1b3>;3nh08;;5rs0c5b?6=:r7?j940cg=;>?0q~?n7183>7}:1?l;4=5db>6133ty:m:?50;0x91`32:k870:ia;146>{t9h=96=4={<6e0?5f:27?jl4<709~w4g0;3:1>v3;f580e4=:4}r3b31<72;q68k:53`2891`f2:a6794?4|5=l?6>7i;<6ee?51m2wx=l99:18182a<392i63;f`802a=z{8k<;7>52z?7b1<41m168ko537a8yv7f?10;6?u24g6978m;|q2e2?=838p19h;:2;a?82ai39=m6s|1`5b>5<5s4>m87=6a:?7bd<4>01v0c2=;0=019hn:245?xu6i>n1<76?134>mm7=95:p5d1b2909w0:i4;1:1>;3nh08:95rs0c4b?6=:r7?j94<959>0cg=;?90q~?n8183>7}:1?4=4=5db>6053ty:m5?50;0x91`32:3970:ia;155>{t9h296=4={<6e0?5>927?jl4<619~w4g?;3:1>v3;f580=5=:a9794?4|5=l?6>6k;<6ee?52k2wx=l69:18182a<393o63;f`801g=z{8k3;7>52z?7b1<40k168ko534c8yv7f010;6?u24g697=g<5=lj6>;6;|q2e=?=838p19h;:2::?82ai39>46s|1`:b>5<5s4>m87=78:?7bd<4=>1v0c2=;1<019hn:276?xu6i1n1<76>234>mm7=:4:p5d>b2909w0:i4;1;0>;3nh089>5rs0c;b?6=:r7?j940cg=;180q~?n9183>7}:1?o<4=5db>6>63ty:m4?50;0x91`32:h:70:ia;1;4>{t9h396=4={<6e0?5e827?jl4<7g9~w4g>;3:1>v3;f580ec=:a8794?4|5=l?6>o;;<6ee?50;2wx=l79:18182a<392563;f`802==z{8k2;7>52z?7b1<40l168ko534f8yv7f110;6?u24g697=5<5=lj6>;=;|q2ea8c94?4|5=l>6ok4=777>40e3ty:m4l50;0x91`22kn01;;;:04b?xu6i0i1<7ge<5???6<86;|q2ea8g94?4|5=l>6oo4=777>4003ty:m4h50;0x91`22jh01;;;:054?xu6ih:1<7fg<5???6<99;|q2ed7=838p19h::b;8933328=>7p}>a`094?4|5=l>6n64=777>4143ty:ml=50;0x91`22j=01;;;:051?xu6ih>1<7f0<5???6<9>;|q2ed3=838p19h::b78933328=;7p}>a`494?4|5=l>6n:4=777>40a3ty:ml950;0x91`22j901;;;:04f?xu6ih21<7g?<5???6<89;|q2ed?=839p19h::066?83f=3;>n63930822a=z{8kjm7>53z?7b0<6<=169l;514;8935628a``94?5|5=l>6<:<;<7b1?72027=?<4>6`9~w4gfk3:1?v3;f48207=:=h?1=894=712>40>3ty:mlj50;1x91`228>:70;n5;362>;1;80::55rs0cba?6=;r7?j84>419>1d3=9:044?xu6ihl1<7=t=5d6>43634?j97?95:?574<6?>1v7>53z?7b0<6ac194?5|5=l>6<:k;<7b1?71827=?<4>739~w4ge<3:1?v3;f4820f=:=h?1=8h4=712>4163ty:mo;50;1x91`228>i70;n5;36a>;1;80:;=5rs0ca2?6=;r7?j84>4`9>1d3=9:04e?xu6ik=1<7=t=5d6>42>34?j97?:c:?574<6>l1v5<5s4>m97=nb:?7ba<4?h1v0c3=;h3019hk:25;?xu6ikn1<76g?34>mh7=87:p5ddb2909w0:i5;1b3>;3nm08;;5rs0cab?6=:r7?j840cb=;>?0q~?nc183>7}:6133ty:mn?50;0x91`22:k870:id;146>{t9hi96=4={<6e1?5f:27?ji4<709~w4gd;3:1>v3;f480e4=:4}r3bg1<72;q68k;53`2891`c2:ab794?4|5=l>6>7i;<6e`?51m2wx=lm9:18182a=392i63;fe802a=z{8kh;7>52z?7b0<41m168kj537a8yv7fk10;6?u24g7978m;|q2ef?=838p19h::2;a?82al39=m6s|1`ab>5<5s4>m97=6a:?7ba<4>01v0c3=;0=019hk:245?xu6ijn1<76?134>mh7=95:p5deb2909w0:i5;1:1>;3nm08:95rs0c`b?6=:r7?j84<959>0cb=;?90q~?nd183>7}:6053ty:mi?50;0x91`22:3970:id;155>{t9hn96=4={<6e1?5>927?ji4<619~w4gc;3:1>v3;f480=5=:ae794?4|5=l>6>6k;<6e`?52k2wx=lj9:18182a=393o63;fe801g=z{8ko;7>52z?7b0<40k168kj534c8yv7fl10;6?u24g797=g<5=lo6>;6;|q2ea?=838p19h::2::?82al39>46s|1`fb>5<5s4>m97=78:?7ba<4=>1v0c3=;1<019hk:276?xu6imn1<76>234>mh7=:4:p5dbb2909w0:i5;1;0>;3nm089>5rs0cgb?6=:r7?j840cb=;180q~?ne183>7}:6>63ty:mh?50;0x91`22:h:70:id;1;4>{t9ho96=4={<6e1?5e827?ji4<7g9~w4gb;3:1>v3;f480ec=:ad794?4|5=l>6>o;;<6e`?50;2wx=lk9:18182a=392563;fe802==z{8kn;7>52z?7b0<40l168kj534f8yv7fm10;6?u24g797=5<5=lo6>;=;|q2e`?=838p19h9:cd893?f28adc94?4|5=l=6ok4=7;b>40e3ty:mhl50;0x91`12kn01;7n:04b?xu6ili1<7ge<5?3j6<86;|q2e`b=838p19h9:c`893?f28<37p}>adg94?4|5=l=6oo4=7;b>4003ty:mhh50;0x91`12jh01;7n:054?xu6io:1<7fg<5?3j6<99;|q2ec7=838p19h9:b;893?f28=>7p}>ag094?4|5=l=6n64=7;b>4143ty:mk=50;0x91`12j=01;7n:051?xu6io>1<7f0<5?3j6<9>;|q2ec3=838p19h9:b7893?f28=;7p}>ag494?4|5=l=6n:4=7;b>40a3ty:mk950;0x91`12j901;7n:04f?xu6io21<7g?<5?3j6<89;|q2ec?=839p19h9:066?83bj3;>n63976822a=z{8kmm7>53z?7b3<6<=169hl514;8931028ag`94?5|5=l=6<:<;<7ff?72027=;:4>6`9~w4gak3:1?v3;f78207=:=lh1=894=754>40>3ty:mkj50;1x91`128>:70;jb;362>;1?>0::55rs0cea?6=;r7?j;4>419>1`d=943634?nn7?95:?532<6?>1v7>53z?7b3<6b1194?5|5=l=6<:k;<7ff?71827=;:4>739~w4d7<3:1?v3;f7820f=:=lh1=8h4=754>4163ty:n=;50;1x91`128>i70;jb;36a>;1?>0:;=5rs0`32?6=;r7?j;4>4`9>1`d=942>34?nn7?:c:?532<6>l1v5<5s4>m:7=nb:?7b`<4?h1v0c0=;h3019hj:25;?xu6j9n1<76g?34>mi7=87:p5g6b2909w0:i6;1b3>;3nl08;;5rs0`3b?6=:r7?j;40cc=;>?0q~?m1183>7}:6133ty:n{t9k;96=4={<6e2?5f:27?jh4<709~w4d6;3:1>v3;f780e4=:4}r3a51<72;q68k853`2891`b2:b0794?4|5=l=6>7i;<6ea?51m2wx=o?9:18182a>392i63;fd802a=z{8h:;7>52z?7b3<41m168kk537a8yv7e910;6?u24g4978m;|q2f4?=838p19h9:2;a?82am39=m6s|1c3b>5<5s4>m:7=6a:?7b`<4>01vb;296~;3n?0855524gg97310c0=;0=019hj:245?xu6j8n1<76?134>mi7=95:p5g7b2909w0:i6;1:1>;3nl08:95rs0`2b?6=:r7?j;4<959>0cc=;?90q~?m2183>7}:6053ty:n??50;0x91`12:3970:ie;155>{t9k896=4={<6e2?5>927?jh4<619~w4d5;3:1>v3;f780=5=:b3794?4|5=l=6>6k;<6ea?52k2wx=o<9:18182a>393o63;fd801g=z{8h9;7>52z?7b3<40k168kk534c8yv7e:10;6?u24g497=g<5=ln6>;6;|q2f7?=838p19h9:2::?82am39>46s|1c0b>5<5s4>m:7=78:?7b`<4=>1vn4?:3y>0c0=;1<019hj:276?xu6j;n1<76>234>mi7=:4:p5g4b2909w0:i6;1;0>;3nl089>5rs0`1b?6=:r7?j;40cc=;180q~?m3183>7}:6>63ty:n>?50;0x91`12:h:70:ie;1;4>{t9k996=4={<6e2?5e827?jh4<7g9~w4d4;3:1>v3;f780ec=:b2794?4|5=l=6>o;;<6ea?50;2wx=o=9:18182a>392563;fd802==z{8h8;7>52z?7b3<40l168kk534f8yv7e;10;6?u24g497=5<5=ln6>;=;|q2f6?=83np19h8:b0891`02j:019h8:cd891`02ko019h8:cf891`02ki019h8:c`891`02kk019h8:b`891`02jk019h8:b;892g>28=>7067a;30`>{t9k9j6=4<{<6e3?e?34=j57?83:?;0h;638a88237=:01i1=>j4}r3a7f<72:q68k95c79>3d?=9>;0156k:01g?xu6j:n1<7=t=5d4>f3<5>k26<9?;<:;a?74l2wx=o=j:18082a?3i?709n9;35b>;?0o0:?i5rs0`0b?6=;r7?j:4l3:?4e<<6>l1644>512f8yv7e<90;6>u24g59f<=:?h31=;84=9;2>45c3ty:n9?50;0x91`02:=h70:i9;1b`>{t9k>96=4={<6e3?50i27?j44v3;f6803<=:2:k27p}>b5794?4|5=l<6>98;<6e=?5f02wx=o:9:18182a?39<:63;f880e2=z{8h?;7>52z?7b2<4?<168k753`48yv7e<10;6?u24g59722<5=l26>o:;|q2f1?=838p19h8:251?82a139j?6s|1c6b>5<5s4>m;7=81:?7b<<4i;1v08;=524g;97d70c1=;?l019h6:2c3?xu6j=n1<760b34>m57=6f:p5g2b2909w0:i7;15`>;3n0085h5rs0`7b?6=:r7?j:4<6b9>0c?=;0n0q~?m5183>7}:6?d3ty:n8?50;0x91`02:{t9k?96=4={<6e3?51127?j44<9`9~w4d2;3:1>v3;f68022=:2:3<7p}>b4794?4|5=l<6>8:;<6e=?5>>2wx=o;9:18182a?39=863;f880=0=z{8h>;7>52z?7b2<4>:168k753868yv7e=10;6?u24g59734<5=l26>7<;|q2f0?=838p19h8:242?82a1392>6s|1c7b>5<5s4>m;7=90:?7b<<4181v089k524g;97<60c1=;63d34>m57=7d:p5g3b2909w0:i7;16f>;3n0084n5rs0`6b?6=:r7?j:4<5`9>0c?=;1h0q~?m6183>7}:6>f3ty:n;?50;0x91`02:?370:i9;1;=>{t9k<96=4={<6e3?52?27?j44<899~w4d1;3:1>v3;f68013=:2:2=7p}>b7794?4|5=l<6>;;;<6e=?5?=2wx=o89:18182a?39>?63;f880<1=z{8h=;7>52z?7b2<40;168k753c18yv7e>10;6?u24g597=7<5=l26>l=;|q2f3?=838p19h8:2:3?82a139i=6s|1c4b>5<5s4>m;7=8f:?7b<<4j91v08;h524g;97d`0c1=;>n019h6:2cf?xu6j?n1<761434>m57=n4:p5g0b2909w0:i7;15<>;3n008545rs0`5b?6=:r7?j:4<5e9>0c?=;1o0q~?m7183>7}:6>43ty:n:?50;fx91`028>370:i7;372>;3n>0:88524g59512<5=l<6<:<;<6e3?73:27?j:4>409>0c1=9=:019h8:072?82a?3;><63;f6820c=:?0<1=:;4=9`1>45c3ty:n:<50;1x91`028>n70966;347>;?j:0:?i5rs0`47?6=;r7?j:4>4e9>3<0=9>8015l;:01g?xu6j>>1<7=t=5d4>42d34=2:7?81:?;f0<6;m1v0:8o527849526<51h=6<=k;|q2f20=839p19h8:06b?81>>3;=j637b6827a=z{8h<;7>53z?7b2<6<016;48517g89=d?289o7p}>b6:94?5|5=l<6<=i;<5:2?71>273n44>3e9~w4d013:19v3;f68:a>;3n102i63;fc8:a>;3nj02i6386g82a0=z{8h55z?7b2<>k27?j546c:?7bg<>k27?jn46c:?42c<6m:1v02n63;f98:f>;3nk02n63;fb8:f>;0>o0:i?5rs0`4g?6==r7?j:46a:?7b=<>i27?jo46a:?7bf<>i27<:k4>e09~w4d0l3:19v3;f68:=>;3n102563;fc8:=>;3nj0256386g82a5=z{8h55z?7b2<>027?j5468:?7bg<>027?jn468:?42c<6lo1v02;63;f98:3>;3nk02;63;fb8:3>;0>o0:hh5rs0`;4?6==r7?j:466:?7b=<>>27?jo466:?7bf<>>27<:k4>de9~w4d?93:19v3;f68b3>;3n10j;63;fc8b3>;3nj0j;6386g814a=z{8h3>7>55z?7b227?j54n6:?7bg27?jn4n6:?42c<58j1v0j963;f98b1>;3nk0j963;fb8b1>;0>o09;3n10j?63;fc8b7>;3nj0j?6386g814<=z{8h3:7>55z?7b20j=63;f98b5>;3nk0j=63;fb8b5>;0>o0:jh5rs0`;f29~w4d?13:19v3;f68:b>;3n102j63;fc8:b>;3nj02j6386g82a==z{8h3m7>55z?7b2<>=27?j5465:?7bg<>=27?jn465:?42c<6lj1v63;f98`4>;3n10ij63;f98aa>;3n10ih63;f98ag>;3n10in63;f98ae>;3n10hn63;f98`e>;3n10h5638cg8230=:0>k1=>j4}r3a3f`=9>90159m:01g?xu6j1n1<7=t=5d;>f1<5>im6<9=;<:4g?74l2wx=o6j:18082a03i=709lf;345>;??m0:?i5rs0`;b?6=;r7?j54l5:?4gc<6?9164:k512f8yv7e190;6>u24g:9g1=:?jl1=;h4=95e>45c3ty:n4?50;1x91`?2j901:mi:04f?8>?83;8h6s|1c;1>5<4s4>m47l6;<5`b?71>2734<4>3e9~w4d>;3:1>v3;f9803f=:b8794?4|5=l36>96;<6ee?5fi2wx=o79:18182a039<463;f`80e<=z{8h2;7>52z?7b=<4?>168ko53`:8yv7e110;6?u24g:9720<5=lj6>o8;|q2f5<5s4>m47=84:?7bd<4i<1v0c>=;>;019hn:2c1?xu6j0n1<761734>mm7=n1:p5g?b2909w0:i8;15b>;3nh08m=5rs0`:b?6=:r7?j54<6d9>0cg=;0l0q~?ma183>7}:6?b3ty:nl?50;0x91`?2:{t9kk96=4={<6ev3;f9802d=:b`794?4|5=l36>88;<6ee?5>02wx=oo9:18182a039=:63;f`80=2=z{8hj;7>52z?7b=<4><168ko53848yv7ei10;6?u24g:9732<5=lj6>7:;|q2fd?=838p19h7:240?82ai39286s|1ccb>5<5s4>m47=92:?7bd<41:1v0c>=;?:019hn:2;2?xu6jhn1<763a34>mm7=60:p5ggb2909w0:i8;16a>;3nh084k5rs0`bb?6=:r7?j54<5b9>0cg=;1n0q~?mb183>7}:6>d3ty:no?50;0x91`?2:?j70:ia;1;f>{t9kh96=4={<6ev3;f9801==:bc794?4|5=l36>;9;<6ee?5??2wx=ol9:18182a039>963;f`80<3=z{8hi;7>52z?7b=<4==168ko53978yv7ej10;6?u24g:9705<5=lj6>6;;|q2fg?=838p19h7:2:1?82ai39i?6s|1c`b>5<5s4>m47=71:?7bd<4j;1v0c>=;>l019hn:2`3?xu6jkn1<761b34>mm7=nf:p5gdb2909w0:i8;14`>;3nh08mh5rs0`ab?6=:r7?j54<729>0cg=;h>0q~?mc183>7}:6?>3ty:nn?50;0x91`?2:?o70:ia;1;a>{t9ki96=4={<6e42234>m47?;4:?7b=<6<:168k65150891`?28>:70:i8;374>;3n10:9<524g:9506<5=l36<:i;<5ag?70=2735l4>3e9~w4dd<3:1?v3;f9820`=:?ki1=:=4=9;a>45c3ty:nn;50;1x91`?28>o709mc;346>;?1j0:?i5rs0``2?6=;r7?j54>4b9>3ge=9>;0157k:01g?xu6jj=1<7=t=5d;>42e34=io7?80:?;=`<6;m1v53z?7b=<6;o16;om517489=g6289o7p}>bb`94?b|5=l26n<4=5d:>f6<5=l26oh4=5d:>gc<5=l26oj4=5d:>ge<5=l26ol4=5d:>gg<5=l26nl4=5d:>fg<5=l26n74=6d6>4123422>7?53z?7b<739><<2=9:n0q~?mcd83>6}:6<=k;|q2ff`=839p19h6:b7892`228=;70666;30`>{t9kn;6=4<{<6e=?e334=m97?9f:?;=2<6;m1vj4}r3a`7<72:q68k75b89>3c3=9?<01576:01g?xu6jm91<7jt=5d:>42?34>m57?;6:?7b<<6<<168k75156891`>28>870:i9;376>;3n00:8<524g;9516<5=l26<;>;<6e=?72827?j44>4g9>3<0=9?901:k=:056?xu6jm>1<7=t=5d:>42b34=2:7?91:?4a7<6?:1vo96<9=;|q2fa0=839p19h6:06`?81>>3;>j638e38234=z{8ho;7>53z?7b<<6be:94?5|5=l26<:n;<5:2?72l276g9~w4dc13:1?v3;f8820<=:?0<1=8m4=6g1>40b3ty:nio50;1x91`>289m70966;360>;0m;0::;5rs0`gf?6==r7?j446e:?7bd<>m27?ji46e:?7b`<>m27=j;4>e49~w4dck3:19v3;f88:g>;3nh02o63;fe8:g>;3nl02o639f782a6=z{8hoh7>55z?7b<<>j27?jl46b:?7ba<>j27?jh46b:?5b3<6m;1v;3nm02m63;fd8:e>;1n?0:i<5rs0`gb?6==r7?j4469:?7bd<>127?ji469:?7b`<>127=j;4>e19~w4db83:19v3;f88:<>;3nh02463;fe8:<>;3nl024639f782`c=z{8hn=7>55z?7b<<>?27?jl467:?7ba<>?27?jh467:?5b3<6ll1v;3nm02:63;fd8:2>;1n?0:hi5rs0`f7?6==r7?j44n7:?7bd;3nh0j:63;fe8b2>;3nl0j:639f7814f=z{8hn97>55z?7b<;3nm0j863;fd8b0>;1n?09;3nh0j>63;fe8b6>;3nl0j>639f7814==z{8hn57>55z?7b<;3nm0j<63;fd8b4>;1n?0:j>5rs0`ff?6==r7?j446f:?7bd<>n27?ji46f:?7b`<>n27=j;4>e99~w4dbk3:19v3;f88:1>;3nh02963;fe8:1>;3nl029639f782`f=z{8hnh7>5dz?7bd749><=4=9:n0q~?med83>6}:{t9kl;6=4<{<6ee?e1342:n7?81:?;<0<6;m1vj4}r3ab7<72:q68ko5c59><4d=9?l01568:01g?xu6jo91<7=t=5db>f5<51;i6<8j;<:;b;352>;?000:?i5rs0`e1?6=lr7?jl4>499>0cg=9=<019hn:066?82ai3;?863;f`8206=:42634>mm7?;0:?7bd<6=8168ko5142891`f28>m709mc;357>;?810:;85rs0`e2?6=;r7?jl4>4d9>3ge=9?;015>7:050?xu6jo=1<7=t=5db>42c34=io7?90:?;4=<6?;1v;|q2fc?=839p19hn:06a?81ek3;>i637098235=z{8hmm7>53z?7bd<6bg`94?5|5=lj6<:6;<5ag?72k273<54>6d9~w4dak3:1?v3;f`827c=:?ki1=8:4=92;>4013ty:nkj50;1x91`e2kl018=l:04g?813n3;8h6s|1cdf>5<4s4>mn7lj;<70g?71j27<9=4>3e9~w4dan3:1?v3;fc8a`>;2;j0::l52743956b0cd=jj169>m517;89235289o7p}>c1394?5|5=li6ol4=41`>40?34=>?7?53z?7bg?n4>769>303=9:n0q~?l0583>6}:?=6<=k;|q2g53=839p19hm:b;8905d28=>709:7;30`>{t9j:=6=4<{<6ef?e?34?8o7?83:?41=<6;m1vj4}r3`4=<72:q68kl5c79>16e=9>;01:;n:01g?xu6k931<7=t=5da>f3<5<9h6<9?;<56f?74l2wx=n>n:18082aj3i?70;;0=j0:?i5rs0a3f?6=;r7?jo4l3:?67f<6>l16;8j512f8yv7d8j0;6>u24g`9f<=:=:i1=;84=67f>45c3ty:o=j50;0x91`e2:=h70:id;1b`>{t9j:n6=4={<6ef?50i27?ji4v3;fc803<=:c0394?4|5=li6>98;<6e`?5f02wx=n?=:18182aj39<:63;fe80e2=z{8i:?7>52z?7bg<4?<168kj53`48yv7d9=0;6?u24g`9722<5=lo6>o:;|q2g43=838p19hm:251?82al39j?6s|1b35>5<5s4>mn7=81:?7ba<4i;1v7;296~;3nk08;=524gf97d70cd=;?l019hk:2c3?xu6k831<760b34>mh7=6f:p5f7f2909w0:ib;15`>;3nm085h5rs0a2f?6=:r7?jo4<6b9>0cb=;0n0q~?l1b83>7}:6?d3ty:o{t9j;n6=4={<6ef?51127?ji4<9`9~w4e6n3:1>v3;fc8022=:c3394?4|5=li6>8:;<6e`?5>>2wx=n<=:18182aj39=863;fe80=0=z{8i9?7>52z?7bg<4>:168kj53868yv7d:=0;6?u24g`9734<5=lo6>7<;|q2g73=838p19hm:242?82al392>6s|1b05>5<5s4>mn7=90:?7ba<4181v54?:3y>0cd=;63d34>mh7=7d:p5f4f2909w0:ib;16f>;3nm084n5rs0a1f?6=:r7?jo4<5`9>0cb=;1h0q~?l2b83>7}:6>f3ty:o?j50;0x91`e2:?370:id;1;=>{t9j8n6=4={<6ef?52?27?ji4<899~w4e5n3:1>v3;fc8013=:c2394?4|5=li6>;;;<6e`?5?=2wx=n==:18182aj39>?63;fe80<1=z{8i8?7>52z?7bg<40;168kj53c18yv7d;=0;6?u24g`97=7<5=lo6>l=;|q2g63=838p19hm:2:3?82al39i=6s|1b15>5<5s4>mn7=8f:?7ba<4j91v0cd=;>n019hk:2cf?xu6k:31<761434>mh7=n4:p5f5f2909w0:ib;15<>;3nm08545rs0a0f?6=:r7?jo4<5e9>0cb=;1o0q~?l3b83>7}:6>43ty:o>j50;1x91`e28>>70;>9;35`>;?jh0:?i5rs0a0a?6=;r7?jo4>459>14?=9?h015lm:01g?xu6k:l1<7=t=5da>42434?:57?9a:?;ff<6;m1v7>53z?7bg<6<9169<7517589=da289o7p}>c5194?5|5=li6<;>;<72=?70?273o=4>3e9~w4e3<3:1?v3;fc8215=:=831=:84=9a2>45c3ty:o9;50;1x91`e28>m70;>9;341>;?k;0:?i5rs0a72?6=;r7?jo4>4d9>14?=9>9015m<:01g?xu6k==1<7=t=5da>42c34?:57?82:?;g1<6;m1v6<=k;|q2g1?=839p19hm:06a?83613;<<637c7827a=z{8i?m7>53z?7bg<6c5`94?5|5=li6<:6;<72=?71m273o54>3e9~w4e3k3:1?v3;fc827c=:=831=;84=9a:>45c3ty:o9j50;1x91`d2kl0186=:04g?815n3;8h6s|1b6f>5<4s4>mo7lj;<7;6?71j273e9~w4e3n3:1?v3;fb8a`>;20;0::l52723956b0ce=jj1695<517;89255289o7p}>c4394?5|5=lh6ol4=4:1>40?34=8?7??7>53z?7bf4?4>769>363=9:n0q~?l5583>6}:9=6<=k;|q2g03=839p19hl:b;890>528=>709<7;30`>{t9j?=6=4<{<6eg?e?34?3>7?83:?47=<6;m1vj4}r3`1=<72:q68km5c79>1=4=9>;01:=n:01g?xu6k<31<7=t=5d`>f3<5<296<9?;<50f?74l2wx=n;n:18082ak3i?70;72;35b>;0;j0:?i5rs0a6f?6=;r7?jn4l3:?6<7<6>l16;>j512f8yv7d=j0;6>u24ga9f<=:=181=;84=61f>45c3ty:o8j50;0x91`d2:=h70:ie;1b`>{t9j?n6=4={<6eg?50i27?jh4v3;fb803<=:c7394?4|5=lh6>98;<6ea?5f02wx=n8=:18182ak39<:63;fd80e2=z{8i=?7>52z?7bf<4?<168kk53`48yv7d>=0;6?u24ga9722<5=ln6>o:;|q2g33=838p19hl:251?82am39j?6s|1b45>5<5s4>mo7=81:?7b`<4i;1v0ce=;?l019hj:2c3?xu6k?31<760b34>mi7=6f:p5f0f2909w0:ic;15`>;3nl085h5rs0a5f?6=:r7?jn4<6b9>0cc=;0n0q~?l6b83>7}:6?d3ty:o;j50;0x91`d2:{t9jv3;fb8022=:c6394?4|5=lh6>8:;<6ea?5>>2wx=n9=:18182ak39=863;fd80=0=z{8i52z?7bf<4>:168kk53868yv7d?=0;6?u24ga9734<5=ln6>7<;|q2g23=838p19hl:242?82am392>6s|1b55>5<5s4>mo7=90:?7b`<4181v0ce=;31<763d34>mi7=7d:p5f1f2909w0:ic;16f>;3nl084n5rs0a4f?6=:r7?jn4<5`9>0cc=;1h0q~?l7b83>7}:6>f3ty:o:j50;0x91`d2:?370:ie;1;=>{t9j=n6=4={<6eg?52?27?jh4<899~w4e0n3:1>v3;fb8013=:c9394?4|5=lh6>;;;<6ea?5?=2wx=n6=:18182ak39>?63;fd80<1=z{8i3?7>52z?7bf<40;168kk53c18yv7d0=0;6?u24ga97=7<5=ln6>l=;|q2g=3=838p19hl:2:3?82am39i=6s|1b:5>5<5s4>mo7=8f:?7b`<4j91v0ce=;>n019hj:2cf?xu6k131<761434>mi7=n4:p5f>f2909w0:ic;15<>;3nl08545rs0a;f?6=:r7?jn4<5e9>0cc=;1o0q~?l8b83>7}:6>43ty:o5j50;1x91`d28>>70;:f;35`>;?i;0:?i5rs0a;a?6=;r7?jn4>459>10`=9?h015o<:01g?xu6k1l1<7=t=5d`>42434?>j7?9a:?;e1<6;m1v6<=k;|q2g<7=839p19hl:062?832n3;=4637a7827a=z{8i2>7>53z?7bf<6<91698h517589=g0289o7p}>c8194?5|5=lh6<;>;<76b?70?273m54>3e9~w4e><3:1?v3;fb8215=:=45c3ty:o4;50;1x91`d28>m70;:f;341>;?ih0:?i5rs0a:2?6=;r7?jn4>4d9>10`=9>9015om:01g?xu6k0=1<7=t=5d`>42c34?>j7?82:?;ef<6;m1v53z?7bf<6c8`94?5|5=lh6<:6;<76b?71m273n=4>3e9~w4e>k3:1?v3;fb827c=:=45c3ty:o4j50;1x91`c2kl018m7:04g?812n3;8h6s|1b;f>5<4s4>mh7lj;<7`3e9~w4e>n3:1?v3;fe8a`>;2k10::l52773956b0cb=jj169n6517;89205289o7p}>c`394?5|5=lo6ol4=4a;>40?34==?7?53z?7bao54>769>333=9:n0q~?la583>6}:<=6<=k;|q2gd3=839p19hk:b;890e?28=>70997;30`>{t9jk=6=4<{<6e`?e?34?h47?83:?42=<6;m1vj4}r3`e=<72:q68kj5c79>1f>=9>;01:8n:01g?xu6kh31<7=t=5dg>f3<5;0>j0:?i5rs0abf?6=;r7?ji4l3:?6g=<6>l16;;j512f8yv7dij0;6>u24gf9f<=:=j21=;84=64f>45c3ty:olj50;1x91`c28>>70;>9;36f>;2i<0::i5rs0aba?6=;r7?ji4>459>14?=9<3018o::04a?xu6khl1<7=t=5dg>42434?:57?:8:?6e0<6>h1v6<86;|q2gg7=839p19hk:062?83613;>:63:a4822==z{8ii>7>53z?7ba<6<9169<75147890g228<<7p}>cc194?5|5=lo6<;>;<72=?71=27>m84>769~w4ee<3:1?v3;fe8215=:=831=;:4=4c6>4113ty:oo;50;1x91`c28>m70;>9;357>;2i<0:;85rs0aa2?6=;r7?ji4>4d9>14?=9?;018o::050?xu6kk=1<7=t=5dg>42c34?:57?90:?6e0<6?;1v6<9>;|q2gg?=839p19hk:06a?83613;>i63:a48235=z{8iim7>53z?7ba<6cc`94?5|5=lo6<:6;<72=?72k27>m84>6d9~w4eek3:1?v3;fe827c=:=831=8:4=4c6>4013ty:ooj50;1x91`b2kl01;>j:04g?814n3;8h6s|1b`f>5<4s4>mi7lj;<43a?71j27<8=4>3e9~w4een3:1?v3;fd8a`>;18l0::l52753956b0cc=jj16:=k517;89225289o7p}>cb394?5|5=ln6ol4=72f>40?34=??7?53z?7b`769>313=9:n0q~?lc583>6}:>=6<=k;|q2gf3=839p19hj:b;8936b28=>709;7;30`>{t9ji=6=4<{<6ea?e?34<;i7?83:?40=<6;m1vj4}r3`g=<72:q68kk5c79>25c=9>;01::n:01g?xu6kj31<7=t=5df>f3<5?:n6<9?;<57f?74l2wx=nmn:18082am3i?708?e;35b>;0l16;9j512f8yv7dkj0;6>u24gg9f<=:>9o1=;84=66f>45c3ty:onj50;1x91`b28>>70;:f;36f>;2mk0::i5rs0a`a?6=;r7?jh4>459>10`=9<3018km:04a?xu6kjl1<7=t=5df>42434?>j7?:8:?6ag<6>h1v:63:ec822==z{8io>7>53z?7b`<6<91698h5147890ce28<<7p}>ce194?5|5=ln6<;>;<76b?71=27>io4>769~w4ec<3:1?v3;fd8215=:=4113ty:oi;50;1x91`b28>m70;:f;357>;2mk0:;85rs0ag2?6=;r7?jh4>4d9>10`=9?;018km:050?xu6km=1<7=t=5df>42c34?>j7?90:?6ag<6?;1v;|q2ga?=839p19hj:06a?832n3;>i63:ec8235=z{8iom7>53z?7b`<6ce`94?5|5=ln6<:6;<76b?72k27>io4>6d9~w4eck3:1?v3;fd827c=:=4013ty:oij50;1x91`a2:h370;?2;30`>;1n?0jo6s|1bff>5<4s4?;<7=m8:?646<6;m16:k85ad9~w4ecn3:1?v3:0080f==:=9>1=>j4=7d5>g6154=;k201:8i:`a8yv7dm80;6?u251197g><5>6}:=9?1?o64=425>45c34;0>o08h85rs0af1?6=>r7><:4>3e9>144=;k2018m7:2f7?807m39o8638f480`1=:08h1?i:4}r3`a3<72?q69=953c:8905d2:n?70;72;1g0>;0i008h9527bd97a2<503m6?7:01g?836;39i463:a480`1=:=lh1?i:4=6g1>6b3342;47=k4:?ba5<6;m1vj;;<5:2?5c<27eae=9:n0q~?le883>6}:=931=>j4=437>6d?346:2`;?811n3h=7p}>cd`94?5|5<:j6<=k;<721?5e027=j;4m4:p5fcd2909w0;?a;1a<>;0>o0i86s|1bgg>5<4s4?;n7?v3:0c80f==:??l1n?5rs0afb?6=>r7>3e9>141=;k2018m7:`c8936b2hk01:h::`c89=7e2hk0q~?lf183>0}:=9i1?o64=41`>dg<5<296lo4=6c:>dg<5>im6lo4}r3`b4<72?q69=j512f8907?2:h370;l8;c;?807m3k3709i5;c;?8>6j3k37p}>cg094?3|5<:o6>l7;<70g?g?34?3>7o7;<5b=?g?34=hj7o7;|q2gc5=83j:01g?836839i463:a48be>;2mk0jm638e38be>;?810jm6s|1bd7>5<2s4?;i7=m8:?65<9k4na:?4=3;29808n5525`79e==:=lh1m5527d09e==:0921m55rs0ae2?6==r7>14?=i11698h5a99>3<0=i116;om5a99~w4ea?3:1;v3:13827a=:><>1?i:4=7;b>6b3342?=7=k4:?;22<4l=16m8?53c:89gc1289o7p}>cg:94?1|5<;86<=k;<405?5c<27=;:4<7c=;m>015;;:2f7?8d?=39i463me9827a=z{8im57>52z?67f<6=k164oo53c:8yv7dnh0;6?u252a950?<51hi6>l7;|q2gcd=838p18=l:07;?8>ek39i46s|1bd`>5<5s4?8o7?:7:?;fa<4j11v16e=9402342h<7=m8:p5a672909w0;;?k808n55rs0f35?6=:r7>?n4>629>7}:=:i1=;?4=9a0>6d?3ty:h==50;0x905d28<;706l4;1a<>{t9m:?6=4={<70g?72n273o84v3:3b821`=:0j<1?o64}r3g43<72;q69>m514f89=e02:h37p}>d1594?4|5<9h6<;l;<:`7:181834k3;>8637c880f==z{8n;57>52z?6<7<6=k164l<53c:8yv7c8h0;6?u2590950?<51k86>l7;|q2`5d=838p186=:07;?8>f<39i46s|1e2`>5<5s4?3>7?:7:?;e0<4j11v1=4=9402342j47=m8:p5a772909w0;72;350>;?i008n55rs0f25?6=:r7>4?4>629>7}:=181=;?4=9ca>6d?3ty:h<=50;0x90>528<;706nc;1a<>{t9m;?6=4={<7;6?72n273mi4v3:83821`=:0ho1?o64}r3g53<72;q695<514f89=ga2:h37p}>d0594?4|5<296<;l;<:a4?5e02wx=i?7:18183?:3;>8637b080f==z{8n:57>52z?6g=<6=k16;9h53c:8yv7c9h0;6?u25b:950?<5>?;6>l7;|q2`4d=838p18m7:07;?812939i46s|1e3`>5<5s4?h47?:7:?417<4j11vd;296~;2k10:9;5274197g>1f>=940234=>97=m8:p5a472909w0;l8;350>;0=?08n55rs0f15?6=:r7>o54>629>301=;k20q~?k2383>7}:=j21=;?4=67;>6d?3ty:h?=50;0x90e?28<;709:9;1a<>{t9m8?6=4={<7`v3:c9821`=:?d3594?4|586385d80f==z{8n957>52z?54`<6=k16;?h53c:8yv7c:h0;6?u261g950?<5>9;6>l7;|q2`7d=838p1;>j:07;?814939i46s|1e0`>5<5s4<;i7?:7:?477<4j11vh4?:3y>25c=940234=897=m8:p5a572909w08?e;350>;0;?08n55rs0f05?6=:r7=

629>361=;k20q~?k3383>7}:>9o1=;?4=61;>6d?3ty:h>=50;0x936b28<;709<9;1a<>{t9m9?6=4={<43a?72n27v390d821`=:?:h1?o64}r3g73<72;q6:=k514f8925d2:h37p}>d2594?4|5?:n6<;l;<50`?5e02wx=i=7:181807m3;>86383d80f==z{8n857>52z?574<6=k16mn?53c:8yv7c;h0;6?u2623950?<5hho6>l7;|q2`6d=838p1;=>:07;?8gd=39i46s|1e1`>5<5s4<8=7?:7:?bg2<4j11v267=940234khh7=m8:p5a272909w08<1;350>;fk008n55rs0f75?6=:r7=?<4>629>ef`=;k20q~?k4383>7}:>:;1=;?4=`f2>6d?3ty:h9=50;0x935628<;70ok3;1a<>{t9m>?6=4={<405?72n27jh84v3930821`=:im31?o64}r3g03<72;q6:>?514f89dbe2:h37p}>d5594?4|5?9:6<;l;863ndg80f==z{8n?57>52z?511<6=k16;8h53c:8yv7c<;6>l7;|q2`1d=838p1;;;:07;?811939i46s|1e6`>5<5s4<>87?:7:?427<4j11v202=940234==97=m8:p5a372909w08:4;350>;0>?08n55rs0f65?6=:r7=994>629>331=;k20q~?k5383>7}:><>1=;?4=64;>6d?3ty:h8=50;0x933328<;70999;1a<>{t9m??6=4={<460?72n27<:l4v3955821`=:??h1?o64}r3g13<72;q6:8:514f8920d2:h37p}>d4594?4|5???6<;l;<55`?5e02wx=i;7:181802<3;>86386d80f==z{8n>57>52z?532<6=k16m4?53c:8yv7c=h0;6?u2665950?<5h386>l7;|q2`0d=838p1;98:07;?8g?n39i46s|1e7`>5<5s4<<;7?:7:?b=2<4j11v0:9;52a8;97g>221=940234k2n7=m8:p5a072909w0887;350>;f1m08n55rs0f55?6=:r7=;:4>629>e<`=;k20q~?k6383>7}:>>=1=;?4=`c2>6d?3ty:h;=50;0x931028<;70on5;1a<>{t9mv3976821`=:ih91?o64}r3g23<72;q6::9514f89dge2:h37p}>d7594?4|5?=<6<;l;863na880f==z{8n=57>52z?5=d<6=k16;>h53c:8yv7c>h0;6?u268c950?<5>>;6>l7;|q2`3d=838p1;7n:07;?813939i46s|1e4`>5<5s4<2m7?:7:?407<4j11v240234=?97=m8:p5a172909w086a;350>;0629>311=;k20q~?k7383>7}:>0k1=;?4=66;>6d?3ty:h:=50;0x93?f28<;709;9;1a<>{t9m=?6=4={<4:e?72n27<8l4v399`821`=:?=h1?o64}r3g33<72;q6:4o514f8922d2:h37p}>d6594?4|5?3j6<;l;<57`?5e02wx=i97:18180>i3;>86384d80f==z{8n<57>53z?5fa<608164no519389d302:h37p}>d6c94?5|5?ho6<9i;<:`e?70n27j9446d?3ty:h:m50;1x93dc28=o706la;34`>;f=m08n55rs0f4`?6=;r7=ni4>7b9>i01l;i:2`;?xu6l>o1<7=t=7`g>41e342hm7?8b:?b1g<4j11vl7;|q2`=6=839p1;lk:05:?8>di3;<563n6480f==z{8n3=7>53z?5fa<6i0164no51`;89d062:h37p}>d9094?5|5?ho66d?3ty:h5:50;1x93dc28k=706la;3b2>;f>k08n55rs0f;1?6=;r7=ni4>a49>4g3342hm7?n4:?b34<4j11vl7;|q2`=>=839p1;lk:0:e?8>di3;3j63n6g80f==z{8n357>53z?5fa<60=164no519689d102:h37p}>d9c94?5|5?ho6<97;<:`e?70027j;44v39be82f6=:>o<1=5?4}r3gd9f94?4|5?ho652z?5fa<6il16:k8516a8yv7c190;6?u26cf95db<5?l=6<9m;|q2`<7=838p1;lk:0c`?80a>3;5<5s42gb=9mk01;h9:0c;?xu6l0?1<74b>34;1n?0:m;5rs0f:3?6=:r7=ni4>d69>2c0=9h?0q~?k9983>7}:>kn1=i84=7d5>4g33ty:h4750;0x93dc28ih708i6;3:e>{t9m3j6=4={<4a`?7d927=j;4>8g9~w4b>j3:1>v39be82f3=:>o<1=5:4}r3g=f<72;q6:oj51`c893`128=37p}>d8f94?4|5?l=63;i=6386g823c=z{8n2j7>52z?5b3<6j916;;h516g8yv7ci90;6?u26g495d`<5>5<5s42c0=9hh01:8i:05:?xu6lh?1<74be34==j7?n9:p5ag12909w08i6;3ge>;0>o0:m55rs0fb3?6=:r7=j;4>d89>33`=9h=0q~?ka983>7}:>o<1=i64=64e>4g13ty:hl750;0x93`128n<7099f;3b1>{t9mkj6=4={<4e2?7c>27<:k4>a59~w4bfj3:1>v39f782gf=:??l1=4o4}r3gef<72;q6:k851b38920a282m7p}>d`f94?4|5?l=63;jm6386g823==z{8njj7>530y>37`=9;i01:=?:00`?81493;9o63833826f=:?:91=?m4=617>44d34=897?=c:?473<6:j16;>9513a8925?288h709<9;31g>;0;h0:>n5272`957e<5>9h6<2b9>31`=9;i01:;?:00`?81293;9o63853826f=:?<91=?m4=677>44d34=>97?=c:?413<6:j16;89513a8923?288h709:9;31g>;0=h0:>n5274`957e<5>?h6<2b9><2g=9;i0159m:00`?8>0k3;9o6377e826f=:0>o1=?m4=95e>44d3423<7?=c:?;<4<6:j1645o513a89=>e288h7067c;31g>;?0m0:>n5289g957e<512m6<2b9>=67|5>9m6<2b9>314=9;i01::<:00`?813<3;9o63844826f=:?=<1=?m4=664>44d34=?47?=c:?40<<6:j16;9o513a8922e288h709;c;31g>;0n5275g957e<5>?m6<2b9>334=9;i01:8<:00`?811<3;9o63864826f=:??<1=?m4=644>44d34==47?=c:?42<<6:j16;;o513a8920e288h7099c;31g>;0>m0:>n5277g957e<51296<2b9><=3=9;i01569:00`?8>??3;9o63789826f=:0131=?m4=9;1>44d3422?7?=c:?;=1<6:j1644;513a89=?1288h70667;31g>;?110:>n5288;957e<503n6>??;|q2`g7=838p1:o6:040?8>e:39i46s|1e`1>5<5s4=j57?91:?;f6<4j11v3d?=943b342i:7=m8:p5ad12909w09n9;36`>;?j>08n55rs0fa3?6=:r75b9>=;k20q~?kb983>7}:?h31=8:4=9`:>6d?3ty:ho750;0x92ea28<87066a;1a<>{t9mhj6=4={<5`b?7192735o4v38cg8225=:00i1?o64}r3gff<72;q6;nh514d89=?c2:h37p}>dcf94?4|5>im6<;j;<::a?5e02wx=ilj:18181dn3;>h6379g80f==z{8nij7>52z?4gc<6=j164l>53c:8yv7ck90;6?u27bd9502<51k:6>l7;|q2`f7=838p1:h::040?8>?i39i46s|1ea1>5<5s4=m97?91:?;3c3=943b3423i7=m8:p5ae12909w09i5;36`>;?0o08n55rs0f`3?6=:r75b9><<6=;k20q~?kc983>7}:?o?1=8:4=9;2>6d?3ty:hn750;0x9=7e28<87068a;1a<>{t9mij6=4={<:2f?719273;o4v371c8225=:0>i1?o64}r3ggf<72;q64dbf94?4|51;i6<;j;<:4a?5e02wx=imj:1818>6j3;>h6377g80f==z{8nhj7>52z?;5g<6=j1645>53c:8yv7cl90;6?u280`9502<512:6>l7;|q2`a7=838p155<5s429i7?91:?bf6<4j11v<7c=943b34ki;7=m8:p5ab12909w06=e;36`>;fj008n55rs0fg3?6=:r73>h4>5b9>egd=;k20q~?kd983>7}:0;o1=8:4=``e>6d?3ty:hi750;0x9=2628<870662;1a<>{t9mnj6=4={<:75?7192735>4v37408225=:00>1?o64}r3g`f<72;q649?514d89=?22:h37p}>def94?4|51>:6<;j;<::2?5e02wx=ijj:1818>393;>h6379680f==z{8noj7>52z?;04<6=j1644653c:8yv7cm90;6?u28539502<51326>l7;|q2``7=838p15;;:040?8g0j39i46s|1eg1>5<5s42>87?91:?b<4<4j11v<02=943b34k3;7=m8:p5ac12909w06:4;36`>;f0k08n55rs0ff3?6=:r73994>5b9>e=b=;k20q~?ke983>7}:0<>1=8:4=`::>6d?3ty:hh750;0x9=0028<870672;1a<>{t9moj6=4={<:53?7192734>4v37668225=:01>1?o64}r3gaf<72;q64;9514d89=>22:h37p}>ddf94?4|51<<6<;j;<:;2?5e02wx=ikj:1818>1?3;>h6378680f==z{8nnj7>52z?;22<6=j1645653c:8yv7cn90;6?u28759502<51226>l7;|q2`c7=839:w066a;31g>;?1k0:>n5288a957e<513o6<2b9>:00`?8>f:3;9o637a2826f=:0h>1=?m4=9c6>44d342j:7?=c:?;e2<6:j164l6513a89=g>288h706na;31g>;?ik0:>n528`a957e<51ko6<2b9>:00`?8>e:3;9o637b2826f=:0k>1=?m4=9`6>44d342i:7?=c:?;f2<6:j164o6513a89=d>288h706ma;31g>;?jk0:>n528ca957e<51ho6<2b9>:00`?8>d:3;9o637c2826f=:0j>1=?m4=9a6>44d342h:7?=c:?;g2<6:j164n6513a89=e>288h7076f;124>{t9ml96=4={<:`e?d534k?j7=m8:p5a`42908w06i1;004>;?nh08o8529`39746:01g?xu6lo?1<7=t=9d1>450342m:7?<7:?;b2<5;81v??;|q2`c1=838p15h=:233?8g6l3;8h6s|1ed;>5<4s42m?7?<7:?;b2<6;>164k652238yv7cn00;6>u28g19666<51l<6>m:;a;39:<63n1d827a=z{8nmn7>53z?;b1<6;>164k6512589=`>2;9:7p}>dga94?5|51l?6?=?;<:e4<119~w4bal3:1>v37f58055=:i8l1=>j4}r3gb`<72:q64k;512589=`>289<706ia;005>{t9mlm6=4<{<:e1?448273j44e62=;8:0q~?j0183>7}:0o?1?<>4=`03>45c3ty:i=?50;fx9=`12;;;70783;01f>;>?=09>l529`3967g<5h:;6?e55=:;k01l>;:30b?8g7=389m63n1e80f==:i:;1>?74=`6:>74?3ty:i=<50;1x9=`02;;;70o>e;1a<>;f;;09>45rs0g37?6=;r73j54=119>e4`=;k201l=<:30:?xu6m9>1<7=t=9d:>77734k9<7=m8:?b71<5:01vl7;|q2a50=838p15hm:01g?8?f:39:<6s|1d24>5<5s42mo7?45c343j;7=>0:p5`6e2909w07?0;30`>;>i?08==5rs0g3g?6=:r72<<4>3e9>=d>=;8:0q~?j0e83>7}:1981=>j4=8cb>6773ty:i=k50;0x9<64289o707n9;124>{t9l:m6=4={<;30?74l272mo4<119~w4c683:1>v3604827a=:1hn1?<>4}r3f54<72;q65=8512f89e0094?4|50:<6<=k;<;ba?5682wx=h?<:1818?703;8h636b18055=z{8o:87>52z?:4<<6;m165lh53028yv7b9<0;6?u291c956b<50h:6>??;|q2a40=838p14>m:01g?8?e;39:<6s|1d34>5<5s43;o7?8;296~;>8m0:?i529c69746=5c=9:n014l9:233?xu6m8k1<745c343i97=>0:p5`7e2909w07>0;30`>;>j>08==5rs0g2g?6=:r72=<4>3e9>=g?=;8:0q~?j1e83>7}:1881=>j4=8`;>6773ty:i{t9l;m6=4={<;20?74l272nn4<119~w4c583:1>v3614827a=:1kh1?<>4}r3f64<72;q65<8512f89e3094?4|50;<6<=k;<;ab?5682wx=h<<:1818?603;8h636bd8055=z{8o987>52z?:5<<6;m165n>53028yv7b:<0;6?u290c956b<50i96>??;|q2a70=838p14?m:01g?8?d939:<6s|1d04>5<5s43:o7?9m0:?i529b7974644?:3y>=4c=9:n014m;:233?xu6m;k1<745c343h:7=>0:p5`4e2909w07=0;30`>;>k108==5rs0g1g?6=:r72><4>3e9>=f1=;8:0q~?j2e83>7}:1;81=>j4=8a:>6773ty:i?k50;0x9<44289o707lb;124>{t9l8m6=4={<;10?74l272ol4<119~w4c483:1>v3624827a=:1ji1?<>4}r3f74<72;q65?8512f89e2094?4|508<6<=k;<;``?5682wx=h=<:1818?503;8h636cg8055=z{8o887>52z?:6<<6;m165i?53028yv7b;<0;6?u293c956b<50n;6>??;|q2a60=838p145<5s439o7?:m0:?i529e79746=7c=9:n014j;:233?xu6m:k1<745c343o:7=>0:p5`5e2909w07<0;30`>;>l108==5rs0g0g?6=:r72?<4>3e9>=a1=;8:0q~?j3e83>7}:1:81=>j4=8f:>6773ty:i>k50;0x9<54289o707kb;124>{t9l9m6=4={<;00?74l272hl4<119~w4c383:1>v3634827a=:1mi1?<>4}r3f04<72;q65>8512f89e5094?4|509<6<=k;<;g`?5682wx=h:<:1818?403;8h636dg8055=z{8o?87>52z?:7<<6;m165h?53028yv7b<<0;6?u292c956b<50o;6>??;|q2a10=838p14=m:01g?8?b:39:<6s|1d64>5<5s438o7?;m0:?i529d19746=6c=9:n014k::233?xu6m=k1<745c343n;7=>0:p5`2e2909w07;0;30`>;>m?08==5rs0g7g?6=:r728<4>3e9>=`>=;8:0q~?j4e83>7}:1=81=>j4=8gb>6773ty:i9k50;0x9<24289o707j9;124>{t9l>m6=4={<;70?74l272io4<119~w4c283:1>v3644827a=:1ln1?<>4}r3f14<72;q6598512f89e4094?4|50><6<=k;<;fa?5682wx=h;<:1818?303;8h636f18055=z{8o>87>52z?:0<<6;m165hh53028yv7b=<0;6?u295c956b<50l:6>??;|q2a00=838p14:m:01g?8?a;39:<6s|1d74>5<5s43?o7?=1c=9:n014h9:233?xu6m45c343m97=>0:p5`3e2909w07:0;30`>;>n>08==5rs0g6g?6=:r729<4>3e9>=c?=;8:0q~?j5e83>7}:1<81=>j4=8d;>6773ty:i8k50;0x9<34289o707ia;124>{t9l?m6=4={<;60?74l272jn4<119~w4c183:1>v3654827a=:1oh1?<>4}r3f24<72;q6588512f89<`c2:;;7p}>e7094?4|50?<6<=k;<;eb?5682wx=h8<:1818?203;8h636fd8055=z{8o=87>52z?:1<<6;m16m=>53028yv7b><0;6>u294c9561<50?m6<=8;<;54?4492wx=h89:1808?2i388<6365g80g0=:i9?1?<>4}r3f22<72;q658o530289d45289o7p}>e7:94?5|50?i6<=8;<;54?74?272:<4=309~w4c113:1?v365c8175=:1?:1?n;4=`27>6773ty:i;o50;0x9<3e2:;;70o=3;30`>{t9l369>=34=::;0q~?j6b83>6}:1>>4=842>6e234k;?7=>0:p5`0c2909w07:c;124>;f:=0:?i5rs0g5a?6=;r729i4>369>=34=9:=0148<:312?xu6m?l1<7=t=87g>757343=>7=l5:?b47<4991v=m08==52a37956b=0c=9:=0148<:014?8?1<39:<6s|1d51>5<4s43>i7<<0:?:26<4k<16m=?53028yv7b?:0;6?u294g9746<5h8=6<=k;|q2a22=83>p14;i:333?8?08389563n04816<=:i;81?o64}r3f30<72=q65;>520289<172;8j70o?4;01=>;f::08n55rs0g42?6==26=:;h01l><:30:?8g5<39i46s|1d54>5<3s43=>7<>0:?:35<5:j16m=<523;89d422:h37p}>e6:94?2|50<86???;<;44?45l27j<<4=289>e70=;k20q~?j7883>7}:1?>1?n;4=`6:>6773ty:i:o50;6x9<022;8j7079c;01e>;>>m09>l52bd;97g>=33=:;h01o:<:2`;?xu6m>i1<7=t=84;>74f34k897=m8:?b7f<5:01v0?09>l52a0397g><5h9j6?p147>:30:?8g5l389563n3780f==:i:31>?74}r3f3c<72:q6549523c89d712:h370o{t9l2;6=4;{<;:=74f34koh7=m8:p5`>52909w076e;01e>;fm808n55rs0g;7?6=>8q65l>530289dc5288h70oj3;31g>;fm=0:>n52ad7957e<5ho=6<2b9>e`?=9;i01lkn:00`?8gbj3;9o63neb826f=:iln1=?m4=`gf>44d34knj7?=c:?bb5<6:j16mk?513a89d`5288h70oi3;31g>;fn=0:>n52ag7957e<5hl=6<2b9>ec?=9;i01lhn:00`?8gaj3;9o63nfb826f=:ion1=?m4=`df>44d34kmj7?=c:?a45<6:j16n=?513a89g65288h70l?3;31g>;e8=0:>n52b17957e<5k:=6<2b9>f5?=9;i01o>n:00`?8d7j3;9o63m0b826f=:j9n1=?m4=c2f>44d34h;j7?=c:?a55<6:j16n3;31g>;e9=0:>n52b07957e<5k;=6<2b9>f4?=9;i01o?n:00`?8d6j3;9o63m1b826f=:j8n1=?m4=c3f>44d34h:j7?=c:?a65<6:j16n??513a89g45288h70l=3;31g>;e:=0:>n52b37957e<5k8=6<54>2b9>f7?=9;i01o44d34h9j7?=c:?a75<6:j16n>?513a89g55288h70l<3;31g>;e;=0:>n52b27957e<5k9=6<2b9>f6?=9;i01o=n:00`?8d4j3;9o63m3b826f=:j:n1=?m4=c1f>44d34h8j7?=c:?a05<6:j16n9?513a8yv7b0=0;6?u29`0967d<5k=m6>l7;|q2a=3=838p14o<:30a?8d?939i46s|1d:5>5<5s43j87<=b:?a<6<4j11vi<09>o52b6;97g>=d0=:;h01o9m:2`;?xu6m131<774e34hf2909w07n8;01f>;e?:08n55rs0g;f?6=:r72m44=2c9>f23=;k20q~?j8b83>7}:1hk1>?l4=c54>6d?3ty:i5j50;0x9{t9l2n6=4={<;bg?45j27i:k4v36ae816g=:j>;1?o64}r3f=5<72;q65lk523`89g002:h37p}>e8394?4|50km6?52z?:f4<5:k16n;?53c:8yv7b1=0;6?u29e2967d<5k<>6>l7;|q2a<3=838p14j=:30a?8d1;39i46s|1d;5>5<5s43o?7<=b:?a1g<4j11vl=09>o52b4f97g>=a3=:;h01o;i:2`;?xu6m031<774e34h>57=m8:p5`?f2909w07k7;01f>;e=<08n55rs0g:f?6=:r72h54=2c9>f01=;k20q~?j9b83>7}:1m31>?l4=c70>6d?3ty:i4j50;0x9{t9l3n6=4={<;gf?45j27i8i4n3:1>v36db816g=:j=l1?o64}r3fe5<72;q65ij523`89g2e2:h37p}>e`394?4|50nn6?52z?:a4<5:k16n9;53c:8yv7bi=0;6?u2a15956b<5h:36>??;|q2ad3=838p1l?>:01g?8g4i39:<6s|1dc5>5<5s4k::7?e60=9:n01l=6:233?xu6mh31<745c34k8h7=>0:p5`gf2909w0o<8;30`>;f;l08==5rs0gbf?6=:r7j8h4e1`=9:n0q~?jab83>7}:i<:1?o64=`72>45c3ty:ilj50;0x9d352:h370o:3;30`>{t9lkn6=4={3e9~w4cfn3:1>v3n5780f==:i<=1=>j4}r3ff5<72;q6m8653c:89d3>289o7p}>ec394?4|5h?j6>l7;52z?b1`<4j116m8h512f8yv7bj=0;6?u2a7297g><5h<:6<=k;|q2ag3=838p1l8=:2`;?8g1;3;8h6s|1d`5>5<5s4k=87=m8:?b20<6;m1v?08n552a75956be3>=;k201l86:01g?xu6mk31<76d?34k=n7?;f>m0:?i5rs0gaf?6=:r7j:h4e3`=9:n0q~?jbb83>7}:i>:1?o64=`52>45c3ty:ioj50;0x9d152:h370o83;30`>{t9lhn6=4={3e9~w4cen3:1>v3n7780f==:i>=1=>j4}r3fg5<72;q6m:653c:89d1>289o7p}>eb394?4|5h=j6>l7;52z?b3`<4j116m:h512f8yv7bk=0;6?u2a9297g><5h2:6<=k;|q2af3=838p1l6=:2`;?8g?;3;8h6s|1da5>5<5s4k387=m8:?b<0<6;m1ve=>=;k201l66:01g?xu6mj31<76d?34k3n7?;f0m0:?i5rs0g`f?6=:r7j4h4e=`=9:n0q~?jcb83>7}:i0:1?o64=`;2>45c3ty:inj50;0x9d?52:h370o63;30`>{t9lin6=4={3e9~w4cdn3:1>v3n9780f==:i0=1=>j4}r3f`5<72;q6m4653c:89d?>289o7p}>ee394?4|5h3j6>l7;k39i463n9e827a=z{8oo?7>52z?b=`<4j116m4h512f8yv7bl=0;6?u2a`297g><5hk:6<=k;|q2aa3=838p1lo=:2`;?8gf;3;8h6s|1df5>5<5s4kj87=m8:?be0<6;m1ved>=;k201lo6:01g?xu6mm31<76d?34kjn7?;fim0:?i5rs0ggf?6=:r7jmh4ed`=9:n0q~?jdb83>7}:ik:1?o64=``2>45c3ty:iij50;0x9dd52:h370om3;30`>{t9lnn6=4={3e9~w4ccn3:1>v3nb780f==:ik=1=>j4}r3fa5<72;q6mo653c:89dd>289o7p}>ed394?4|5hhj6>l7;52z?bf`<4j116moh512f8yv7bm=0;6?u2ab297g><5hi:6<=k;|q2a`3=838p1lm=:2`;?8gd;3;8h6s|1dg5>5<5s4kh87=m8:?bg0<6;m1vef>=;k201lm6:01g?xu6ml31<76d?34khn7?;fkm0:?i5rs0gff?6=:r7joh4ef`=9:n0q~?jeb83>7}:im:1?o64=`f2>45c3ty:ihj50;0x9db52:h370ok3;30`>{t9lon6=4={3e9~w4cbn3:1>v3nd780f==:im=1=>j4}r3fb5<72;q6mi653c:89db>289o7p}>eg394?4|5hnj6>l7;52z?b``<4j116mih512f8yv7bn=0;6?u2ad297g><5ho:6<=k;|q2ac3=838p1lk=:2`;?8gb;3;8h6s|1dd5>5<5s4kn?7=m8:?a<3<6;m1ve`3=;k201o67:01g?xu6mo31<76d?34kn;7?;e0h0:?i5rs0gef?6=:r7ji54e`?=9:n0q~?jfb83>7}:il31?o64=c:f>45c3ty:ikj50;0x9dcf2:h370ojb;30`>{t9lln6=4={3e9~w4can3:1>v3neb80f==:iln1=>j4}r3e45<72;q6mhj53c:89g>d289o7p}>f1394?4|5hon6>l7;=:1818gbn39i463m93827a=z{8l;?7>52z?bb5<4j116mk?512f8yv7a8=0;6?u2ag397g><5k336<=k;|q2b53=838p1lh=:2`;?8ga;3;8h6s|1g25>5<5s4km?7=m8:?a=1<6;m1vec3=;k201o79:01g?xu6n931<76d?34km;7?;e1h0:?i5rs0d3f?6=:r7jj54ec?=9:n0q~?i0b83>7}:io31?o64=c;`>45c3ty:j=j50;0x9d`f2:h370oib;30`>{t9o:n6=4={3e9~w4`7n3:1>v3nfb80f==:ion1=>j4}r3e55<72;q6mkj53c:89gg7289o7p}>f0394?4|5hln6>l7;52z?a45<4j116n=?512f8yv7a9=0;6?u2b1397g><5kk?6<=k;|q2b43=838p1o>=:2`;?8d7;3;8h6s|1g35>5<5s4h;?7=m8:?ae3<6;m1v7;296~;e8=08n552b17956bf53=;k201oo7:01g?xu6n831<76d?34h;;7?;eih0:?i5rs0d2f?6=:r7i<54f5?=9:n0q~?i1b83>7}:j931?o64=c`3>45c3ty:j{t9o;n6=4={<`3f?5e027imn4>3e9~w4`6n3:1>v3m0b80f==:j9n1=>j4}r3e65<72;q6n=j53c:89ggb289o7p}>f3394?4|5k:n6>l7;<`3b?74l2wx=k<=:1818d7n39i463mb9827a=z{8l9?7>52z?a55<4j116n<5kh96<=k;|q2b73=838p1o?=:2`;?8d6;3;8h6s|1g05>5<5s4h:?7=m8:?af3<6;m1v54?:3y>f43=;k201olj:01g?xu6n;31<76d?34h:;7?7;1a<>;ej=0:?i5rs0d1f?6=:r7i=54f4?=9:n0q~?i2b83>7}:j831?o64=c``>45c3ty:j?j50;0x9g7f2:h370l>b;30`>{t9o8n6=4={<`2f?5e027inl4>3e9~w4`5n3:1>v3m1b80f==:j8n1=>j4}r3e75<72;q6nf2394?4|5k;n6>l7;<`2b?74l2wx=k==:1818d6n39i463mc5827a=z{8l8?7>52z?a65<4j116n??512f8yv7a;=0;6?u2b3397g><5ki;6<=k;|q2b63=838p1o<=:2`;?8d5;3;8h6s|1g15>5<5s4h9?7=m8:?agd<6;m1vf73=;k201om9:01g?xu6n:31<76d?34h9;7?;ek10:?i5rs0d0f?6=:r7i>54f7?=9:n0q~?i3b83>7}:j;31?o64=cf1>45c3ty:j>j50;0x9g4f2:h370l=b;30`>{t9o9n6=4={<`1f?5e027ion4>3e9~w4`4n3:1>v3m2b80f==:j;n1=>j4}r3e05<72;q6n?j53c:89gb7289o7p}>f5394?4|5k8n6>l7;<`1b?74l2wx=k:=:1818d5n39i463md9827a=z{8l??7>52z?a75<4j116n>?512f8yv7a<=0;6?u2b2397g><5kin6<=k;|q2b13=838p1o==:2`;?8d4;3;8h6s|1g65>5<5s4h8?7=m8:?a`3<6;m1vf63=;k201oj;:01g?xu6n=31<76d?34h8;7?;elj0:?i5rs0d7f?6=:r7i?54f6?=9:n0q~?i4b83>7}:j:31?o64=cff>45c3ty:j9j50;0x9g5f2:h370l{t9o>n6=4={<`0f?5e027ihl4>3e9~w4`3n3:1>v3m3b80f==:j:n1=>j4}r3e15<72;q6n>j53c:89gc3289o7p}>f4394?4|5k9n6>l7;<`0b?74l2wx=k;=:1818d4n39i463me1827a=z{8l>?7>52z?a05<4j116n9?512f8yv7a==0;6?u2b5397g><5ko96<=k;|q2b03=838p1o:=:2`;?8d3;3;8h6s|1g75>5<5s4h?87=m8:?a00<6;m1vf1>=;k201o:6:01g?xu6n<31<76d?34h?n7?;ef1`=9:n0q~?i5b83>7}:j<:1?o64=c72>45c3ty:j8j50;0x9g352:h370l:3;30`>{t9o?n6=4={<`60?5e027i984>3e9~w4`2n3:1>v3m5780f==:j<=1=>j4}r3e25<72;q6n8653c:89g3>289o7p}>f7394?4|5k?j6>l7;<`6f?74l2wx=k8=:1818d2k39i463m5e827a=z{8l=?7>52z?a1`<4j116n8h512f8yv7a>=0;6?u2b7297g><5k<:6<=k;|q2b33=838p1o8=:2`;?8d1;3;8h6s|1g45>5<5s4h=87=m8:?a20<6;m1v?08n552b75956bf3>=;k201o86:01g?xu6n?31<76d?34h=n7?;e>m0:?i5rs0d5f?6=:r7i:h4f3`=9:n0q~?i6b83>7}:j>:1?o64=c52>45c3ty:j;j50;0x9g152:h370l83;30`>{t9o3e9~w4`1n3:1>v3m7780f==:j>=1=>j4}r3e35<72;q6n:653c:89g1>289o7p}>f6394?4|5k=j6>l7;<`4f?74l2wx=k9=:1818d0k39i463m7e827a=z{8l52z?a3`<4j116n:h512f8yv7a?=0;6?u2b9297g><5k2:6<=k;|q2b23=838p1o6=:2`;?8d?;3;8h6s|1g55>5<5s4h387=m8:?a<0<6;m1vf=>=;k201o66:01g?xu6n>31<76d?34h3n7?;e0m0:?i5rs0d4f?6=:r7i4h4f=`=9:n0q~?i7b83>7}:j0:1?o64=c;2>45c3ty:j:j50;0x9g?52:h370l63;30`>{t9o=n6=4={<`:0?5e027i584>3e9~w4`0n3:1>v3m9780f==:j0=1=>j4}r3e<5<72;q6n4653c:89g?>289o7p}>f9394?4|5k3j6>l7;<`:f?74l2wx=k6=:1818d>k39i463m9e827a=z{8l3?7>52z?a=`<4j116n4h512f8yv7a0=0;6?u2b`297g><5kk:6<=k;|q2b=3=838p1oo=:2`;?8df;3;8h6s|1g:5>5<5s4hj87=m8:?ae0<6;m1vfd>=;k201oo6:01g?xu6n131<76d?34hjn7?f2909w0lnc;1a<>;eim0:?i5rs0d;f?6=:r7imh4fd`=9:n0q~?i8b83>7}:jk:1?o64=c`2>45c3ty:j5j50;0x9gd52:h370lm3;30`>{t9o2n6=4={<`a0?5e027in84>3e9~w4`?n3:1>v3mb780f==:jk=1=>j4}r3e=5<72;q6no653c:89gd>289o7p}>f8394?4|5khj6>l7;<`af?74l2wx=k7=:1818dek39i463mbe827a=z{8l2?7>52z?af`<4j116noh512f8yv7a1=0;6?u2bb297g><5ki:6<=k;|q2b<3=838p1om=:2`;?8dd;3;8h6s|1g;5>5<5s4hh87=m8:?ag0<6;m1vff>=;k201om6:01g?xu6n031<76d?34hhn7?;ekm0:?i5rs0d:f?6=:r7ioh4ff`=9:n0q~?i9b83>7}:jm:1?o64=cf2>45c3ty:j4j50;0x9gb52:h370lk3;30`>{t9o3n6=4={<`g0?5e027ih84>3e9~w4`>n3:1>v3md780f==:jm=1=>j4}r3ee5<72;q6ni653c:89gb>289o7p}>f`394?4|5knj6>l7;<`gf?74l2wx=ko=:1818dck39i463mde827a=z{8lj?7>52z?a``<4j116nih512f8yv7ai=0;6?u2bd297g><5ko:6<=k;|q2bd3=838p1ok=:2`;?8db;3;8h6s|1gc5>5<5s4hn87=m8:?aa0<6;m1vf`>=;k201ok6:01g?x{t9?7}Y9?<<70=jc;3522=#;jl1>ll4}ra23?6=:rTh=:523da9g41<,:im6?h=;|q`5=<72;qUo<64=2g`>f7?3-9hj7=?b:pg5d=838pRoh6;<1fg?da12.8ok4<0b9~wf6>2909wSli8:?0afvPmf69>7`e=jo=0(>mi:346?xud8>0;6?uQbg4896cd2kl=7)=lf;052>{tk9<1<76=4={_`e0>;4mj0ij95+3bd963>52z\ab6=:;li1nk=4$2ae>70>3tyh<>4?:3y]fc4<5:oh6oh=;%1`b?41i2wxo<:50;0xZf66349no7m?1:&0gc<5>k1vn?<:181[e78278in4l019'7f`=:>;0q~m>2;296~Xeno16?hm5bgd8 6ea2;=h7p}l1083>7}Yjoo01>kl:cdf?!5dn38346s|c0294?4|Vklo70=jc;`e`>"4ko095>5rsb2e>5<5sWhmo634k4}ra3a?6=:rTijo523da9fcd<,:im6?o=;|q`4a<72;qUnko4=2g`>g`f3-9hj7;<1fg?da92.8ok4=a59~wf652909wSli0:?0afk0;6?uQ174a?85bk3;=:o5+3bd96d09;296~Xd9016?hm5c0;8 6ea2;k27p}k0`83>7}Yk=901>kl:b60?!5dn38=o6s|d1:94?4|Vj>970=jc;a76>"4ko09:i5rse24>5<5sWi?=63;k4}rf32?6=:rTh8=523da9g16<,:im6?8i;|qg41<72;qUo>k4=2g`>f5b3-9hj7<80:p`55=838pRn=k;<1fg?e4l2.8ok4=739~wa652909wSmvPl3c9>7`e=k:h0(>mi:357?xuc890;6?uQc2c896cd2j9j7)=lf;041>{tkol1<7;4mj0h?55+3bd962152z\`72=:;li1o>94$2ae>71?3tyhjn4?:3y]g60<5:oh6n=9;%1`b?4012wxokl50;0xZf52349no7m<5:&0gc<5?h1vi?=:181[e3i278in4l4`9'7f`=:>h0q~j>1;296~Xd<016?hm5c5;8 6ea2;=o7p}k1183>7}Yk=201>kl:b6;?!5dn38<70=jc;a73>"4ko09;k5rse2f>5<5sWi?:635>4}rf3`?6=:rTh88523da9g13<,:im6?6>;|qg4f<72;qUo9:4=2g`>f233-9hj7<72:p`5d=838pRn=i;<1fg?e4n2.8ok4=829~wa622909wSm<4:?0afvPl329>7`e=k:90(>mi:3:6?xuc;k0;6?uQd31896cd2m887)=lf;0;2>{tl:31<76*;4mj0o><5+3bd96=g52z\g65=:;li1h?>4$2ae>7>e3tyo?84?:3y]`4c<5:oh6i?j;%1`b?4?k2wxh>:50;0xZa7c349no7j>d:&0gc<50m1vi=<:181[b6k278in4k1b9'7f`=:1o0q~j<2;296~Xc9k16?hm5d0`8 6ea2;2m7p}k3083>7}Yl8k01>kl:e3b?!5dn382<6s|d2294?4|Vm;270=jc;f2=>"4ko095<5rse0e>5<5sWn:4634<4}rf1a?6=:rTo=:523da9`41<,:im6?7;;|qg6a<72;qUh<84=2g`>a713-9hj7<65:p`7e=838pRi?:;<1fg?b6=2.8ok4=979~wa242909wSj=a:?0afvPk289>7`e=l;30(>mi:3;;?xuc<80;6?uQd3:896cd2m837)=lf;0:=>{tl=:1<7;4mj0o>;5+3bd9652z\g60=:;li1h?;4$2ae>7?d3tyo?i4?:3y]`72<5:oh6i<;;%1`b?4>l2wxh>m50;0xZa7a349no7j>f:&0gc<51o1vi=9:181[b6<278in4k159'7f`=:h:0q~j=b;296~Xc9:16?hm5d018 6ea2;k:7p}icc83>7}Ym=i01>kl:d6`?!5dn38jo6s|fb;94?4|Vl>i70=jc;g7f>"4ko09mi5rsga;>5<5sWo?m63lk4}rd`3?6=:rTn84523da9a1?<,:im6?oi;|qeg3<72;qUi964=2g`>`2?3-9hj7vPj459>7`e=m=>0(>mi:3`0?xuak80;6?uQe51896cd2l>87)=lf;0a0>{tnj:1<76*;4mj0n8<5+3bd96g052z\f05=:;li1i9>4$2ae>7d03tymni4?:3y]a6`<5:oh6h=i;%1`b?4e02wxjom50;0xZ`5b349no7k7}Ym:h01>kl:d1a?!5dn38io6s|fc594?4|Vl9270=jc;g0=>"4ko09ni5rsg`5>5<5sWo8463ok4}rda1?6=:rTn?:523da9a61<,:im6?li;|qef1<72;qUi>84=2g`>`513-9hj7vPj329>7`e=m:90(>mi:3a0?xuaj90;6?uQe20896cd2l997)=lf;0`0>{tnhl1<7;4mj0n?=5+3bd96f052z\f6`=:;li1i?k4$2ae>7e03tymmo4?:3y]a7b<5:oh6h7}Ym;301>kl:d0:?!5dn38ho6s|f`494?4|Vl8370=jc;g1<>"4ko09oi5rsgc6>5<5sWo9;63nk4}rdb0?6=:rTn>;523da9a70<,:im6?mi;|qee6<72;qUi?;4=2g`>`423-9hj7;<1fg?c292.8ok4=d09~wcb72909wSk:0:?0afvPj4g9>7`e=m=l0(>mi:3f0?xuakl0;6?uQe5g896cd2l>n7)=lf;0g0>{tnjn1<7;4mj0n885+3bd96a052z\f7d=:;li1i>o4$2ae>7b03tymn54?:3y]a7`<5:oh6h7}Ynoh01>kl:gda?!5dn38on6s|110;>5<5sWlmm63im4}r3362<72;qUjk74=2g`>c`>3-9hj7{t998?6=4={_de2>;4mj0mj;5+3bd96`6>4?:3y]bc3<5:oh6kh:;%1`b?4b92wx==<>:181[`a;278in4if29'7f`=:l80q~??2183>7}Yno801>kl:gd1?!5dn38n?6s|113e>5<5sWlm=63h:4}r335`<72;qUjk>4=2g`>c`73-9hj7{t99;i6=4={_df`>;4mj0mii5+3bd96`>7}Ynlk01>kl:ggb?!5dn38nn6s|1135>5<5sWln463hm4}r3350<72;qUjh94=2g`>cc03-9hj77)=lf;0fb>{t99;96=4={_df0>;4mj0mi95+3bd96c67}Ynl;01>kl:gg2?!5dn38m86s|112f>5<5sWln<63k;4}r334a<72;qUjih4=2g`>cba3-9hj7{t99:26=4={_dgf>;4mj0mho5+3bd96c?8:181[`c1278in4id89'7f`=:oh0q~??0783>7}Ynm201>kl:gf;?!5dn38mo6s|1126>5<5sWlo;63kj4}r3341<72;qUji84=2g`>cb13-9hj7{t999;6=4={_3345=:;li1==>?;%1`b?5792wx==7}Ynoo01>kl:gdf?!5dn39;?6s|110g>5<5sWlmh63c`d3-9hj7=?5:p554e2909wShi4:?0af{t99;<6=4={_dga>;4mj0mhh5+3bd975>>:181[`c:278in4id39'7f`=;9k0qp`9c3c94?4|@:n;7p`9c3`94?7asA9o<6sa6b0`>5<6nrB8h=5rn7a1`?6=;rB8h=5rn7a1a?6=:rB8h=5rn7a1b?6=:rB8h=5rn7a04?6=:rB8h=5rn7a05?6=:rB8h=5rn7a06?6=:rB8h=5rn7a07?6=:rB8h=5rn7a00?6=:rB8h=5rn7a01?6=:rB8h=5rn7a02?6=:rB8h=5rn7a03?6=:rB8h=5rn7a050;3xL6b73td=:=?50;3xL6b73td=:=<50;3xL6b73td=:==50;3xL6b73td=:=:50;3xL6b73td=:=;50;3xL6b73td=:=850;3xL6b73td=:=950;3xL6b73td=:=650;3xL6b73td=:=750;3xL6b73td=:=o50;3xL6b73td=:=l50;3xL6b73td=:=m50;3xL6b73td=:=j50;3xL6b73td=:=k50;3xL6b73td=:=h50;3xL6b73td=:<>50;3xL6b73td=:50;3xL6b73td=:??50;3xL6b73td=:?<50;3xL6b73td=:?=50;3xL6b73td=:?:50;3xL6b73td=:?;50;3xL6b73td=:?850;0xL66a3A9o<6sa6704>5<6sA9o<6sa670;>5<6sA9o<6sa670:>5<6sA9o<6sa670b>5<5sA9;j6FvF<0g9K7a6h4?:0yK7a6k4?:0yK7a64?:0yK7a64?:0yK7a64?:0yK7a64?:0yK7a64?:4yK7a65290:wE=k0:m23>4290:wE=k0:m23>3290:wE=k0:m23>2290:wE=k0:m23>1290:wE=k0:m23>02909wE=?f:J0`5=zf?<347>52zJ0`5=zf?<357>52zJ0`5=zf?<3m7>52zJ0`5=zf?<3n7>52zJ0`5=zf?<3o7>52zJ0`5=zf?<3h7>52zJ0`5=zf?<3i7>52zJ0`5=zf?<3j7>52zJ0`5=zf?<2<7>53zJ0`5=zf?<2=7>53zJ0`5=zf?<2>7>53zJ0`5=zf?<2?7>53zJ0`5=zf?<287>53zJ0`5=zf?<297>53zJ0`5=zf?<2:7>53zJ0`5=zf?<2;7>53zJ0`5=zf?<247>51zJ0`5=zf?<257>51zJ0`5=zf?<2m7>51zJ0`5=zf?<2n7>51zJ0`5=zf?<2o7>51zJ0`5=zf?<2h7>51zJ0`5=zf?<2i7>51zJ0`5=zf?<2j7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?7>52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?52zJ0`5=zf?7>53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?53zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?7>51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?51zJ0`5=zf?=;<7>51zJ0`5=zf?=;=7>51zJ0`5=zf?=;>7>51zJ0`5=zf?=;?7>51zJ0`5=zf?=;87>51zJ0`5=zf?=;97>51zJ0`5=zf?=;:7>51zJ0`5=zf?=;;7>51zJ0`5=zf?=;47>51zJ0`5=zf?=;57>51zJ0`5=zf?=;m7>51zJ0`5=zf?=;n7>51zJ0`5=zf?=;o7>51zJ0`5=zf?=;h7>51zJ0`5=zf?=;i7>51zJ0`5=zf?=;j7>51zJ0`5=zf?=:<7>51zJ0`5=zf?=:=7>51zJ0`5=zf?=:>7>51zJ0`5=zf?=:?7>51zJ0`5=zf?=:87>51zJ0`5=zf?=:97>51zJ0`5=zf?=::7>51zJ0`5=zf?=:;7>51zJ0`5=zf?=:47>51zJ0`5=zf?=:57>51zJ0`5=zf?=:m7>52zJ0`5=zf?=:n7>52zJ0`5=zf?=:o7>52zJ0`5=zf?=:h7>52zJ0`5=zf?=:i7>52zJ0`5=zf?=:j7>52zJ0`5=zf?=9<7>52zJ0`5=zf?=9=7>52zJ0`5=zf?=9>7>52zJ0`5=zf?=9?7>52zJ0`5=zf?=987>52zJ0`5=zf?=997>52zJ0`5=zf?=9:7>52zJ0`5=zf?=9;7>52zJ0`5=zf?=947>52zJ0`5=zf?=957>52zJ0`5=zf?=9m7>53zJ0`5=zf?=9n7>53zJ0`5=zf?=9o7>53zJ0`5=zf?=9h7>53zJ0`5=zf?=9i7>53zJ0`5=zf?=9j7>53zJ0`5=zf?=8<7>53zJ0`5=zf?=8=7>53zJ0`5=zf?=8>7>53zJ0`5=zf?=8?7>53zJ0`5=zf?=887>53zJ0`5=zf?=897>53zJ0`5=zf?=8:7>53zJ0`5=zf?=8;7>53zJ0`5=zf?=847>53zJ0`5=zf?=857>53zJ0`5=zf?=8m7>51zJ0`5=zf?=8n7>51zJ0`5=zf?=8o7>51zJ0`5=zf?=8h7>51zJ0`5=zf?=8i7>51zJ0`5=zf?=8j7>51zJ0`5=zf?=?<7>51zJ0`5=zf?=?=7>51zJ0`5=zf?=?>7>51zJ0`5=zf?=??7>51zJ0`5=zf?=?87>51zJ0`5=zf?=?97>51zJ0`5=zf?=?:7>51zJ0`5=zf?=?;7>51zJ0`5=zf?=?47>51zJ0`5=zf?=?57>51zJ0`5=zf?=?m7>51zJ0`5=zf?=?n7>51zJ0`5=zf?=?o7>51zJ0`5=zf?=?h7>51zJ0`5=zf?=?i7>51zJ0`5=zf?=?j7>51zJ0`5=zf?=><7>51zJ0`5=zf?=>=7>51zJ0`5=zf?=>>7>51zJ0`5=zf?=>?7>51zJ0`5=zf?=>87>51zJ0`5=zf?=>97>51zJ0`5=zf?=>:7>51zJ0`5=zf?=>;7>51zJ0`5=zf?=>47>51zJ0`5=zf?=>57>51zJ0`5=zf?=>m7>51zJ0`5=zf?=>n7>51zJ0`5=zf?=>o7>51zJ0`5=zf?=>h7>51zJ0`5=zf?=>i7>51zJ0`5=zf?=>j7>51zJ0`5=zf?==<7>51zJ0`5=zf?===7>51zJ0`5=zf?==>7>51zJ0`5=zf?==?7>51zJ0`5=zf?==87>51zJ0`5=zf?==97>51zJ0`5=zf?==:7>51zJ0`5=zf?==;7>51zJ0`5=zf?==47>51zJ0`5=zf?==57>51zJ0`5=zf?==m7>52zJ0`5=zf?==n7>52zJ0`5=zf?==o7>52zJ0`5=zf?==h7>52zJ0`5=zf?==i7>52zJ0`5=zf?==j7>52zJ0`5=zf?=<<7>52zJ0`5=zf?=<=7>52zJ0`5=zf?=<>7>52zJ0`5=zf?=52zJ0`5=zf?=<87>52zJ0`5=zf?=<97>52zJ0`5=zf?=<:7>52zJ0`5=zf?=<;7>52zJ0`5=zf?=<47>52zJ0`5=zf?=<57>52zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=53zJ0`5=zf?=3<7>53zJ0`5=zf?=3=7>53zJ0`5=zf?=3>7>53zJ0`5=zf?=3?7>53zJ0`5=zf?=387>53zJ0`5=zf?=397>53zJ0`5=zf?=3:7>53zJ0`5=zf?=3;7>53zJ0`5=zf?=347>53zJ0`5=zf?=357>53zJ0`5=zf?=3m7>51zJ0`5=zf?=3n7>51zJ0`5=zf?=3o7>51zJ0`5=zf?=3h7>51zJ0`5=zf?=3i7>51zJ0`5=zf?=3j7>51zJ0`5=zf?=2<7>51zJ0`5=zf?=2=7>51zJ0`5=zf?=2>7>51zJ0`5=zf?=2?7>51zJ0`5=zf?=287>51zJ0`5=zf?=297>51zJ0`5=zf?=2:7>51zJ0`5=zf?=2;7>51zJ0`5=zf?=247>51zJ0`5=zf?=257>51zJ0`5=zf?=2m7>51zJ0`5=zf?=2n7>51zJ0`5=zf?=2o7>51zJ0`5=zf?=2h7>51zJ0`5=zf?=2i7>51zJ0`5=zf?=2j7>51zJ0`5=zf?=j<7>51zJ0`5=zf?=j=7>51zJ0`5=zf?=j>7>51zJ0`5=zf?=j?7>51zJ0`5=zf?=j87>51zJ0`5=zf?=j97>51zJ0`5=zf?=j:7>51zJ0`5=zf?=j;7>51zJ0`5=zf?=j47>51zJ0`5=zf?=j57>51zJ0`5=zf?=jm7>51zJ0`5=zf?=jn7>51zJ0`5=zf?=jo7>51zJ0`5=zf?=jh7>51zJ0`5=zf?=ji7>51zJ0`5=zf?=jj7>51zJ0`5=zf?=i<7>51zJ0`5=zf?=i=7>51zJ0`5=zf?=i>7>51zJ0`5=zf?=i?7>51zJ0`5=zf?=i87>51zJ0`5=zf?=i97>51zJ0`5=zf?=i:7>51zJ0`5=zf?=i;7>51zJ0`5=zf?=i47>51zJ0`5=zf?=i57>51zJ0`5=zf?=im7>52zJ0`5=zf?=in7>52zJ0`5=zf?=io7>52zJ0`5=zf?=ih7>52zJ0`5=zf?=ii7>52zJ0`5=zf?=ij7>52zJ0`5=zf?=h<7>52zJ0`5=zf?=h=7>52zJ0`5=zf?=h>7>51zJ0`5=zf?=h?7>51zJ0`5=zf?=h87>51zJ0`5=zf?=h97>51zJ0`5=zf?=h:7>51zJ0`5=zf?=h;7>51zJ0`5=zf?=h47>51zJ0`5=zf?=h57>51zJ0`5=zf?=hm7>51zJ0`5=zf?=hn7>51zJ0`5=zf?=ho7>51zJ0`5=zf?=hh7>51zJ0`5=zf?=hi7>51zJ0`5=zf?=hj7>51zJ0`5=zf?=o<7>51zJ0`5=zf?=o=7>51zJ0`5=zf?=o>7>51zJ0`5=zf?=o?7>51zJ0`5=zf?=o87>51zJ0`5=zf?=o97>51zJ0`5=zf?=o:7>51zJ0`5=zf?=o;7>51zJ0`5=zf?=o47>51zJ0`5=zf?=o57>51zJ0`5=zf?=om7>51zJ0`5=zf?=on7>51zJ0`5=zf?=oo7>51zJ0`5=zf?=oh7>51zJ0`5=zf?=oi7>51zJ0`5=zf?=oj7>51zJ0`5=zf?=n<7>51zJ0`5=zf?=n=7>51zJ0`5=zf?=n>7>51zJ0`5=zf?=n?7>51zJ0`5=zf?=n87>51zJ0`5=zf?=n97>51zJ0`5=zf?=n:7>51zJ0`5=zf?=n;7>51zJ0`5=zf?=n47>51zJ0`5=zf?=n57>51zJ0`5=zf?=nm7>51zJ0`5=zf?=nn7>51zJ0`5=zf?=no7>51zJ0`5=zf?=nh7>51zJ0`5=zf?=ni7>51zJ0`5=zf?=nj7>51zJ0`5=zf?=m<7>51zJ0`5=zf?=m=7>51zJ0`5=zf?=m>7>52zJ0`5=zf?=m?7>52zJ0`5=zf?=m87>52zJ0`5=zf?=m97>52zJ0`5=zf?=m:7>52zJ0`5=zf?=m;7>52zJ0`5=zf?=m47>52zJ0`5=zf?=m57>52zJ0`5=zf?=mm7>52zJ0`5=zf?=mn7>52zJ0`5=zf?=mo7>52zJ0`5=zf?=mh7>52zJ0`5=zf?=mi7>52zJ0`5=zf?=mj7>52zJ0`5=zf?2;<7>52zJ0`5=zf?2;=7>52zJ0`5=zf?2;>7>52zJ0`5=zf?2;?7>52zJ0`5=zf?2;87>52zJ0`5=zf?2;97>52zJ0`5=zf?2;:7>52zJ0`5=zf?2;;7>52zJ0`5=zf?2;47>52zJ0`5=zf?2;57>52zJ0`5=zf?2;m7>52zJ0`5=zf?2;n7>52zJ0`5=zf?2;o7>52zJ0`5=zf?2;h7>52zJ0`5=zf?2;i7>52zJ0`5=zf?2;j7>52zJ0`5=zf?2:<7>52zJ0`5=zf?2:=7>52zJ0`5=zf?2:>7>52zJ0`5=zf?2:?7>52zJ0`5=zf?2:87>51zJ0`5=zf?2:97>51zJ0`5=zf?2::7>51zJ0`5=zf?2:;7>51zJ0`5=zf?2:47>51zJ0`5=zf?2:57>51zJ0`5=zf?2:m7>51zJ0`5=zf?2:n7>51zJ0`5=zf?2:o7>51zJ0`5=zf?2:h7>51zJ0`5=zf?2:i7>51zJ0`5=zf?2:j7>51zJ0`5=zf?29<7>51zJ0`5=zf?29=7>51zJ0`5=zf?29>7>51zJ0`5=zf?29?7>51zJ0`5=zf?2987>51zJ0`5=zf?2997>51zJ0`5=zf?29:7>51zJ0`5=zf?29;7>51zJ0`5=zf?2947>51zJ0`5=zf?2957>51zJ0`5=zf?29m7>51zJ0`5=zf?29n7>51zJ0`5=zf?29o7>51zJ0`5=zf?29h7>51zJ0`5=zf?29i7>51zJ0`5=zf?29j7>51zJ0`5=zf?28<7>51zJ0`5=zf?28=7>51zJ0`5=zf?28>7>51zJ0`5=zf?28?7>51zJ0`5=zf?2887>51zJ0`5=zf?2897>51zJ0`5=zf?28:7>51zJ0`5=zf?28;7>51zJ0`5=zf?2847>51zJ0`5=zf?2857>51zJ0`5=zf?28m7>51zJ0`5=zf?28n7>51zJ0`5=zf?28o7>51zJ0`5=zf?28h7>51zJ0`5=zf?28i7>51zJ0`5=zf?28j7>51zJ0`5=zf?2?<7>51zJ0`5=zf?2?=7>51zJ0`5=zf?2?>7>51zJ0`5=zf?2??7>51zJ0`5=zf?2?87>52zJ0`5=zf?2?97>52zJ0`5=zf?2?:7>52zJ0`5=zf?2?;7>52zJ0`5=zf?2?47>52zJ0`5=zf?2?57>52zJ0`5=zf?2?m7>52zJ0`5=zf?2?n7>52zJ0`5=zf?2?o7>52zJ0`5=zf?2?h7>52zJ0`5=zf?2?i7>52zJ0`5=zf?2?j7>52zJ0`5=zf?2><7>52zJ0`5=zf?2>=7>52zJ0`5=zf?2>>7>52zJ0`5=zf?2>?7>52zJ0`5=zf?2>87>53zJ0`5=zf?2>97>53zJ0`5=zf?2>:7>53zJ0`5=zf?2>;7>53zJ0`5=zf?2>47>53zJ0`5=zf?2>57>53zJ0`5=zf?2>m7>53zJ0`5=zf?2>n7>53zJ0`5=zf?2>o7>52zJ0`5=zf?2>h7>52zJ0`5=zf?2>i7>52zJ0`5=zf?2>j7>52zJ0`5=zf?2=<7>52zJ0`5=zf?2==7>52zJ0`5=zf?2=>7>52zJ0`5=zf?2=?7>52zJ0`5=zf?2=87>52zJ0`5=zf?2=97>52zJ0`5=zf?2=:7>52zJ0`5=zf?2=;7>52zJ0`5=zf?2=47>52zJ0`5=zf?2=57>52zJ0`5=zf?2=m7>52zJ0`5=zf?2=n7>52zJ0`5=zf?2=o7>52zJ0`5=zf?2=h7>52zJ0`5=zf?2=i7>52zJ0`5=zf?2=j7>52zJ0`5=zf?2<<7>52zJ0`5=zf?2<=7>52zJ0`5=zf?2<>7>52zJ0`5=zf?252zJ0`5=zf?2<87>52zJ0`5=zf?2<97>52zJ0`5=zf?2<:7>53zJ0`5=zf?2<;7>53zJ0`5=zf?2<47>53zJ0`5=zf?2<57>53zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?253zJ0`5=zf?252zJ0`5=zf?252zJ0`5=zf?23<7>52zJ0`5=zf?23=7>52zJ0`5=zf?23>7>52zJ0`5=zf?23?7>52zJ0`5=zf?2387>52zJ0`5=zf?2397>52zJ0`5=zf?23:7>52zJ0`5=zf?23;7>52zJ0`5=zf?2347>52zJ0`5=zf?2357>52zJ0`5=zf?23m7>52zJ0`5=zf?23n7>52zJ0`5=zf?23o7>52zJ0`5=zf?23h7>52zJ0`5=zf?23i7>51zJ0`5=zf?23j7>51zJ0`5=zf?22<7>51zJ0`5=zf?22=7>51zJ0`5=zf?22>7>51zJ0`5=zf?22?7>51zJ0`5=zf?2287>51zJ0`5=zf?2297>51zJ0`5=zf?22:7>51zJ0`5=zf?22;7>51zJ0`5=zf?2247>51zJ0`5=zf?2257>51zJ0`5=zf?22m7>51zJ0`5=zf?22n7>51zJ0`5=zf?22o7>51zJ0`5=zf?22h7>51zJ0`5=zf?22i7>51zJ0`5=zf?22j7>51zJ0`5=zf?2j<7>51zJ0`5=zf?2j=7>51zJ0`5=zf?2j>7>51zJ0`5=zf?2j?7>51zJ0`5=zf?2j87>51zJ0`5=zf?2j97>51zJ0`5=zf?2j:7>51zJ0`5=zf?2j;7>51zJ0`5=zf?2j47>51zJ0`5=zf?2j57>51zJ0`5=zf?2jm7>51zJ0`5=zf?2jn7>51zJ0`5=zf?2jo7>51zJ0`5=zf?2jh7>51zJ0`5=zf?2ji7>51zJ0`5=zf?2jj7>51zJ0`5=zf?2i<7>51zJ0`5=zf?2i=7>51zJ0`5=zf?2i>7>51zJ0`5=zf?2i?7>51zJ0`5=zf?2i87>51zJ0`5=zf?2i97>51zJ0`5=zf?2i:7>51zJ0`5=zf?2i;7>51zJ0`5=zf?2i47>51zJ0`5=zf?2i57>51zJ0`5=zf?2im7>51zJ0`5=zf?2in7>51zJ0`5=zf?2io7>51zJ0`5=zf?2ih7>51zJ0`5=zf?2ii7>52zJ0`5=zf?2ij7>52zJ0`5=zf?2h<7>52zJ0`5=zf?2h=7>52zJ0`5=zf?2h>7>52zJ0`5=zf?2h?7>52zJ0`5=zf?2h87>52zJ0`5=zf?2h97>52zJ0`5=zf?2h:7>52zJ0`5=zf?2h;7>52zJ0`5=zf?2h47>52zJ0`5=zf?2h57>52zJ0`5=zf?2hm7>52zJ0`5=zf?2hn7>52zJ0`5=zf?2ho7>52zJ0`5=zf?2hh7>52zJ0`5=zf?2hi7>52zJ0`5=zf?2hj7>52zJ0`5=zf?2o<7>52zJ0`5=zf?2o=7>52zJ0`5=zf?2o>7>52zJ0`5=zf?2o?7>52zJ0`5=zf?2o87>52zJ0`5=zf?2o97>52zJ0`5=zf?2o:7>52zJ0`5=zf?2o;7>52zJ0`5=zf?2o47>52zJ0`5=zf?2o57>52zJ0`5=zf?2om7>52zJ0`5=zf?2on7>52zJ0`5=zf?2oo7>52zJ0`5=zf?2oh7>52zJ0`5=zf?2oi7>51zJ0`5=zf?2oj7>51zJ0`5=zf?2n<7>51zJ0`5=zf?2n=7>51zJ0`5=zf?2n>7>51zJ0`5=zf?2n?7>51zJ0`5=zf?2n87>51zJ0`5=zf?2n97>51zJ0`5=zf?2n:7>51zJ0`5=zf?2n;7>51zJ0`5=zf?2n47>51zJ0`5=zf?2n57>51zJ0`5=zf?2nm7>51zJ0`5=zf?2nn7>51zJ0`5=zf?2no7>51zJ0`5=zf?2nh7>51zJ0`5=zf?2ni7>51zJ0`5=zf?2nj7>51zJ0`5=zf?2m<7>51zJ0`5=zf?2m=7>51zJ0`5=zf?2m>7>51zJ0`5=zf?2m?7>51zJ0`5=zf?2m87>51zJ0`5=zf?2m97>51zJ0`5=zf?2m:7>51zJ0`5=zf?2m;7>51zJ0`5=zf?2m47>51zJ0`5=zf?2m57>51zJ0`5=zf?2mm7>51zJ0`5=zf?2mn7>51zJ0`5=zf?2mo7>51zJ0`5=zf?2mh7>51zJ0`5=zf?2mi7>51zJ0`5=zf?2mj7>51zJ0`5=zf?3;<7>51zJ0`5=zf?3;=7>51zJ0`5=zf?3;>7>51zJ0`5=zf?3;?7>51zJ0`5=zf?3;87>51zJ0`5=zf?3;97>51zJ0`5=zf?3;:7>51zJ0`5=zf?3;;7>51zJ0`5=zf?3;47>51zJ0`5=zf?3;57>51zJ0`5=zf?3;m7>51zJ0`5=zf?3;n7>51zJ0`5=zf?3;o7>51zJ0`5=zf?3;h7>51zJ0`5=zf?3;i7>52zJ0`5=zf?3;j7>52zJ0`5=zf?3:<7>52zJ0`5=zf?3:=7>52zJ0`5=zf?3:>7>52zJ0`5=zf?3:?7>52zJ0`5=zf?3:87>52zJ0`5=zf?3:97>52zJ0`5=zf?3::7>52zJ0`5=zf?3:;7>52zJ0`5=zf?3:47>52zJ0`5=zf?3:57>52zJ0`5=zf?3:m7>52zJ0`5=zf?3:n7>52zJ0`5=zf?3:o7>52zJ0`5=zf?3:h7>52zJ0`5=zf?3:i7>52zJ0`5=zf?3:j7>52zJ0`5=zf?39<7>52zJ0`5=zf?39=7>52zJ0`5=zf?39>7>52zJ0`5=zf?39?7>52zJ0`5=zf?3987>52zJ0`5=zf?3997>52zJ0`5=zf?39:7>52zJ0`5=zf?39;7>52zJ0`5=zf?3947>52zJ0`5=zf?3957>52zJ0`5=zf?39m7>52zJ0`5=zf?39n7>52zJ0`5=zf?39o7>52zJ0`5=zf?39h7>52zJ0`5=zf?39i7>53zJ0`5=zf?39j7>53zJ0`5=zf?38<7>53zJ0`5=zf?38=7>53zJ0`5=zf?38>7>53zJ0`5=zf?38?7>53zJ0`5=zf?3887>53zJ0`5=zf?3897>53zJ0`5=zf?38:7>53zJ0`5=zf?38;7>53zJ0`5=zf?3847>53zJ0`5=zf?3857>53zJ0`5=zf?38m7>53zJ0`5=zf?38n7>53zJ0`5=zf?38o7>53zJ0`5=zf?38h7>53zJ0`5=zf?38i7>52zJ0`5=zf?38j7>52zJ0`5=zf?3?<7>52zJ0`5=zf?3?=7>52zJ0`5=zf?3?>7>52zJ0`5=zf?3??7>52zJ0`5=zf?3?87>52zJ0`5=zf?3?97>52zJ0`5=zf?3?:7>52zJ0`5=zf?3?;7>52zJ0`5=zf?3?47>52zJ0`5=zf?3?57>52zJ0`5=zf?3?m7>52zJ0`5=zf?3?n7>52zJ0`5=zf?3?o7>52zJ0`5=zf?3?h7>52zJ0`5=zf?3?i7>53zJ0`5=zf?3?j7>53zJ0`5=zf?3><7>53zJ0`5=zf?3>=7>53zJ0`5=zf?3>>7>53zJ0`5=zf?3>?7>53zJ0`5=zf?3>87>53zJ0`5=zf?3>97>53zJ0`5=zf?3>:7>53zJ0`5=zf?3>;7>53zJ0`5=zf?3>47>53zJ0`5=zf?3>57>53zJ0`5=zf?3>m7>53zJ0`5=zf?3>n7>53zJ0`5=zf?3>o7>53zJ0`5=zf?3>h7>53zJ0`5=zf?3>i7>52zJ0`5=zf?3>j7>52zJ0`5=zf?3=<7>52zJ0`5=zf?3==7>52zJ0`5=zf?3=>7>52zJ0`5=zf?3=?7>52zJ0`5=zf?3=87>52zJ0`5=zf?3=97>52zJ0`5=zf?3=:7>55zJ0`5=zf?3=;7>55zJ0`5=zf?3=47>55zJ0`5=zf?3=57>55zJ0`5=zf?3=m7>52zJ0`5=zf?3=n7>52zJ0`5=zf?3=o7>52zJ0`5=zf?3=h7>52zJ0`5=zf?3=i7>52zJ0`5=zf?3=j7>52zJ0`5=zf?3<<7>55zJ0`5=zf?3<=7>55zJ0`5=zf?3<>7>55zJ0`5=zf?355zJ0`5=zf?3<87>55zJ0`5=zf?3<97>55zJ0`5=zf?3<:7>55zJ0`5=zf?3<;7>55zJ0`5=zf?3<47>55zJ0`5=zf?3<57>55zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?352zJ0`5=zf?33<7>52zJ0`5=zf?33=7>52zJ0`5=zf?33>7>52zJ0`5=zf?33?7>52zJ0`5=zf?3387>52zJ0`5=zf?3397>52zJ0`5=zf?33:7>52zJ0`5=zf?33;7>52zJ0`5=zf?3347>52zJ0`5=zf?3357>52zJ0`5=zf?33m7>52zJ0`5=zf?33n7>52zJ0`5=zf?33o7>52zJ0`5=zf?33h7>52zJ0`5=zf?33i7>52zJ0`5=zf?33j7>52zJ0`5=zf?32<7>52zJ0`5=zf?32=7>52zJ0`5=zf?32>7>52zJ0`5=zf?32?7>52zJ0`5=zf?3287>52zJ0`5=zf?3297>52zJ0`5=zf?32:7>52zJ0`5=zf?32;7>52zJ0`5=zf?3247>52zJ0`5=zf?3257>52zJ0`5=zf?32m7>52zJ0`5=zf?32n7>52zJ0`5=zf?32o7>52zJ0`5=zf?32h7>52zJ0`5=zf?32i7>52zJ0`5=zf?32j7>52zJ0`5=zf?3j<7>52zJ0`5=zf?3j=7>52zJ0`5=zf?3j>7>52zJ0`5=zf?3j?7>52zJ0`5=zf?3j87>52zJ0`5=zf?3j97>52zJ0`5=zf?3j:7>52zJ0`5=zf?3j;7>52zJ0`5=zf?3j47>52zJ0`5=zf?3j57>52zJ0`5=zf?3jm7>52zJ0`5=zf?3jn7>52zJ0`5=zf?3jo7>52zJ0`5=zf?3jh7>52zJ0`5=zf?3ji7>52zJ0`5=zf?3jj7>52zJ0`5=zf?3i<7>52zJ0`5=zf?3i=7>52zJ0`5=zf?3i>7>52zJ0`5=zf?3i?7>52zJ0`5=zf?3i87>52zJ0`5=zf?3i97>52zJ0`5=zf?3i:7>52zJ0`5=zf?3i;7>52zJ0`5=zf?3i47>52zJ0`5=zf?3i57>52zJ0`5=zf?3im7>52zJ0`5=zf?3in7>52zJ0`5=zf?3io7>52zJ0`5=zf?3ih7>52zJ0`5=zf?3ii7>52zJ0`5=zf?3ij7>52zJ0`5=zf?3h<7>52zJ0`5=zf?3h=7>52zJ0`5=zf?3h>7>52zJ0`5=zf?3h?7>52zJ0`5=zf?3h87>52zJ0`5=zf?3h97>52zJ0`5=zf?3h:7>52zJ0`5=zf?3h;7>52zJ0`5=zf?3h47>52zJ0`5=zf?3h57>52zJ0`5=zf?3hm7>52zJ0`5=zf?3hn7>52zJ0`5=zf?3ho7>52zJ0`5=zf?3hh7>52zJ0`5=zf?3hi7>52zJ0`5=zf?3hj7>52zJ0`5=zf?3o<7>52zJ0`5=zf?3o=7>52zJ0`5=zf?3o>7>52zJ0`5=zf?3o?7>52zJ0`5=zf?3o87>52zJ0`5=zf?3o97>52zJ0`5=zf?3o:7>52zJ0`5=zf?3o;7>52zJ0`5=zf?3o47>52zJ0`5=zf?3o57>52zJ0`5=zf?3om7>52zJ0`5=zf?3on7>52zJ0`5=zf?3oo7>52zJ0`5=zf?3oh7>52zJ0`5=zf?3oi7>52zJ0`5=zf?3oj7>52zJ0`5=zf?3n<7>52zJ0`5=zf?3n=7>52zJ0`5=zf?3n>7>52zJ0`5=zf?3n?7>52zJ0`5=zf?3n87>52zJ0`5=zf?3n97>52zJ0`5=zf?3n:7>52zJ0`5=zf?3n;7>52zJ0`5=zf?3n47>52zJ0`5=zf?3n57>52zJ0`5=zf?3nm7>52zJ0`5=zf?3nn7>52zJ0`5=zf?3no7>52zJ0`5=zf?3nh7>52zJ0`5=zf?3ni7>52zJ0`5=zf?3nj7>52zJ0`5=zf?3m<7>52zJ0`5=zf?3m=7>52zJ0`5=zf?3m>7>52zJ0`5=zf?3m?7>52zJ0`5=zf?3m87>52zJ0`5=zf?3m97>52zJ0`5=zf?3m:7>52zJ0`5=zf?3m;7>52zJ0`5=zf?3m47>52zJ0`5=zf?3m57>52zJ0`5=zf?3mm7>53zJ0`5=zf?3mn7>53zJ0`5=zf?3mo7>53zJ0`5=zf?3mh7>53zJ0`5=zf?3mi7>53zJ0`5=zf?3mj7>53zJ0`5=zf?k;<7>53zJ0`5=zf?k;=7>53zJ0`5=zf?k;>7>53zJ0`5=zf?k;?7>53zJ0`5=zf?k;87>53zJ0`5=zf?k;97>53zJ0`5=zf?k;:7>53zJ0`5=zf?k;;7>53zJ0`5=zf?k;47>53zJ0`5=zf?k;57>53zJ0`5=zf?k;m7>53zJ0`5=zf?k;n7>53zJ0`5=zf?k;o7>53zJ0`5=zf?k;h7>53zJ0`5=zf?k;i7>53zJ0`5=zf?k;j7>53zJ0`5=zf?k:<7>53zJ0`5=zf?k:=7>53zJ0`5=zf?k:>7>53zJ0`5=zf?k:?7>53zJ0`5=zf?k:87>53zJ0`5=zf?k:97>53zJ0`5=zf?k::7>53zJ0`5=zf?k:;7>53zJ0`5=zf?k:47>53zJ0`5=zf?k:57>53zJ0`5=zf?k:m7>53zJ0`5=zf?k:n7>53zJ0`5=zf?k:o7>53zJ0`5=zf?k:h7>53zJ0`5=zf?k:i7>53zJ0`5=zf?k:j7>53zJ0`5=zf?k9<7>53zJ0`5=zf?k9=7>53zJ0`5=zf?k9>7>53zJ0`5=zf?k9?7>53zJ0`5=zf?k987>53zJ0`5=zf?k997>53zJ0`5=zf?k9:7>53zJ0`5=zf?k9;7>53zJ0`5=zf?k947>53zJ0`5=zf?k957>53zJ0`5=zf?k9m7>53zJ0`5=zf?k9n7>53zJ0`5=zf?k9o7>53zJ0`5=zf?k9h7>53zJ0`5=zf?k9i7>53zJ0`5=zf?k9j7>53zJ0`5=zf?k8<7>51zJ0`5=zf?k8=7>51zJ0`5=zf?k8>7>52zJ0`5=zf?k8?7>52zJ0`5=zf?k887>52zJ0`5=zf?k897>52zJ0`5=zf?k8:7>52zJ0`5=zf?k8;7>52zJ0`5=zf?k847>52zJ0`5=zf?k857>52zJ0`5=zf?k8m7>52zJ0`5=zf?k8n7>52zJ0`5=zf?k8o7>52zJ0`5=zf?k8h7>52zJ0`5=zf?k8i7>52zJ0`5=zf?k8j7>52zJ0`5=zf?k?<7>52zJ0`5=zf?k?=7>52zJ0`5=zf?k?>7>52zJ0`5=zf?k??7>52zJ0`5=zf?k?87>52zJ0`5=zf?k?97>52zJ0`5=zf?k?:7>52zJ0`5=zf?k?;7>52zJ0`5=zf?k?47>52zJ0`5=zf?k?57>52zJ0`5=zf?k?m7>52zJ0`5=zf?k?n7>52zJ0`5=zf?k?o7>52zJ0`5=zf?k?h7>52zJ0`5=zf?k?i7>52zJ0`5=zf?k?j7>52zJ0`5=zf?k><7>52zJ0`5=zf?k>=7>52zJ0`5=zf?k>>7>52zJ0`5=zf?k>?7>52zJ0`5=zf?k>87>52zJ0`5=zf?k>97>52zJ0`5=zf?k>:7>52zJ0`5=zf?k>;7>52zJ0`5=zf?k>47>52zJ0`5=zf?k>57>52zJ0`5=zf?k>m7>52zJ0`5=zf?k>n7>52zJ0`5=zf?k>o7>52zJ0`5=zf?k>h7>52zJ0`5=zf?k>i7>52zJ0`5=zf?k>j7>52zJ0`5=zf?k=<7>52zJ0`5=zf?k==7>52zJ0`5=zf?k=>7>52zJ0`5=zf?k=?7>52zJ0`5=zf?k=87>52zJ0`5=zf?k=97>52zJ0`5=zf?k=:7>52zJ0`5=zf?k=;7>52zJ0`5=zf?k=47>52zJ0`5=zf?k=57>52zJ0`5=zf?k=m7>52zJ0`5=zf?k=n7>52zJ0`5=zf?k=o7>52zJ0`5=zf?k=h7>52zJ0`5=zf?k=i7>52zJ0`5=zf?k=j7>52zJ0`5=zf?k<<7>52zJ0`5=zf?k<=7>52zJ0`5=zf?k<>7>51zJ0`5=zf?k52zJ0`5=zf?k<87>51zJ0`5=zf?k<97>51zJ0`5=zf?k<:7>51zJ0`5=zf?k<;7>51zJ0`5=zf?k<47>51zJ0`5=zf?k<57>51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k51zJ0`5=zf?k3<7>51zJ0`5=zf?k3=7>51zJ0`5=zf?k3>7>51zJ0`5=zf?k3?7>51zJ0`5=zf?k387>51zJ0`5=zf?k397>51zJ0`5=zf?k3:7>51zJ0`5=zf?k3;7>51zJ0`5=zf?k347>51zJ0`5=zf?k357>51zJ0`5=zf?k3m7>51zJ0`5=zf?k3n7>51zJ0`5=zf?k3o7>51zJ0`5=zf?k3h7>51zJ0`5=zf?k3i7>51zJ0`5=zf?k3j7>51zJ0`5=zf?k2<7>51zJ0`5=zf?k2=7>51zJ0`5=zf?k2>7>51zJ0`5=zf?k2?7>51zJ0`5=zf?k287>51zJ0`5=zf?k297>51zJ0`5=zf?k2:7>51zJ0`5=zf?k2;7>51zJ0`5=zf?k247>51zJ0`5=zf?k257>51zJ0`5=zf?k2m7>51zJ0`5=zf?k2n7>51zJ0`5=zf?k2o7>51zJ0`5=zf?k2h7>51zJ0`5=zf?k2i7>51zJ0`5=zf?k2j7>51zJ0`5=zf?kj<7>51zJ0`5=zf?kj=7>51zJ0`5=zf?kj>7>51zJ0`5=zf?kj?7>51zJ0`5=zf?kj87>51zJ0`5=zf?kj97>51zJ0`5=zf?kj:7>51zJ0`5=zf?kj;7>51zJ0`5=zf?kj47>51zJ0`5=zf?kj57>51zJ0`5=zf?kjm7>51zJ0`5=zf?kjn7>51zJ0`5=zf?kjo7>51zJ0`5=zf?kjh7>51zJ0`5=zf?kji7>51zJ0`5=zf?kjj7>51zJ0`5=zf?ki<7>51zJ0`5=zf?ki=7>51zJ0`5=zf?ki>7>51zJ0`5=zf?ki?7>51zJ0`5=zf?ki87>51zJ0`5=zf?ki97>51zJ0`5=zf?ki:7>51zJ0`5=zf?ki;7>51zJ0`5=zf?ki47>51zJ0`5=zf?ki57>51zJ0`5=zf?kim7>51zJ0`5=zf?kin7>51zJ0`5=zf?kio7>51zJ0`5=zf?kih7>51zJ0`5=zf?kii7>51zJ0`5=zf?kij7>51zJ0`5=zf?kh<7>51zJ0`5=zf?kh=7>51zJ0`5=zf?kh>7>51zJ0`5=zf?kh?7>51zJ0`5=zf?kh87>51zJ0`5=zf?kh97>51zJ0`5=zf?kh:7>51zJ0`5=zf?kh;7>51zJ0`5=zf?kh47>51zJ0`5=zf?kh57>51zJ0`5=zf?khm7>51zJ0`5=zf?khn7>51zJ0`5=zf?kho7>51zJ0`5=zf?khh7>51zJ0`5=zf?khi7>51zJ0`5=zf?khj7>51zJ0`5=zf?ko<7>51zJ0`5=zf?ko=7>51zJ0`5=zf?ko>7>51zJ0`5=zf?ko?7>51zJ0`5=zf?ko87>51zJ0`5=zf?ko97>51zJ0`5=zf?ko:7>51zJ0`5=zf?ko;7>51zJ0`5=zf?ko47>51zJ0`5=zf?ko57>51zJ0`5=zf?kom7>51zJ0`5=zf?kon7>51zJ0`5=zf?koo7>51zJ0`5=zf?koh7>51zJ0`5=zf?koi7>51zJ0`5=zf?koj7>51zJ0`5=zf?kn<7>51zJ0`5=zf?kn=7>51zJ0`5=zf?kn>7>51zJ0`5=zf?kn?7>51zJ0`5=zf?kn87>51zJ0`5=zf?kn97>51zJ0`5=zf?kn:7>51zJ0`5=zf?kn;7>51zJ0`5=zf?kn47>51zJ0`5=zf?kn57>51zJ0`5=zf?knm7>51zJ0`5=zf?knn7>51zJ0`5=zf?kno7>51zJ0`5=zf?knh7>51zJ0`5=zf?kni7>51zJ0`5=zf?knj7>51zJ0`5=zf?km<7>51zJ0`5=zf?km=7>51zJ0`5=zf?km>7>51zJ0`5=zf?km?7>51zJ0`5=zf?km87>51zJ0`5=zf?km97>51zJ0`5=zf?km:7>51zJ0`5=zf?km;7>51zJ0`5=zf?km47>51zJ0`5=zf?km57>51zJ0`5=zf?kmm7>51zJ0`5=zf?kmn7>51zJ0`5=zf?kmo7>51zJ0`5=zf?kmh7>51zJ0`5=zf?kmi7>51zJ0`5=zf?kmj7>51zJ0`5=zf?h;<7>51zJ0`5=zf?h;=7>51zJ0`5=zf?h;>7>51zJ0`5=zf?h;?7>51zJ0`5=zf?h;87>51zJ0`5=zf?h;97>51zJ0`5=zf?h;:7>51zJ0`5=zf?h;;7>51zJ0`5=zf?h;47>51zJ0`5=zf?h;57>51zJ0`5=zf?h;m7>51zJ0`5=zf?h;n7>51zJ0`5=zf?h;o7>51zJ0`5=zf?h;h7>51zJ0`5=zf?h;i7>51zJ0`5=zf?h;j7>51zJ0`5=zf?h:<7>51zJ0`5=zf?h:=7>51zJ0`5=zf?h:>7>51zJ0`5=zf?h:?7>51zJ0`5=zf?h:87>51zJ0`5=zf?h:97>51zJ0`5=zf?h::7>51zJ0`5=zf?h:;7>51zJ0`5=zf?h:47>51zJ0`5=zf?h:57>51zJ0`5=zf?h:m7>51zJ0`5=zf?h:n7>51zJ0`5=zf?h:o7>51zJ0`5=zf?h:h7>51zJ0`5=zf?h:i7>51zJ0`5=zf?h:j7>51zJ0`5=zf?h9<7>51zJ0`5=zf?h9=7>51zJ0`5=zf?h9>7>51zJ0`5=zf?h9?7>51zJ0`5=zf?h987>51zJ0`5=zf?h997>51zJ0`5=zf?h9:7>51zJ0`5=zf?h9;7>51zJ0`5=zf?h947>51zJ0`5=zf?h957>51zJ0`5=zf?h9m7>51zJ0`5=zf?h9n7>51zJ0`5=zf?h9o7>51zJ0`5=zf?h9h7>51zJ0`5=zf?h9i7>51zJ0`5=zf?h9j7>51zJ0`5=zf?h8<7>51zJ0`5=zf?h8=7>51zJ0`5=zf?h8>7>51zJ0`5=zf?h8?7>51zJ0`5=zf?h887>51zJ0`5=zf?h897>51zJ0`5=zf?h8:7>51zJ0`5=zf?h8;7>51zJ0`5=zf?h847>51zJ0`5=zf?h857>51zJ0`5=zf?h8m7>51zJ0`5=zf?h8n7>51zJ0`5=zf?h8o7>51zJ0`5=zf?h8h7>51zJ0`5=zf?h8i7>51zJ0`5=zf?h8j7>51zJ0`5=zf?h?<7>51zJ0`5=zf?h?=7>51zJ0`5=zf?h?>7>51zJ0`5=zf?h??7>51zJ0`5=zf?h?87>51zJ0`5=zf?h?97>51zJ0`5=zf?h?:7>51zJ0`5=zf?h?;7>51zJ0`5=zf?h?47>51zJ0`5=zf?h?57>51zJ0`5=zf?h?m7>51zJ0`5=zf?h?n7>51zJ0`5=zf?h?o7>51zJ0`5=zf?h?h7>51zJ0`5=zf?h?i7>51zJ0`5=zf?h?j7>51zJ0`5=zf?h><7>51zJ0`5=zf?h>=7>51zJ0`5=zf?h>>7>51zJ0`5=zf?h>?7>51zJ0`5=zf?h>87>51zJ0`5=zf?h>97>51zJ0`5=zf?h>:7>51zJ0`5=zf?h>;7>51zJ0`5=zf?h>47>51zJ0`5=zf?h>57>51zJ0`5=zf?h>m7>51zJ0`5=zf?h>n7>51zJ0`5=zf?h>o7>51zJ0`5=zf?h>h7>51zJ0`5=zf?h>i7>51zJ0`5=zf?h>j7>51zJ0`5=zf?h=<7>51zJ0`5=zf?h==7>51zJ0`5=zf?h=>7>51zJ0`5=zf?h=?7>51zJ0`5=zf?h=87>51zJ0`5=zf?h=97>51zJ0`5=zf?h=:7>51zJ0`5=zf?h=;7>51zJ0`5=zf?h=47>51zJ0`5=zf?h=57>51zJ0`5=zf?h=m7>51zJ0`5=zf?h=n7>51zJ0`5=zf?h=o7>51zJ0`5=zf?h=h7>51zJ0`5=zf?h=i7>51zJ0`5=zf?h=j7>51zJ0`5=zf?h<<7>51zJ0`5=zf?h<=7>51zJ0`5=zf?h<>7>51zJ0`5=zf?h51zJ0`5=zf?h<87>51zJ0`5=zf?h<97>51zJ0`5=zf?h<:7>51zJ0`5=zf?h<;7>51zJ0`5=zf?h<47>51zJ0`5=zf?h<57>51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h51zJ0`5=zf?h3<7>51zJ0`5=zf?h3=7>51zJ0`5=zf?h3>7>51zJ0`5=zf?h3?7>51zJ0`5=zf?h387>51zJ0`5=zf?h397>51zJ0`5=zf?h3:7>51zJ0`5=zf?h3;7>51zJ0`5=zf?h347>51zJ0`5=zf?h357>51zJ0`5=zf?h3m7>51zJ0`5=zf?h3n7>51zJ0`5=zf?h3o7>51zJ0`5=zf?h3h7>51zJ0`5=zf?h3i7>51zJ0`5=zf?h3j7>51zJ0`5=zf?h2<7>51zJ0`5=zf?h2=7>51zJ0`5=zf?h2>7>51zJ0`5=zf?h2?7>51zJ0`5=zf?h287>51zJ0`5=zf?h297>51zJ0`5=zf?h2:7>51zJ0`5=zf?h2;7>51zJ0`5=zf?h247>51zJ0`5=zf?h257>51zJ0`5=zf?h2m7>51zJ0`5=zf?h2n7>51zJ0`5=zf?h2o7>51zJ0`5=zf?h2h7>51zJ0`5=zf?h2i7>51zJ0`5=zf?h2j7>51zJ0`5=zf?hj<7>51zJ0`5=zf?hj=7>51zJ0`5=zf?hj>7>51zJ0`5=zf?hj?7>51zJ0`5=zf?hj87>51zJ0`5=zf?hj97>51zJ0`5=zf?hj:7>51zJ0`5=zf?hj;7>51zJ0`5=zf?hj47>51zJ0`5=zf?hj57>51zJ0`5=zf?hjm7>51zJ0`5=zf?hjn7>51zJ0`5=zf?hjo7>51zJ0`5=zf?hjh7>51zJ0`5=zf?hji7>51zJ0`5=zf?hjj7>51zJ0`5=zf?hi<7>51zJ0`5=zf?hi=7>51zJ0`5=zf?hi>7>51zJ0`5=zf?hi?7>51zJ0`5=zf?hi87>51zJ0`5=zf?hi97>51zJ0`5=zf?hi:7>51zJ0`5=zf?hi;7>51zJ0`5=zf?hi47>51zJ0`5=zf?hi57>51zJ0`5=zf?him7>51zJ0`5=zf?hin7>51zJ0`5=zf?hio7>51zJ0`5=zf?hih7>51zJ0`5=zf?hii7>51zJ0`5=zf?hij7>51zJ0`5=zf?hh<7>51zJ0`5=zf?hh=7>51zJ0`5=zf?hh>7>51zJ0`5=zf?hh?7>51zJ0`5=zf?hh87>51zJ0`5=zf?hh97>51zJ0`5=zf?hh:7>51zJ0`5=zf?hh;7>51zJ0`5=zf?hh47>51zJ0`5=zf?hh57>51zJ0`5=zf?hhm7>51zJ0`5=zf?hhn7>51zJ0`5=zf?hho7>51zJ0`5=zf?hhh7>51zJ0`5=zf?hhi7>51zJ0`5=zf?hhj7>51zJ0`5=zf?ho<7>51zJ0`5=zf?ho=7>51zJ0`5=zf?ho>7>51zJ0`5=zf?ho?7>51zJ0`5=zf?ho87>51zJ0`5=zf?ho97>51zJ0`5=zf?ho:7>51zJ0`5=zf?ho;7>51zJ0`5=zf?ho47>51zJ0`5=zf?ho57>51zJ0`5=zf?hom7>51zJ0`5=zf?hon7>51zJ0`5=zf?hoo7>51zJ0`5=zf?hoh7>51zJ0`5=zf?hoi7>51zJ0`5=zf?hoj7>51zJ0`5=zf?hn<7>51zJ0`5=zf?hn=7>51zJ0`5=zf?hn>7>51zJ0`5=zf?hn?7>51zJ0`5=zf?hn87>51zJ0`5=zf?hn97>51zJ0`5=zf?hn:7>51zJ0`5=zf?hn;7>51zJ0`5=zf?hn47>51zJ0`5=zf?hn57>51zJ0`5=zf?hnm7>51zJ0`5=zf?hnn7>51zJ0`5=zf?hno7>51zJ0`5=zf?hnh7>51zJ0`5=zf?hni7>51zJ0`5=zf?hnj7>51zJ0`5=zf?hm<7>51zJ0`5=zf?hm=7>51zJ0`5=zf?hm>7>51zJ0`5=zf?hm?7>51zJ0`5=zf?hm87>51zJ0`5=zf?hm97>51zJ0`5=zf?hm:7>51zJ0`5=zf?hm;7>51zJ0`5=zf?hm47>51zJ0`5=zf?hm57>51zJ0`5=zf?hmm7>51zJ0`5=zf?hmn7>51zJ0`5=zf?hmo7>51zJ0`5=zf?hmh7>51zJ0`5=zf?hmi7>51zJ0`5=zf?hmj7>51zJ0`5=zf?i;<7>51zJ0`5=zf?i;=7>51zJ0`5=zf?i;>7>51zJ0`5=zf?i;?7>51zJ0`5=zf?i;87>51zJ0`5=zf?i;97>51zJ0`5=zf?i;:7>51zJ0`5=zf?i;;7>51zJ0`5=zf?i;47>51zJ0`5=zf?i;57>51zJ0`5=zf?i;m7>51zJ0`5=zf?i;n7>51zJ0`5=zf?i;o7>51zJ0`5=zf?i;h7>51zJ0`5=zf?i;i7>51zJ0`5=zf?i;j7>51zJ0`5=zf?i:<7>51zJ0`5=zf?i:=7>51zJ0`5=zf?i:>7>51zJ0`5=zf?i:?7>51zJ0`5=zf?i:87>51zJ0`5=zf?i:97>51zJ0`5=zf?i::7>51zJ0`5=zf?i:;7>51zJ0`5=zf?i:47>51zJ0`5=zf?i:57>51zJ0`5=zf?i:m7>51zJ0`5=zf?i:n7>51zJ0`5=zf?i:o7>51zJ0`5=zf?i:h7>51zJ0`5=zf?i:i7>51zJ0`5=zf?i:j7>51zJ0`5=zf?i9<7>51zJ0`5=zf?i9=7>51zJ0`5=zf?i9>7>51zJ0`5=zf?i9?7>51zJ0`5=zf?i987>51zJ0`5=zf?i997>51zJ0`5=zf?i9:7>51zJ0`5=zf?i9;7>51zJ0`5=zf?i947>51zJ0`5=zf?i957>51zJ0`5=zf8=oj7>51zJ0`5=zf8=n<7>51zJ0`5=zf8=n=7>51zJ0`5=zf8=n>7>51zJ0`5=zf8=n?7>51zJ0`5=zf8=n87>51zJ0`5=zf8=n97>51zJ0`5=zf8=n:7>51zJ0`5=zf8=n;7>51zJ0`5=zf8=n47>51zJ0`5=zf8=n57>51zJ0`5=zf8=nm7>51zJ0`5=zf8=nn7>51zJ0`5=zf8=no7>51zJ0`5=zf8=nh7>51zJ0`5=zf8=ni7>51zJ0`5=zf8=nj7>51zJ0`5=zf8=m<7>51zJ0`5=zf8=m=7>51zJ0`5=zf8=m>7>51zJ0`5=zf8=m?7>51zJ0`5=zf8=m87>51zJ0`5=zf8=m97>51zJ0`5=zf8=m:7>51zJ0`5=zf8=m;7>51zJ0`5=zf8=m47>51zJ0`5=zf8=m57>51zJ0`5=zf8=mm7>51zJ0`5=zf8=mn7>51zJ0`5=zf8=mo7>51zJ0`5=zf8=mh7>51zJ0`5=zf8=mi7>51zJ0`5=zf8=mj7>51zJ0`5=zf82;<7>51zJ0`5=zf82;=7>51zJ0`5=zf82;>7>51zJ0`5=zf82;?7>51zJ0`5=zf82;87>51zJ0`5=zf82;97>51zJ0`5=zf82;:7>51zJ0`5=zf82;;7>51zJ0`5=zf82;47>51zJ0`5=zf82;57>51zJ0`5=zf82;m7>51zJ0`5=zf82;n7>51zJ0`5=zf82;o7>51zJ0`5=zf82;h7>51zJ0`5=zf82;i7>51zJ0`5=zf82;j7>51zJ0`5=zf82:<7>51zJ0`5=zf;i3h7>51zJ0`5=zf;i3i7>51zJ0`5=zf;i3j7>51zJ0`5=zf;i2<7>51zJ0`5=zf;i2=7>51zJ0`5=zf;i2>7>51zJ0`5=zf;i2?7>51zJ0`5=zf;i287>51zJ0`5=zf;i297>51zJ0`5=zf;i2:7>51zJ0`5=zf;i2;7>51zJ0`5=zf;i247>51zJ0`5=zf;i257>51zJ0`5=zf;i2m7>51zJ0`5=zf;i2n7>51zJ0`5=zf;i2o7>51zJ0`5=zf;i2h7>51zJ0`5=zf;i2i7>51zJ0`5=zf;nn=7>51zJ0`5=zf;nn>7>51zJ0`5=zf;nn?7>51zJ0`5=zf;nn87>51zJ0`5=zf;nn97>51zJ0`5=zf;nn:7>51zJ0`5=zf;nn;7>51zJ0`5=zf;nn47>51zJ0`5=zf;nn57>51zJ0`5=zf;nnm7>51zJ0`5=zf;nnn7>51zJ0`5=zf;nno7>51zJ0`5=zf;nnh7>51zJ0`5=zf;nni7>51zJ0`5=zf;nnj7>51zJ0`5=zf;nm<7>51zJ0`5=zf;nm=7>51zJ0`5=zf;nm>7>51zJ0`5=zf;l897>51zJ0`5=zf;l8:7>51zJ0`5=zf;l8;7>51zJ0`5=zf;l847>51zJ0`5=zf;l857>51zJ0`5=zf;l8m7>51zJ0`5=zf;l8n7>51zJ0`5=zf;l8o7>51zJ0`5=zf;l8h7>51zJ0`5=zf;l8i7>51zJ0`5=zf;l8j7>51zJ0`5=zf;l?<7>51zJ0`5=zf;l?=7>51zJ0`5=zf;l?>7>51zJ0`5=zf;l??7>51zJ0`5=zf;l?87>51zJ0`5=zf;l?97>51zJ0`5=zf;l?:7>51zJ0`5=zf::357>51zJ0`5=zf::3m7>51zJ0`5=zf::3n7>51zJ0`5=zf::3o7>51zJ0`5=zf::3h7>51zJ0`5=zf::3i7>51zJ0`5=zf::3j7>51zJ0`5=zf::2<7>51zJ0`5=zf::2=7>51zJ0`5=zf::2>7>51zJ0`5=zf::2?7>51zJ0`5=zf::287>51zJ0`5=zf::297>51zJ0`5=zf::2:7>51zJ0`5=zf::2;7>51zJ0`5=zf::247>51zJ0`5=zf::257>51zJ0`5=zf::2m7>51zJ0`5=zf:;oh7>51zJ0`5=zf:;oi7>51zJ0`5=zf:;oj7>51zJ0`5=zf:;n<7>51zJ0`5=zf:;n=7>51zJ0`5=zf:;n>7>51zJ0`5=zf:;n?7>51zJ0`5=zf:;n87>51zJ0`5=zf:;n97>51zJ0`5=zf:;n:7>51zJ0`5=zf:;n;7>51zJ0`5=zf:;n47>51zJ0`5=zf:;n57>51zJ0`5=zf:;nm7>51zJ0`5=zf:;nn7>51zJ0`5=zf:;no7>51zJ0`5=zf:;nh7>51zJ0`5=zf:;ni7>51zJ0`5=zf:98=7>51zJ0`5=zf:98>7>51zJ0`5=zf:98?7>51zJ0`5=zf:9887>51zJ0`5=zf:9897>51zJ0`5=zf:98:7>51zJ0`5=zf:98;7>51zJ0`5=zf:9847>51zJ0`5=zf:9857>51zJ0`5=zf:98m7>51zJ0`5=zf:98n7>51zJ0`5=zf:98o7>51zJ0`5=zf:98h7>51zJ0`5=zf:98i7>51zJ0`5=zf:98j7>51zJ0`5=zf:9?<7>51zJ0`5=zf:9?=7>51zJ0`5=zf:9?>7>51zJ0`5=zf:>397>51zJ0`5=zf:>3:7>51zJ0`5=zf:>3;7>51zJ0`5=zf:>347>51zJ0`5=zf:>357>51zJ0`5=zf:>3m7>51zJ0`5=zf:>3n7>51zJ0`5=zf:>3o7>51zJ0`5=zf:>3h7>51zJ0`5=zf:>3i7>51zJ0`5=zf:>3j7>51zJ0`5=zf:>2<7>51zJ0`5=zf:>2=7>51zJ0`5=zf:>2>7>51zJ0`5=zf:>2?7>51zJ0`5=zf:>287>51zJ0`5=zf:>297>51zJ0`5=zf:>2:7>51zJ0`5=zf:?o57>51zJ0`5=zf:?om7>51zJ0`5=zf:?on7>51zJ0`5=zf:?oo7>51zJ0`5=zf:?oh7>51zJ0`5=zf:?oi7>51zJ0`5=zf:?oj7>51zJ0`5=zf:?n<7>51zJ0`5=zf:?n=7>51zJ0`5=zf:?n>7>51zJ0`5=zf:?n?7>51zJ0`5=zf:?n87>51zJ0`5=zf:?n97>51zJ0`5=zf:?n:7>51zJ0`5=zf:?n;7>51zJ0`5=zf:?n47>51zJ0`5=zf:?n57>51zJ0`5=zf:?nm7>51zJ0`5=zf:=9h7>51zJ0`5=zf:=9i7>51zJ0`5=zf:=9j7>51zJ0`5=zf:=8<7>51zJ0`5=zf:=8=7>51zJ0`5=zf:=8>7>51zJ0`5=zf:=8?7>51zJ0`5=zf:=887>51zJ0`5=zf:=897>51zJ0`5=zf:=8:7>51zJ0`5=zf:=8;7>51zJ0`5=zf:=847>51zJ0`5=zf:=857>51zJ0`5=zf:=8m7>51zJ0`5=zf:=8n7>51zJ0`5=zf:=8o7>51zJ0`5=zf:=8h7>51zJ0`5=zf:=8i7>51zJ0`5=zf:23=7>51zJ0`5=zf:23>7>51zJ0`5=zf:23?7>51zJ0`5=zf:2387>51zJ0`5=zf:2397>51zJ0`5=zf:23:7>51zJ0`5=zf:23;7>51zJ0`5=zf:2347>51zJ0`5=zf:2357>51zJ0`5=zf:23m7>51zJ0`5=zf:23n7>51zJ0`5=zf:23o7>51zJ0`5=zf:23h7>51zJ0`5=zf:23i7>51zJ0`5=zf:23j7>51zJ0`5=zf:22<7>51zJ0`5=zf:22=7>51zJ0`5=zf:22>7>51zJ0`5=zf:3o97>51zJ0`5=zf:3o:7>51zJ0`5=zf:3o;7>51zJ0`5=zf:3o47>51zJ0`5=zf:3o57>51zJ0`5=zf:3om7>51zJ0`5=zf:3on7>51zJ0`5=zf:3oo7>51zJ0`5=zf:3oh7>51zJ0`5=zf:3oi7>51zJ0`5=zf:3oj7>51zJ0`5=zf:3n<7>51zJ0`5=zf:3n=7>51zJ0`5=zf:3n>7>51zJ0`5=zf:3n?7>51zJ0`5=zf:3n87>51zJ0`5=zf:3n97>51zJ0`5=zf:3n:7>51zJ0`5=zf:h957>51zJ0`5=zf:h9m7>51zJ0`5=zf:h9n7>51zJ0`5=zf:h9o7>51zJ0`5=zf:h9h7>51zJ0`5=zf:h9i7>51zJ0`5=zf:h9j7>51zJ0`5=zf:h8<7>51zJ0`5=zf:h8=7>51zJ0`5=zf:h8>7>51zJ0`5=zf:h8?7>51zJ0`5=zf:h887>51zJ0`5=zf:h897>51zJ0`5=zf:h8:7>51zJ0`5=zf:h8;7>51zJ0`5=zf:h847>51zJ0`5=zf:h857>51zJ0`5=zf:h8m7>51zJ0`5=zf:n<=7>51zJ0`5=zf:n<>7>51zJ0`5=zf:n51zJ0`5=zf:n<87>51zJ0`5=zf:n<97>51zJ0`5=zf:n<:7>51zJ0`5=zf:n<;7>51zJ0`5=zf:n<47>51zJ0`5=zf:n<57>51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n51zJ0`5=zf:n3<7>51zJ0`5=zf:n3=7>51zJ0`5=zf:n3>7>51zJ0`5=zf:n3?7>51zJ0`5=zf:n387>51zJ0`5=zf:n397>51zJ0`5=zf:n3:7>51zJ0`5=zf:n3;7>51zJ0`5=zf:n347>51zJ0`5=zf:n357>51zJ0`5=zf:n3m7>51zJ0`5=zf:n3n7>51zJ0`5=zf:n3o7>51zJ0`5=zf:n3h7>51zJ0`5=zf:n3i7>51zJ0`5=zf:n3j7>51zJ0`5=zf:n2<7>51zJ0`5=zf:n2=7>51zJ0`5=zf:n2>7>51zJ0`5=zf:n2?7>51zJ0`5=zf:n287>51zJ0`5=zf:n297>51zJ0`5=zf:n2:7>51zJ0`5=zf:lhh7>51zJ0`5=zf:lhi7>51zJ0`5=zf:lhj7>51zJ0`5=zf:lo<7>51zJ0`5=zf:lo=7>51zJ0`5=zf:lo>7>51zJ0`5=zf:lo?7>51zJ0`5=zf:lo87>51zJ0`5=zf:lo97>51zJ0`5=zf:lo:7>51zJ0`5=zf:lo;7>51zJ0`5=zf:lo47>51zJ0`5=zf:lo57>51zJ0`5=zf:lom7>51zJ0`5=zf:lon7>51zJ0`5=zf:loo7>51zJ0`5=zf:loh7>51zJ0`5=zf:loi7>51zJ0`5=zf:loj7>51zJ0`5=zf:ln<7>51zJ0`5=zf:ln=7>51zJ0`5=zf:ln>7>51zJ0`5=zf:ln?7>51zJ0`5=zf:ln87>51zJ0`5=zf:ln97>51zJ0`5=zf:ln:7>51zJ0`5=zf:ln;7>51zJ0`5=zf:ln47>51zJ0`5=zf:ln57>51zJ0`5=zf:lnm7>51zJ0`5=zf:lnn7>51zJ0`5=zf:lno7>51zJ0`5=zf:lnh7>51zJ0`5=zf:lni7>51zJ0`5=zf:lnj7>51zJ0`5=zf:lm<7>51zJ0`5=zf:lm=7>51zJ0`5=zf:lm>7>51zJ0`5=zf=98h7>51zJ0`5=zf=98i7>51zJ0`5=zf=98j7>51zJ0`5=zf=9?<7>51zJ0`5=zf=9?=7>51zJ0`5=zf=9?>7>51zJ0`5=zf=9??7>51zJ0`5=zf=9?87>51zJ0`5=zf=9?97>51zJ0`5=zf=9?:7>51zJ0`5=zf=9?;7>51zJ0`5=zf=9?47>51zJ0`5=zf=9?57>51zJ0`5=zf=9?m7>51zJ0`5=zf=9?n7>51zJ0`5=zf=9?o7>51zJ0`5=zf=9?h7>51zJ0`5=zf=9?i7>51zJ0`5=zf=9?j7>51zJ0`5=zf=9><7>51zJ0`5=zf=9mn7>51zJ0`5=zf=9mo7>51zJ0`5=zf=9mh7>51zJ0`5=zf=9mi7>51zJ0`5=zf=9mj7>51zJ0`5=zf=>;<7>51zJ0`5=zf=>;=7>51zJ0`5=zf=>;>7>51zJ0`5=zf=>;?7>51zJ0`5=zf=>;87>51zJ0`5=zf=>jj7>51zJ0`5=zf=>i<7>51zJ0`5=zf=>i=7>51zJ0`5=zf=>i>7>51zJ0`5=zf=>i?7>51zJ0`5=zf=>i87>51zJ0`5=zf=>i97>51zJ0`5=zf=>i:7>51zJ0`5=zf=>i;7>51zJ0`5=zf=>i47>51zJ0`5=zf=?=?7>51zJ0`5=zf=?=87>51zJ0`5=zf=?=97>51zJ0`5=zf=?=:7>51zJ0`5=zf=?=;7>51zJ0`5=zf=?=47>51zJ0`5=zf=?=57>51zJ0`5=zf=?=m7>51zJ0`5=zf=?=n7>51zJ0`5=zf=?=o7>51zJ0`5=zf=<:;7>51zJ0`5=zf=<:47>51zJ0`5=zf=<:57>51zJ0`5=zf=<:m7>51zJ0`5=zf=<:n7>51zJ0`5=zf=<:o7>51zJ0`5=zf=<:h7>51zJ0`5=zf=<:i7>51zJ0`5=zf=<:j7>51zJ0`5=zf=<9<7>51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf=7>51zJ0`5=zf=51zJ0`5=zf=51zJ0`5=zf==51zJ0`5=zf==3<7>51zJ0`5=zf==3=7>51zJ0`5=zf==3>7>51zJ0`5=zf==3?7>51zJ0`5=zf==387>51zJ0`5=zf==397>51zJ0`5=zf==3:7>51zJ0`5=zf==3;7>51zJ0`5=zf==347>51zJ0`5=zf=28?7>51zJ0`5=zf=2887>51zJ0`5=zf=2897>51zJ0`5=zf=28:7>51zJ0`5=zf=28;7>51zJ0`5=zf=2847>51zJ0`5=zf=2857>51zJ0`5=zf=28m7>51zJ0`5=zf=28n7>51zJ0`5=zf=28o7>51zJ0`5=zf=2n;7>51zJ0`5=zf=2n47>51zJ0`5=zf=2n57>51zJ0`5=zf=2nm7>51zJ0`5=zf=2nn7>51zJ0`5=zf=2no7>51zJ0`5=zf=2nh7>51zJ0`5=zf=2ni7>51zJ0`5=zf=2nj7>51zJ0`5=zf=2m<7>51zJ0`5=zf=32n7>51zJ0`5=zf=32o7>51zJ0`5=zf=32h7>51zJ0`5=zf=32i7>51zJ0`5=zf=32j7>51zJ0`5=zf=3j<7>51zJ0`5=zf=3j=7>51zJ0`5=zf=3j>7>51zJ0`5=zf=3j?7>51zJ0`5=zf=3j87>51zJ0`5=zf=k?j7>51zJ0`5=zf=k><7>51zJ0`5=zf=k>=7>51zJ0`5=zf=k>>7>51zJ0`5=zf=k>?7>51zJ0`5=zf=k>87>51zJ0`5=zf=k>97>51zJ0`5=zf=k>:7>51zJ0`5=zf=k>;7>51zJ0`5=zf=k>47>51zJ0`5=zf=h;?7>51zJ0`5=zf=h;87>51zJ0`5=zf=h;97>51zJ0`5=zf=h;:7>51zJ0`5=zf=h;;7>51zJ0`5=zf=h;47>51zJ0`5=zf=h;57>51zJ0`5=zf=h;m7>51zJ0`5=zf=h;n7>51zJ0`5=zf=h;o7>51zJ0`5=zf=hi;7>51zJ0`5=zf=hi47>51zJ0`5=zf=hi57>51zJ0`5=zf=him7>51zJ0`5=zf=hin7>51zJ0`5=zf=hio7>51zJ0`5=zf=hih7>51zJ0`5=zf=hii7>51zJ0`5=zf=hij7>51zJ0`5=zf=hh<7>51zJ0`5=zf=l=?7>51zJ0`5=zf=l=87>51zJ0`5=zf=l=97>51zJ0`5=zf=l=:7>51zJ0`5=zf=l=;7>51zJ0`5=zf=l=47>51zJ0`5=zf=l=57>51zJ0`5=zf=l=m7>51zJ0`5=zf=l=n7>51zJ0`5=zf=l=o7>51zJ0`5=zf=l=h7>51zJ0`5=zf=l=i7>51zJ0`5=zf=l=j7>51zJ0`5=zf=l<<7>51zJ0`5=zf=l<=7>51zJ0`5=zf=l<>7>51zJ0`5=zf=l51zJ0`5=zf=l<87>51zJ0`5=zf=l<97>51zJ0`5=zf=l<:7>51zJ0`5=zutwKLNu88179`2`f<:8=qMNM{1CDU}zHI \ No newline at end of file diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v new file mode 100644 index 000000000..5d7fb7317 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.v @@ -0,0 +1,27912 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.20131013 +// \ \ Application: netgen +// / / Filename: hbdec2.v +// /___/ /\ Timestamp: Tue Jun 9 16:48:59 2015 +// \ \ / \ +// \___\/\___\ +// +// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec2.ngc ./tmp/_cg/hbdec2.v +// Device : 6slx75fgg484-3 +// Input file : ./tmp/_cg/hbdec2.ngc +// Output file : ./tmp/_cg/hbdec2.v +// # of Modules : 1 +// Design Name : hbdec2 +// Xilinx : /opt/Xilinx/14.7/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module hbdec2 ( + sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din +)/* synthesis syn_black_box syn_noprune=1 */; + input sclr; + input ce; + output rfd; + output rdy; + output data_valid; + input coef_we; + input nd; + input clk; + input coef_ld; + output [46 : 0] dout_1; + output [46 : 0] dout_2; + input [23 : 0] din_1; + input [23 : 0] din_2; + input [17 : 0] coef_din; + + // synthesis translate_off + + wire NlwRenamedSig_OI_rfd; + wire \blk00000003/sig0000093c ; + wire \blk00000003/sig0000093b ; + wire \blk00000003/sig0000093a ; + wire \blk00000003/sig00000939 ; + wire \blk00000003/sig00000938 ; + wire \blk00000003/sig00000937 ; + wire \blk00000003/sig00000936 ; + wire \blk00000003/sig00000935 ; + wire \blk00000003/sig00000934 ; + wire \blk00000003/sig00000933 ; + wire \blk00000003/sig00000932 ; + wire \blk00000003/sig00000931 ; + wire \blk00000003/sig00000930 ; + wire \blk00000003/sig0000092f ; + wire \blk00000003/sig0000092e ; + wire \blk00000003/sig0000092d ; + wire \blk00000003/sig0000092c ; + wire \blk00000003/sig0000092b ; + wire \blk00000003/sig0000092a ; + wire \blk00000003/sig00000929 ; + wire \blk00000003/sig00000928 ; + wire \blk00000003/sig00000927 ; + wire \blk00000003/sig00000926 ; + wire \blk00000003/sig00000925 ; + wire \blk00000003/sig00000924 ; + wire \blk00000003/sig00000923 ; + wire \blk00000003/sig00000922 ; + wire \blk00000003/sig00000921 ; + wire \blk00000003/sig00000920 ; + wire \blk00000003/sig0000091f ; + wire \blk00000003/sig0000091e ; + wire \blk00000003/sig0000091d ; + wire \blk00000003/sig0000091c ; + wire \blk00000003/sig0000091b ; + wire \blk00000003/sig0000091a ; + wire \blk00000003/sig00000919 ; + wire \blk00000003/sig00000918 ; + wire \blk00000003/sig00000917 ; + wire \blk00000003/sig00000916 ; + wire \blk00000003/sig00000915 ; + wire \blk00000003/sig00000914 ; + wire \blk00000003/sig00000913 ; + wire \blk00000003/sig00000912 ; + wire \blk00000003/sig00000911 ; + wire \blk00000003/sig00000910 ; + wire \blk00000003/sig0000090f ; + wire \blk00000003/sig0000090e ; + wire \blk00000003/sig0000090d ; + wire \blk00000003/sig0000090c ; + wire \blk00000003/sig0000090b ; + wire \blk00000003/sig0000090a ; + wire \blk00000003/sig00000909 ; + wire \blk00000003/sig00000908 ; + wire \blk00000003/sig00000907 ; + wire \blk00000003/sig00000906 ; + wire \blk00000003/sig00000905 ; + wire \blk00000003/sig00000904 ; + wire \blk00000003/sig00000903 ; + wire \blk00000003/sig00000902 ; + wire \blk00000003/sig00000901 ; + wire \blk00000003/sig00000900 ; + wire \blk00000003/sig000008ff ; + wire \blk00000003/sig000008fe ; + wire \blk00000003/sig000008fd ; + wire \blk00000003/sig000008fc ; + wire \blk00000003/sig000008fb ; + wire \blk00000003/sig000008fa ; + wire \blk00000003/sig000008f9 ; + wire \blk00000003/sig000008f8 ; + wire \blk00000003/sig000008f7 ; + wire \blk00000003/sig000008f6 ; + wire \blk00000003/sig000008f5 ; + wire \blk00000003/sig000008f4 ; + wire \blk00000003/sig000008f3 ; + wire \blk00000003/sig000008f2 ; + wire \blk00000003/sig000008f1 ; + wire \blk00000003/sig000008f0 ; + wire \blk00000003/sig000008ef ; + wire \blk00000003/sig000008ee ; + wire \blk00000003/sig000008ed ; + wire \blk00000003/sig000008ec ; + wire \blk00000003/sig000008eb ; + wire \blk00000003/sig000008ea ; + wire \blk00000003/sig000008e9 ; + wire \blk00000003/sig000008e8 ; + wire \blk00000003/sig000008e7 ; + wire \blk00000003/sig000008e6 ; + wire \blk00000003/sig000008e5 ; + wire \blk00000003/sig000008e4 ; + wire \blk00000003/sig000008e3 ; + wire \blk00000003/sig000008e2 ; + wire \blk00000003/sig000008e1 ; + wire \blk00000003/sig000008e0 ; + wire \blk00000003/sig000008df ; + wire \blk00000003/sig000008de ; + wire \blk00000003/sig000008dd ; + wire \blk00000003/sig000008dc ; + wire \blk00000003/sig000008db ; + wire \blk00000003/sig000008da ; + wire \blk00000003/sig000008d9 ; + wire \blk00000003/sig000008d8 ; + wire \blk00000003/sig000008d7 ; + wire \blk00000003/sig000008d6 ; + wire \blk00000003/sig000008d5 ; + wire \blk00000003/sig000008d4 ; + wire \blk00000003/sig000008d3 ; + wire \blk00000003/sig000008d2 ; + wire \blk00000003/sig000008d1 ; + wire \blk00000003/sig000008d0 ; + wire \blk00000003/sig000008cf ; + wire \blk00000003/sig000008ce ; + wire \blk00000003/sig000008cd ; + wire \blk00000003/sig000008cc ; + wire \blk00000003/sig000008cb ; + wire \blk00000003/sig000008ca ; + wire \blk00000003/sig000008c9 ; + wire \blk00000003/sig000008c8 ; + wire \blk00000003/sig000008c7 ; + wire \blk00000003/sig000008c6 ; + wire \blk00000003/sig000008c5 ; + wire \blk00000003/sig000008c4 ; + wire \blk00000003/sig000008c3 ; + wire \blk00000003/sig000008c2 ; + wire \blk00000003/sig000008c1 ; + wire \blk00000003/sig000008c0 ; + wire \blk00000003/sig000008bf ; + wire \blk00000003/sig000008be ; + wire \blk00000003/sig000008bd ; + wire \blk00000003/sig000008bc ; + wire \blk00000003/sig000008bb ; + wire \blk00000003/sig000008ba ; + wire \blk00000003/sig000008b9 ; + wire \blk00000003/sig000008b8 ; + wire \blk00000003/sig000008b7 ; + wire \blk00000003/sig000008b6 ; + wire \blk00000003/sig000008b5 ; + wire \blk00000003/sig000008b4 ; + wire \blk00000003/sig000008b3 ; + wire \blk00000003/sig000008b2 ; + wire \blk00000003/sig000008b1 ; + wire \blk00000003/sig000008b0 ; + wire \blk00000003/sig000008af ; + wire \blk00000003/sig000008ae ; + wire \blk00000003/sig000008ad ; + wire \blk00000003/sig000008ac ; + wire \blk00000003/sig000008ab ; + wire \blk00000003/sig000008aa ; + wire \blk00000003/sig000008a9 ; + wire \blk00000003/sig000008a8 ; + wire \blk00000003/sig000008a7 ; + wire \blk00000003/sig000008a6 ; + wire \blk00000003/sig000008a5 ; + wire \blk00000003/sig000008a4 ; + wire \blk00000003/sig000008a3 ; + wire \blk00000003/sig000008a2 ; + wire \blk00000003/sig000008a1 ; + wire \blk00000003/sig000008a0 ; + wire \blk00000003/sig0000089f ; + wire \blk00000003/sig0000089e ; + wire \blk00000003/sig0000089d ; + wire \blk00000003/sig0000089c ; + wire \blk00000003/sig0000089b ; + wire \blk00000003/sig0000089a ; + wire \blk00000003/sig00000899 ; + wire \blk00000003/sig00000898 ; + wire \blk00000003/sig00000897 ; + wire \blk00000003/sig00000896 ; + wire \blk00000003/sig00000895 ; + wire \blk00000003/sig00000894 ; + wire \blk00000003/sig00000893 ; + wire \blk00000003/sig00000892 ; + wire \blk00000003/sig00000891 ; + wire \blk00000003/sig00000890 ; + wire \blk00000003/sig0000088f ; + wire \blk00000003/sig0000088e ; + wire \blk00000003/sig0000088d ; + wire \blk00000003/sig0000088c ; + wire \blk00000003/sig0000088b ; + wire \blk00000003/sig0000088a ; + wire \blk00000003/sig00000889 ; + wire \blk00000003/sig00000888 ; + wire \blk00000003/sig00000887 ; + wire \blk00000003/sig00000886 ; + wire \blk00000003/sig00000885 ; + wire \blk00000003/sig00000884 ; + wire \blk00000003/sig00000883 ; + wire \blk00000003/sig00000882 ; + wire \blk00000003/sig00000881 ; + wire \blk00000003/sig00000880 ; + wire \blk00000003/sig0000087f ; + wire \blk00000003/sig0000087e ; + wire \blk00000003/sig0000087d ; + wire \blk00000003/sig0000087c ; + wire \blk00000003/sig0000087b ; + wire \blk00000003/sig0000087a ; + wire \blk00000003/sig00000879 ; + wire \blk00000003/sig00000878 ; + wire \blk00000003/sig00000877 ; + wire \blk00000003/sig00000876 ; + wire \blk00000003/sig00000875 ; + wire \blk00000003/sig00000874 ; + wire \blk00000003/sig00000873 ; + wire \blk00000003/sig00000872 ; + wire \blk00000003/sig00000871 ; + wire \blk00000003/sig00000870 ; + wire \blk00000003/sig0000086f ; + wire \blk00000003/sig0000086e ; + wire \blk00000003/sig0000086d ; + wire \blk00000003/sig0000086c ; + wire \blk00000003/sig0000086b ; + wire \blk00000003/sig0000086a ; + wire \blk00000003/sig00000869 ; + wire \blk00000003/sig00000868 ; + wire \blk00000003/sig00000867 ; + wire \blk00000003/sig00000866 ; + wire \blk00000003/sig00000865 ; + wire \blk00000003/sig00000864 ; + wire \blk00000003/sig00000863 ; + wire \blk00000003/sig00000862 ; + wire \blk00000003/sig00000861 ; + wire \blk00000003/sig00000860 ; + wire \blk00000003/sig0000085f ; + wire \blk00000003/sig0000085e ; + wire \blk00000003/sig0000085d ; + wire \blk00000003/sig0000085c ; + wire \blk00000003/sig0000085b ; + wire \blk00000003/sig0000085a ; + wire \blk00000003/sig00000859 ; + wire \blk00000003/sig00000858 ; + wire \blk00000003/sig00000857 ; + wire \blk00000003/sig00000856 ; + wire \blk00000003/sig00000855 ; + wire \blk00000003/sig00000854 ; + wire \blk00000003/sig00000853 ; + wire \blk00000003/sig00000852 ; + wire \blk00000003/sig00000851 ; + wire \blk00000003/sig00000850 ; + wire \blk00000003/sig0000084f ; + wire \blk00000003/sig0000084e ; + wire \blk00000003/sig0000084d ; + wire \blk00000003/sig0000084c ; + wire \blk00000003/sig0000084b ; + wire \blk00000003/sig0000084a ; + wire \blk00000003/sig00000849 ; + wire \blk00000003/sig00000848 ; + wire \blk00000003/sig00000847 ; + wire \blk00000003/sig00000846 ; + wire \blk00000003/sig00000845 ; + wire \blk00000003/sig00000844 ; + wire \blk00000003/sig00000843 ; + wire \blk00000003/sig00000842 ; + wire \blk00000003/sig00000841 ; + wire \blk00000003/sig00000840 ; + wire \blk00000003/sig0000083f ; + wire \blk00000003/sig0000083e ; + wire \blk00000003/sig0000083d ; + wire \blk00000003/sig0000083c ; + wire \blk00000003/sig0000083b ; + wire \blk00000003/sig0000083a ; + wire \blk00000003/sig00000839 ; + wire \blk00000003/sig00000838 ; + wire \blk00000003/sig00000837 ; + wire \blk00000003/sig00000836 ; + wire \blk00000003/sig00000835 ; + wire \blk00000003/sig00000834 ; + wire \blk00000003/sig00000833 ; + wire \blk00000003/sig00000832 ; + wire \blk00000003/sig00000831 ; + wire \blk00000003/sig00000830 ; + wire \blk00000003/sig0000082f ; + wire \blk00000003/sig0000082e ; + wire \blk00000003/sig0000082d ; + wire \blk00000003/sig0000082c ; + wire \blk00000003/sig0000082b ; + wire \blk00000003/sig0000082a ; + wire \blk00000003/sig00000829 ; + wire \blk00000003/sig00000828 ; + wire \blk00000003/sig00000827 ; + wire \blk00000003/sig00000826 ; + wire \blk00000003/sig00000825 ; + wire \blk00000003/sig00000824 ; + wire \blk00000003/sig00000823 ; + wire \blk00000003/sig00000822 ; + wire \blk00000003/sig00000821 ; + wire \blk00000003/sig00000820 ; + wire \blk00000003/sig0000081f ; + wire \blk00000003/sig0000081e ; + wire \blk00000003/sig0000081d ; + wire \blk00000003/sig0000081c ; + wire \blk00000003/sig0000081b ; + wire \blk00000003/sig0000081a ; + wire \blk00000003/sig00000819 ; + wire \blk00000003/sig00000818 ; + wire \blk00000003/sig00000817 ; + wire \blk00000003/sig00000816 ; + wire \blk00000003/sig00000815 ; + wire \blk00000003/sig00000814 ; + wire \blk00000003/sig00000813 ; + wire \blk00000003/sig00000812 ; + wire \blk00000003/sig00000811 ; + wire \blk00000003/sig00000810 ; + wire \blk00000003/sig0000080f ; + wire \blk00000003/sig0000080e ; + wire \blk00000003/sig0000080d ; + wire \blk00000003/sig0000080c ; + wire \blk00000003/sig0000080b ; + wire \blk00000003/sig0000080a ; + wire \blk00000003/sig00000809 ; + wire \blk00000003/sig00000808 ; + wire \blk00000003/sig00000807 ; + wire \blk00000003/sig00000806 ; + wire \blk00000003/sig00000805 ; + wire \blk00000003/sig00000804 ; + wire \blk00000003/sig00000803 ; + wire \blk00000003/sig00000802 ; + wire \blk00000003/sig00000801 ; + wire \blk00000003/sig00000800 ; + wire \blk00000003/sig000007ff ; + wire \blk00000003/sig000007fe ; + wire \blk00000003/sig000007fd ; + wire \blk00000003/sig000007fc ; + wire \blk00000003/sig000007fb ; + wire \blk00000003/sig000007fa ; + wire \blk00000003/sig000007f9 ; + wire \blk00000003/sig000007f8 ; + wire \blk00000003/sig000007f7 ; + wire \blk00000003/sig000007f6 ; + wire \blk00000003/sig000007f5 ; + wire \blk00000003/sig000007f4 ; + wire \blk00000003/sig000007f3 ; + wire \blk00000003/sig000007f2 ; + wire \blk00000003/sig000007f1 ; + wire \blk00000003/sig000007f0 ; + wire \blk00000003/sig000007ef ; + wire \blk00000003/sig000007ee ; + wire \blk00000003/sig000007ed ; + wire \blk00000003/sig000007ec ; + wire \blk00000003/sig000007eb ; + wire \blk00000003/sig000007ea ; + wire \blk00000003/sig000007e9 ; + wire \blk00000003/sig000007e8 ; + wire \blk00000003/sig000007e7 ; + wire \blk00000003/sig000007e6 ; + wire \blk00000003/sig000007e5 ; + wire \blk00000003/sig000007e4 ; + wire \blk00000003/sig000007e3 ; + wire \blk00000003/sig000007e2 ; + wire \blk00000003/sig000007e1 ; + wire \blk00000003/sig000007e0 ; + wire \blk00000003/sig000007df ; + wire \blk00000003/sig000007de ; + wire \blk00000003/sig000007dd ; + wire \blk00000003/sig000007dc ; + wire \blk00000003/sig000007db ; + wire \blk00000003/sig000007da ; + wire \blk00000003/sig000007d9 ; + wire \blk00000003/sig000007d8 ; + wire \blk00000003/sig000007d7 ; + wire \blk00000003/sig000007d6 ; + wire \blk00000003/sig000007d5 ; + wire \blk00000003/sig000007d4 ; + wire \blk00000003/sig000007d3 ; + wire \blk00000003/sig000007d2 ; + wire \blk00000003/sig000007d1 ; + wire \blk00000003/sig000007d0 ; + wire \blk00000003/sig000007cf ; + wire \blk00000003/sig000007ce ; + wire \blk00000003/sig000007cd ; + wire \blk00000003/sig000007cc ; + wire \blk00000003/sig000007cb ; + wire \blk00000003/sig000007ca ; + wire \blk00000003/sig000007c9 ; + wire \blk00000003/sig000007c8 ; + wire \blk00000003/sig000007c7 ; + wire \blk00000003/sig000007c6 ; + wire \blk00000003/sig000007c5 ; + wire \blk00000003/sig000007c4 ; + wire \blk00000003/sig000007c3 ; + wire \blk00000003/sig000007c2 ; + wire \blk00000003/sig000007c1 ; + wire \blk00000003/sig000007c0 ; + wire \blk00000003/sig000007bf ; + wire \blk00000003/sig000007be ; + wire \blk00000003/sig000007bd ; + wire \blk00000003/sig000007bc ; + wire \blk00000003/sig000007bb ; + wire \blk00000003/sig000007ba ; + wire \blk00000003/sig000007b9 ; + wire \blk00000003/sig000007b8 ; + wire \blk00000003/sig000007b7 ; + wire \blk00000003/sig000007b6 ; + wire \blk00000003/sig000007b5 ; + wire \blk00000003/sig000007b4 ; + wire \blk00000003/sig000007b3 ; + wire \blk00000003/sig000007b2 ; + wire \blk00000003/sig000007b1 ; + wire \blk00000003/sig000007b0 ; + wire \blk00000003/sig000007af ; + wire \blk00000003/sig000007ae ; + wire \blk00000003/sig000007ad ; + wire \blk00000003/sig000007ac ; + wire \blk00000003/sig000007ab ; + wire \blk00000003/sig000007aa ; + wire \blk00000003/sig000007a9 ; + wire \blk00000003/sig000007a8 ; + wire \blk00000003/sig000007a7 ; + wire \blk00000003/sig000007a6 ; + wire \blk00000003/sig000007a5 ; + wire \blk00000003/sig000007a4 ; + wire \blk00000003/sig000007a3 ; + wire \blk00000003/sig000007a2 ; + wire \blk00000003/sig000007a1 ; + wire \blk00000003/sig000007a0 ; + wire \blk00000003/sig0000079f ; + wire \blk00000003/sig0000079e ; + wire \blk00000003/sig0000079d ; + wire \blk00000003/sig0000079c ; + wire \blk00000003/sig0000079b ; + wire \blk00000003/sig0000079a ; + wire \blk00000003/sig00000799 ; + wire \blk00000003/sig00000798 ; + wire \blk00000003/sig00000797 ; + wire \blk00000003/sig00000796 ; + wire \blk00000003/sig00000795 ; + wire \blk00000003/sig00000794 ; + wire \blk00000003/sig00000793 ; + wire \blk00000003/sig00000792 ; + wire \blk00000003/sig00000791 ; + wire \blk00000003/sig00000790 ; + wire \blk00000003/sig0000078f ; + wire \blk00000003/sig0000078e ; + wire \blk00000003/sig0000078d ; + wire \blk00000003/sig0000078c ; + wire \blk00000003/sig0000078b ; + wire \blk00000003/sig0000078a ; + wire \blk00000003/sig00000789 ; + wire \blk00000003/sig00000788 ; + wire \blk00000003/sig00000787 ; + wire \blk00000003/sig00000786 ; + wire \blk00000003/sig00000785 ; + wire \blk00000003/sig00000784 ; + wire \blk00000003/sig00000783 ; + wire \blk00000003/sig00000782 ; + wire \blk00000003/sig00000781 ; + wire \blk00000003/sig00000780 ; + wire \blk00000003/sig0000077f ; + wire \blk00000003/sig0000077e ; + wire \blk00000003/sig0000077d ; + wire \blk00000003/sig0000077c ; + wire \blk00000003/sig0000077b ; + wire \blk00000003/sig0000077a ; + wire \blk00000003/sig00000779 ; + wire \blk00000003/sig00000778 ; + wire \blk00000003/sig00000777 ; + wire \blk00000003/sig00000776 ; + wire \blk00000003/sig00000775 ; + wire \blk00000003/sig00000774 ; + wire \blk00000003/sig00000773 ; + wire \blk00000003/sig00000772 ; + wire \blk00000003/sig00000771 ; + wire \blk00000003/sig00000770 ; + wire \blk00000003/sig0000076f ; + wire \blk00000003/sig0000076e ; + wire \blk00000003/sig0000076d ; + wire \blk00000003/sig0000076c ; + wire \blk00000003/sig0000076b ; + wire \blk00000003/sig0000076a ; + wire \blk00000003/sig00000769 ; + wire \blk00000003/sig00000768 ; + wire \blk00000003/sig00000767 ; + wire \blk00000003/sig00000766 ; + wire \blk00000003/sig00000765 ; + wire \blk00000003/sig00000764 ; + wire \blk00000003/sig00000763 ; + wire \blk00000003/sig00000762 ; + wire \blk00000003/sig00000761 ; + wire \blk00000003/sig00000760 ; + wire \blk00000003/sig0000075f ; + wire \blk00000003/sig0000075e ; + wire \blk00000003/sig0000075d ; + wire \blk00000003/sig0000075c ; + wire \blk00000003/sig0000075b ; + wire \blk00000003/sig0000075a ; + wire \blk00000003/sig00000759 ; + wire \blk00000003/sig00000758 ; + wire \blk00000003/sig00000757 ; + wire \blk00000003/sig00000756 ; + wire \blk00000003/sig00000755 ; + wire \blk00000003/sig00000754 ; + wire \blk00000003/sig00000753 ; + wire \blk00000003/sig00000752 ; + wire \blk00000003/sig00000751 ; + wire \blk00000003/sig00000750 ; + wire \blk00000003/sig0000074f ; + wire \blk00000003/sig0000074e ; + wire \blk00000003/sig0000074d ; + wire \blk00000003/sig0000074c ; + wire \blk00000003/sig0000074b ; + wire \blk00000003/sig0000074a ; + wire \blk00000003/sig00000749 ; + wire \blk00000003/sig00000748 ; + wire \blk00000003/sig00000747 ; + wire \blk00000003/sig00000746 ; + wire \blk00000003/sig00000745 ; + wire \blk00000003/sig00000744 ; + wire \blk00000003/sig00000743 ; + wire \blk00000003/sig00000742 ; + wire \blk00000003/sig00000741 ; + wire \blk00000003/sig00000740 ; + wire \blk00000003/sig0000073f ; + wire \blk00000003/sig0000073e ; + wire \blk00000003/sig0000073d ; + wire \blk00000003/sig0000073c ; + wire \blk00000003/sig0000073b ; + wire \blk00000003/sig0000073a ; + wire \blk00000003/sig00000739 ; + wire \blk00000003/sig00000738 ; + wire \blk00000003/sig00000737 ; + wire \blk00000003/sig00000736 ; + wire \blk00000003/sig00000735 ; + wire \blk00000003/sig00000734 ; + wire \blk00000003/sig00000733 ; + wire \blk00000003/sig00000732 ; + wire \blk00000003/sig00000731 ; + wire \blk00000003/sig00000730 ; + wire \blk00000003/sig0000072f ; + wire \blk00000003/sig0000072e ; + wire \blk00000003/sig0000072d ; + wire \blk00000003/sig0000072c ; + wire \blk00000003/sig0000072b ; + wire \blk00000003/sig0000072a ; + wire \blk00000003/sig00000729 ; + wire \blk00000003/sig00000728 ; + wire \blk00000003/sig00000727 ; + wire \blk00000003/sig00000726 ; + wire \blk00000003/sig00000725 ; + wire \blk00000003/sig00000724 ; + wire \blk00000003/sig00000723 ; + wire \blk00000003/sig00000722 ; + wire \blk00000003/sig00000721 ; + wire \blk00000003/sig00000720 ; + wire \blk00000003/sig0000071f ; + wire \blk00000003/sig0000071e ; + wire \blk00000003/sig0000071d ; + wire \blk00000003/sig0000071c ; + wire \blk00000003/sig0000071b ; + wire \blk00000003/sig0000071a ; + wire \blk00000003/sig00000719 ; + wire \blk00000003/sig00000718 ; + wire \blk00000003/sig00000717 ; + wire \blk00000003/sig00000716 ; + wire \blk00000003/sig00000715 ; + wire \blk00000003/sig00000714 ; + wire \blk00000003/sig00000713 ; + wire \blk00000003/sig00000712 ; + wire \blk00000003/sig00000711 ; + wire \blk00000003/sig00000710 ; + wire \blk00000003/sig0000070f ; + wire \blk00000003/sig0000070e ; + wire \blk00000003/sig0000070d ; + wire \blk00000003/sig0000070c ; + wire \blk00000003/sig0000070b ; + wire \blk00000003/sig0000070a ; + wire \blk00000003/sig00000709 ; + wire \blk00000003/sig00000708 ; + wire \blk00000003/sig00000707 ; + wire \blk00000003/sig00000706 ; + wire \blk00000003/sig00000705 ; + wire \blk00000003/sig00000704 ; + wire \blk00000003/sig00000703 ; + wire \blk00000003/sig00000702 ; + wire \blk00000003/sig00000701 ; + wire \blk00000003/sig00000700 ; + wire \blk00000003/sig000006ff ; + wire \blk00000003/sig000006fe ; + wire \blk00000003/sig000006fd ; + wire \blk00000003/sig000006fc ; + wire \blk00000003/sig000006fb ; + wire \blk00000003/sig000006fa ; + wire \blk00000003/sig000006f9 ; + wire \blk00000003/sig000006f8 ; + wire \blk00000003/sig000006f7 ; + wire \blk00000003/sig000006f6 ; + wire \blk00000003/sig000006f5 ; + wire \blk00000003/sig000006f4 ; + wire \blk00000003/sig000006f3 ; + wire \blk00000003/sig000006f2 ; + wire \blk00000003/sig000006f1 ; + wire \blk00000003/sig000006f0 ; + wire \blk00000003/sig000006ef ; + wire \blk00000003/sig000006ee ; + wire \blk00000003/sig000006ed ; + wire \blk00000003/sig000006ec ; + wire \blk00000003/sig000006eb ; + wire \blk00000003/sig000006ea ; + wire \blk00000003/sig000006e9 ; + wire \blk00000003/sig000006e8 ; + wire \blk00000003/sig000006e7 ; + wire \blk00000003/sig000006e6 ; + wire \blk00000003/sig000006e5 ; + wire \blk00000003/sig000006e4 ; + wire \blk00000003/sig000006e3 ; + wire \blk00000003/sig000006e2 ; + wire \blk00000003/sig000006e1 ; + wire \blk00000003/sig000006e0 ; + wire \blk00000003/sig000006df ; + wire \blk00000003/sig000006de ; + wire \blk00000003/sig000006dd ; + wire \blk00000003/sig000006dc ; + wire \blk00000003/sig000006db ; + wire \blk00000003/sig000006da ; + wire \blk00000003/sig000006d9 ; + wire \blk00000003/sig000006d8 ; + wire \blk00000003/sig000006d7 ; + wire \blk00000003/sig000006d6 ; + wire \blk00000003/sig000006d5 ; + wire \blk00000003/sig000006d4 ; + wire \blk00000003/sig000006d3 ; + wire \blk00000003/sig000006d2 ; + wire \blk00000003/sig000006d1 ; + wire \blk00000003/sig000006d0 ; + wire \blk00000003/sig000006cf ; + wire \blk00000003/sig000006ce ; + wire \blk00000003/sig000006cd ; + wire \blk00000003/sig000006cc ; + wire \blk00000003/sig000006cb ; + wire \blk00000003/sig000006ca ; + wire \blk00000003/sig000006c9 ; + wire \blk00000003/sig000006c8 ; + wire \blk00000003/sig000006c7 ; + wire \blk00000003/sig000006c6 ; + wire \blk00000003/sig000006c5 ; + wire \blk00000003/sig000006c4 ; + wire \blk00000003/sig000006c3 ; + wire \blk00000003/sig000006c2 ; + wire \blk00000003/sig000006c1 ; + wire \blk00000003/sig000006c0 ; + wire \blk00000003/sig000006bf ; + wire \blk00000003/sig000006be ; + wire \blk00000003/sig000006bd ; + wire \blk00000003/sig000006bc ; + wire \blk00000003/sig000006bb ; + wire \blk00000003/sig000006ba ; + wire \blk00000003/sig000006b9 ; + wire \blk00000003/sig000006b8 ; + wire \blk00000003/sig000006b7 ; + wire \blk00000003/sig000006b6 ; + wire \blk00000003/sig000006b5 ; + wire \blk00000003/sig000006b4 ; + wire \blk00000003/sig000006b3 ; + wire \blk00000003/sig000006b2 ; + wire \blk00000003/sig000006b1 ; + wire \blk00000003/sig000006b0 ; + wire \blk00000003/sig000006af ; + wire \blk00000003/sig000006ae ; + wire \blk00000003/sig000006ad ; + wire \blk00000003/sig000006ac ; + wire \blk00000003/sig000006ab ; + wire \blk00000003/sig000006aa ; + wire \blk00000003/sig000006a9 ; + wire \blk00000003/sig000006a8 ; + wire \blk00000003/sig000006a7 ; + wire \blk00000003/sig000006a6 ; + wire \blk00000003/sig000006a5 ; + wire \blk00000003/sig000006a4 ; + wire \blk00000003/sig000006a3 ; + wire \blk00000003/sig000006a2 ; + wire \blk00000003/sig000006a1 ; + wire \blk00000003/sig000006a0 ; + wire \blk00000003/sig0000069f ; + wire \blk00000003/sig0000069e ; + wire \blk00000003/sig0000069d ; + wire \blk00000003/sig0000069c ; + wire \blk00000003/sig0000069b ; + wire \blk00000003/sig0000069a ; + wire \blk00000003/sig00000699 ; + wire \blk00000003/sig00000698 ; + wire \blk00000003/sig00000697 ; + wire \blk00000003/sig00000696 ; + wire \blk00000003/sig00000695 ; + wire \blk00000003/sig00000694 ; + wire \blk00000003/sig00000693 ; + wire \blk00000003/sig00000692 ; + wire \blk00000003/sig00000691 ; + wire \blk00000003/sig00000690 ; + wire \blk00000003/sig0000068f ; + wire \blk00000003/sig0000068e ; + wire \blk00000003/sig0000068d ; + wire \blk00000003/sig0000068c ; + wire \blk00000003/sig0000068b ; + wire \blk00000003/sig0000068a ; + wire \blk00000003/sig00000689 ; + wire \blk00000003/sig00000688 ; + wire \blk00000003/sig00000687 ; + wire \blk00000003/sig00000686 ; + wire \blk00000003/sig00000685 ; + wire \blk00000003/sig00000684 ; + wire \blk00000003/sig00000683 ; + wire \blk00000003/sig00000682 ; + wire \blk00000003/sig00000681 ; + wire \blk00000003/sig00000680 ; + wire \blk00000003/sig0000067f ; + wire \blk00000003/sig0000067e ; + wire \blk00000003/sig0000067d ; + wire \blk00000003/sig0000067c ; + wire \blk00000003/sig0000067b ; + wire \blk00000003/sig0000067a ; + wire \blk00000003/sig00000679 ; + wire \blk00000003/sig00000678 ; + wire \blk00000003/sig00000677 ; + wire \blk00000003/sig00000676 ; + wire \blk00000003/sig00000675 ; + wire \blk00000003/sig00000674 ; + wire \blk00000003/sig00000673 ; + wire \blk00000003/sig00000672 ; + wire \blk00000003/sig00000671 ; + wire \blk00000003/sig00000670 ; + wire \blk00000003/sig0000066f ; + wire \blk00000003/sig0000066e ; + wire \blk00000003/sig0000066d ; + wire \blk00000003/sig0000066c ; + wire \blk00000003/sig0000066b ; + wire \blk00000003/sig0000066a ; + wire \blk00000003/sig00000669 ; + wire \blk00000003/sig00000668 ; + wire \blk00000003/sig00000667 ; + wire \blk00000003/sig00000666 ; + wire \blk00000003/sig00000665 ; + wire \blk00000003/sig00000664 ; + wire \blk00000003/sig00000663 ; + wire \blk00000003/sig00000662 ; + wire \blk00000003/sig00000661 ; + wire \blk00000003/sig00000660 ; + wire \blk00000003/sig0000065f ; + wire \blk00000003/sig0000065e ; + wire \blk00000003/sig0000065d ; + wire \blk00000003/sig0000065c ; + wire \blk00000003/sig0000065b ; + wire \blk00000003/sig0000065a ; + wire \blk00000003/sig00000659 ; + wire \blk00000003/sig00000658 ; + wire \blk00000003/sig00000657 ; + wire \blk00000003/sig00000656 ; + wire \blk00000003/sig00000655 ; + wire \blk00000003/sig00000654 ; + wire \blk00000003/sig00000653 ; + wire \blk00000003/sig00000652 ; + wire \blk00000003/sig00000651 ; + wire \blk00000003/sig00000650 ; + wire \blk00000003/sig0000064f ; + wire \blk00000003/sig0000064e ; + wire \blk00000003/sig0000064d ; + wire \blk00000003/sig0000064c ; + wire \blk00000003/sig0000064b ; + wire \blk00000003/sig0000064a ; + wire \blk00000003/sig00000649 ; + wire \blk00000003/sig00000648 ; + wire \blk00000003/sig00000647 ; + wire \blk00000003/sig00000646 ; + wire \blk00000003/sig00000645 ; + wire \blk00000003/sig00000644 ; + wire \blk00000003/sig00000643 ; + wire \blk00000003/sig00000642 ; + wire \blk00000003/sig00000641 ; + wire \blk00000003/sig00000640 ; + wire \blk00000003/sig0000063f ; + wire \blk00000003/sig0000063e ; + wire \blk00000003/sig0000063d ; + wire \blk00000003/sig0000063c ; + wire \blk00000003/sig0000063b ; + wire \blk00000003/sig0000063a ; + wire \blk00000003/sig00000639 ; + wire \blk00000003/sig00000638 ; + wire \blk00000003/sig00000637 ; + wire \blk00000003/sig00000636 ; + wire \blk00000003/sig00000635 ; + wire \blk00000003/sig00000634 ; + wire \blk00000003/sig00000633 ; + wire \blk00000003/sig00000632 ; + wire \blk00000003/sig00000631 ; + wire \blk00000003/sig00000630 ; + wire \blk00000003/sig0000062f ; + wire \blk00000003/sig0000062e ; + wire \blk00000003/sig0000062d ; + wire \blk00000003/sig0000062c ; + wire \blk00000003/sig0000062b ; + wire \blk00000003/sig0000062a ; + wire \blk00000003/sig00000629 ; + wire \blk00000003/sig00000628 ; + wire \blk00000003/sig00000627 ; + wire \blk00000003/sig00000626 ; + wire \blk00000003/sig00000625 ; + wire \blk00000003/sig00000624 ; + wire \blk00000003/sig00000623 ; + wire \blk00000003/sig00000622 ; + wire \blk00000003/sig00000621 ; + wire \blk00000003/sig00000620 ; + wire \blk00000003/sig0000061f ; + wire \blk00000003/sig0000061e ; + wire \blk00000003/sig0000061d ; + wire \blk00000003/sig0000061c ; + wire \blk00000003/sig0000061b ; + wire \blk00000003/sig0000061a ; + wire \blk00000003/sig00000619 ; + wire \blk00000003/sig00000618 ; + wire \blk00000003/sig00000617 ; + wire \blk00000003/sig00000616 ; + wire \blk00000003/sig00000615 ; + wire \blk00000003/sig00000614 ; + wire \blk00000003/sig00000613 ; + wire \blk00000003/sig00000612 ; + wire \blk00000003/sig00000611 ; + wire \blk00000003/sig00000610 ; + wire \blk00000003/sig0000060f ; + wire \blk00000003/sig0000060e ; + wire \blk00000003/sig0000060d ; + wire \blk00000003/sig0000060c ; + wire \blk00000003/sig0000060b ; + wire \blk00000003/sig0000060a ; + wire \blk00000003/sig00000609 ; + wire \blk00000003/sig00000608 ; + wire \blk00000003/sig00000607 ; + wire \blk00000003/sig00000606 ; + wire \blk00000003/sig00000605 ; + wire \blk00000003/sig00000604 ; + wire \blk00000003/sig00000603 ; + wire \blk00000003/sig00000602 ; + wire \blk00000003/sig00000601 ; + wire \blk00000003/sig00000600 ; + wire \blk00000003/sig000005ff ; + wire \blk00000003/sig000005fe ; + wire \blk00000003/sig000005fd ; + wire \blk00000003/sig000005fc ; + wire \blk00000003/sig000005fb ; + wire \blk00000003/sig000005fa ; + wire \blk00000003/sig000005f9 ; + wire \blk00000003/sig000005f8 ; + wire \blk00000003/sig000005f7 ; + wire \blk00000003/sig000005f6 ; + wire \blk00000003/sig000005f5 ; + wire \blk00000003/sig000005f4 ; + wire \blk00000003/sig000005f3 ; + wire \blk00000003/sig000005f2 ; + wire \blk00000003/sig000005f1 ; + wire \blk00000003/sig000005f0 ; + wire \blk00000003/sig000005ef ; + wire \blk00000003/sig000005ee ; + wire \blk00000003/sig000005ed ; + wire \blk00000003/sig000005ec ; + wire \blk00000003/sig000005eb ; + wire \blk00000003/sig000005ea ; + wire \blk00000003/sig000005e9 ; + wire \blk00000003/sig000005e8 ; + wire \blk00000003/sig000005e7 ; + wire \blk00000003/sig000005e6 ; + wire \blk00000003/sig000005e5 ; + wire \blk00000003/sig000005e4 ; + wire \blk00000003/sig000005e3 ; + wire \blk00000003/sig000005e2 ; + wire \blk00000003/sig000005e1 ; + wire \blk00000003/sig000005e0 ; + wire \blk00000003/sig000005df ; + wire \blk00000003/sig000005de ; + wire \blk00000003/sig000005dd ; + wire \blk00000003/sig000005dc ; + wire \blk00000003/sig000005db ; + wire \blk00000003/sig000005da ; + wire \blk00000003/sig000005d9 ; + wire \blk00000003/sig000005d8 ; + wire \blk00000003/sig000005d7 ; + wire \blk00000003/sig000005d6 ; + wire \blk00000003/sig000005d5 ; + wire \blk00000003/sig000005d4 ; + wire \blk00000003/sig000005d3 ; + wire \blk00000003/sig000005d2 ; + wire \blk00000003/sig000005d1 ; + wire \blk00000003/sig000005d0 ; + wire \blk00000003/sig000005cf ; + wire \blk00000003/sig000005ce ; + wire \blk00000003/sig000005cd ; + wire \blk00000003/sig000005cc ; + wire \blk00000003/sig000005cb ; + wire \blk00000003/sig000005ca ; + wire \blk00000003/sig000005c9 ; + wire \blk00000003/sig000005c8 ; + wire \blk00000003/sig000005c7 ; + wire \blk00000003/sig000005c6 ; + wire \blk00000003/sig000005c5 ; + wire \blk00000003/sig000005c4 ; + wire \blk00000003/sig000005c3 ; + wire \blk00000003/sig000005c2 ; + wire \blk00000003/sig000005c1 ; + wire \blk00000003/sig000005c0 ; + wire \blk00000003/sig000005bf ; + wire \blk00000003/sig000005be ; + wire \blk00000003/sig000005bd ; + wire \blk00000003/sig000005bc ; + wire \blk00000003/sig000005bb ; + wire \blk00000003/sig000005ba ; + wire \blk00000003/sig000005b9 ; + wire \blk00000003/sig000005b8 ; + wire \blk00000003/sig000005b7 ; + wire \blk00000003/sig000005b6 ; + wire \blk00000003/sig000005b5 ; + wire \blk00000003/sig000005b4 ; + wire \blk00000003/sig000005b3 ; + wire \blk00000003/sig000005b2 ; + wire \blk00000003/sig000005b1 ; + wire \blk00000003/sig000005b0 ; + wire \blk00000003/sig000005af ; + wire \blk00000003/sig000005ae ; + wire \blk00000003/sig000005ad ; + wire \blk00000003/sig000005ac ; + wire \blk00000003/sig000005ab ; + wire \blk00000003/sig000005aa ; + wire \blk00000003/sig000005a9 ; + wire \blk00000003/sig000005a8 ; + wire \blk00000003/sig000005a7 ; + wire \blk00000003/sig000005a6 ; + wire \blk00000003/sig000005a5 ; + wire \blk00000003/sig000005a4 ; + wire \blk00000003/sig000005a3 ; + wire \blk00000003/sig000005a2 ; + wire \blk00000003/sig000005a1 ; + wire \blk00000003/sig000005a0 ; + wire \blk00000003/sig0000059f ; + wire \blk00000003/sig0000059e ; + wire \blk00000003/sig0000059d ; + wire \blk00000003/sig0000059c ; + wire \blk00000003/sig0000059b ; + wire \blk00000003/sig0000059a ; + wire \blk00000003/sig00000599 ; + wire \blk00000003/sig00000598 ; + wire \blk00000003/sig00000597 ; + wire \blk00000003/sig00000596 ; + wire \blk00000003/sig00000595 ; + wire \blk00000003/sig00000594 ; + wire \blk00000003/sig00000593 ; + wire \blk00000003/sig00000592 ; + wire \blk00000003/sig00000591 ; + wire \blk00000003/sig00000590 ; + wire \blk00000003/sig0000058f ; + wire \blk00000003/sig0000058e ; + wire \blk00000003/sig0000058d ; + wire \blk00000003/sig0000058c ; + wire \blk00000003/sig0000058b ; + wire \blk00000003/sig0000058a ; + wire \blk00000003/sig00000589 ; + wire \blk00000003/sig00000588 ; + wire \blk00000003/sig00000587 ; + wire \blk00000003/sig00000586 ; + wire \blk00000003/sig00000585 ; + wire \blk00000003/sig00000584 ; + wire \blk00000003/sig00000583 ; + wire \blk00000003/sig00000582 ; + wire \blk00000003/sig00000581 ; + wire \blk00000003/sig00000580 ; + wire \blk00000003/sig0000057f ; + wire \blk00000003/sig0000057e ; + wire \blk00000003/sig0000057d ; + wire \blk00000003/sig0000057c ; + wire \blk00000003/sig0000057b ; + wire \blk00000003/sig0000057a ; + wire \blk00000003/sig00000579 ; + wire \blk00000003/sig00000578 ; + wire \blk00000003/sig00000577 ; + wire \blk00000003/sig00000576 ; + wire \blk00000003/sig00000575 ; + wire \blk00000003/sig00000574 ; + wire \blk00000003/sig00000573 ; + wire \blk00000003/sig00000572 ; + wire \blk00000003/sig00000571 ; + wire \blk00000003/sig00000570 ; + wire \blk00000003/sig0000056f ; + wire \blk00000003/sig0000056e ; + wire \blk00000003/sig0000056d ; + wire \blk00000003/sig0000056c ; + wire \blk00000003/sig0000056b ; + wire \blk00000003/sig0000056a ; + wire \blk00000003/sig00000569 ; + wire \blk00000003/sig00000568 ; + wire \blk00000003/sig00000567 ; + wire \blk00000003/sig00000566 ; + wire \blk00000003/sig00000565 ; + wire \blk00000003/sig00000564 ; + wire \blk00000003/sig00000563 ; + wire \blk00000003/sig00000562 ; + wire \blk00000003/sig00000561 ; + wire \blk00000003/sig00000560 ; + wire \blk00000003/sig0000055f ; + wire \blk00000003/sig0000055e ; + wire \blk00000003/sig0000055d ; + wire \blk00000003/sig0000055c ; + wire \blk00000003/sig0000055b ; + wire \blk00000003/sig0000055a ; + wire \blk00000003/sig00000559 ; + wire \blk00000003/sig00000558 ; + wire \blk00000003/sig00000557 ; + wire \blk00000003/sig00000556 ; + wire \blk00000003/sig00000555 ; + wire \blk00000003/sig00000554 ; + wire \blk00000003/sig00000553 ; + wire \blk00000003/sig00000552 ; + wire \blk00000003/sig00000551 ; + wire \blk00000003/sig00000550 ; + wire \blk00000003/sig0000054f ; + wire \blk00000003/sig0000054e ; + wire \blk00000003/sig0000054d ; + wire \blk00000003/sig0000054c ; + wire \blk00000003/sig0000054b ; + wire \blk00000003/sig0000054a ; + wire \blk00000003/sig00000549 ; + wire \blk00000003/sig00000548 ; + wire \blk00000003/sig00000547 ; + wire \blk00000003/sig00000546 ; + wire \blk00000003/sig00000545 ; + wire \blk00000003/sig00000544 ; + wire \blk00000003/sig00000543 ; + wire \blk00000003/sig00000542 ; + wire \blk00000003/sig00000541 ; + wire \blk00000003/sig00000540 ; + wire \blk00000003/sig0000053f ; + wire \blk00000003/sig0000053e ; + wire \blk00000003/sig0000053d ; + wire \blk00000003/sig0000053c ; + wire \blk00000003/sig0000053b ; + wire \blk00000003/sig0000053a ; + wire \blk00000003/sig00000539 ; + wire \blk00000003/sig00000538 ; + wire \blk00000003/sig00000537 ; + wire \blk00000003/sig00000536 ; + wire \blk00000003/sig00000535 ; + wire \blk00000003/sig00000534 ; + wire \blk00000003/sig00000533 ; + wire \blk00000003/sig00000532 ; + wire \blk00000003/sig00000531 ; + wire \blk00000003/sig00000530 ; + wire \blk00000003/sig0000052f ; + wire \blk00000003/sig0000052e ; + wire \blk00000003/sig0000052d ; + wire \blk00000003/sig0000052c ; + wire \blk00000003/sig0000052b ; + wire \blk00000003/sig0000052a ; + wire \blk00000003/sig00000529 ; + wire \blk00000003/sig00000528 ; + wire \blk00000003/sig00000527 ; + wire \blk00000003/sig00000526 ; + wire \blk00000003/sig00000525 ; + wire \blk00000003/sig00000524 ; + wire \blk00000003/sig00000523 ; + wire \blk00000003/sig00000522 ; + wire \blk00000003/sig00000521 ; + wire \blk00000003/sig00000520 ; + wire \blk00000003/sig0000051f ; + wire \blk00000003/sig0000051e ; + wire \blk00000003/sig0000051d ; + wire \blk00000003/sig0000051c ; + wire \blk00000003/sig0000051b ; + wire \blk00000003/sig0000051a ; + wire \blk00000003/sig00000519 ; + wire \blk00000003/sig00000518 ; + wire \blk00000003/sig00000517 ; + wire \blk00000003/sig00000516 ; + wire \blk00000003/sig00000515 ; + wire \blk00000003/sig00000514 ; + wire \blk00000003/sig00000513 ; + wire \blk00000003/sig00000512 ; + wire \blk00000003/sig00000511 ; + wire \blk00000003/sig00000510 ; + wire \blk00000003/sig0000050f ; + wire \blk00000003/sig0000050e ; + wire \blk00000003/sig0000050d ; + wire \blk00000003/sig0000050c ; + wire \blk00000003/sig0000050b ; + wire \blk00000003/sig0000050a ; + wire \blk00000003/sig00000509 ; + wire \blk00000003/sig00000508 ; + wire \blk00000003/sig00000507 ; + wire \blk00000003/sig00000506 ; + wire \blk00000003/sig00000505 ; + wire \blk00000003/sig00000504 ; + wire \blk00000003/sig00000503 ; + wire \blk00000003/sig00000502 ; + wire \blk00000003/sig00000501 ; + wire \blk00000003/sig00000500 ; + wire \blk00000003/sig000004ff ; + wire \blk00000003/sig000004fe ; + wire \blk00000003/sig000004fd ; + wire \blk00000003/sig000004fc ; + wire \blk00000003/sig000004fb ; + wire \blk00000003/sig000004fa ; + wire \blk00000003/sig000004f9 ; + wire \blk00000003/sig000004f8 ; + wire \blk00000003/sig000004f7 ; + wire \blk00000003/sig000004f6 ; + wire \blk00000003/sig000004f5 ; + wire \blk00000003/sig000004f4 ; + wire \blk00000003/sig000004f3 ; + wire \blk00000003/sig000004f2 ; + wire \blk00000003/sig000004f1 ; + wire \blk00000003/sig000004f0 ; + wire \blk00000003/sig000004ef ; + wire \blk00000003/sig000004ee ; + wire \blk00000003/sig000004ed ; + wire \blk00000003/sig000004ec ; + wire \blk00000003/sig000004eb ; + wire \blk00000003/sig000004ea ; + wire \blk00000003/sig000004e9 ; + wire \blk00000003/sig000004e8 ; + wire \blk00000003/sig000004e7 ; + wire \blk00000003/sig000004e6 ; + wire \blk00000003/sig000004e5 ; + wire \blk00000003/sig000004e4 ; + wire \blk00000003/sig000004e3 ; + wire \blk00000003/sig000004e2 ; + wire \blk00000003/sig000004e1 ; + wire \blk00000003/sig000004e0 ; + wire \blk00000003/sig000004df ; + wire \blk00000003/sig000004de ; + wire \blk00000003/sig000004dd ; + wire \blk00000003/sig000004dc ; + wire \blk00000003/sig000004db ; + wire \blk00000003/sig000004da ; + wire \blk00000003/sig000004d9 ; + wire \blk00000003/sig000004d8 ; + wire \blk00000003/sig000004d7 ; + wire \blk00000003/sig000004d6 ; + wire \blk00000003/sig000004d5 ; + wire \blk00000003/sig000004d4 ; + wire \blk00000003/sig000004d3 ; + wire \blk00000003/sig000004d2 ; + wire \blk00000003/sig000004d1 ; + wire \blk00000003/sig000004d0 ; + wire \blk00000003/sig000004cf ; + wire \blk00000003/sig000004ce ; + wire \blk00000003/sig000004cd ; + wire \blk00000003/sig000004cc ; + wire \blk00000003/sig000004cb ; + wire \blk00000003/sig000004ca ; + wire \blk00000003/sig000004c9 ; + wire \blk00000003/sig000004c8 ; + wire \blk00000003/sig000004c7 ; + wire \blk00000003/sig000004c6 ; + wire \blk00000003/sig000004c5 ; + wire \blk00000003/sig000004c4 ; + wire \blk00000003/sig000004c3 ; + wire \blk00000003/sig000004c2 ; + wire \blk00000003/sig000004c1 ; + wire \blk00000003/sig000004c0 ; + wire \blk00000003/sig000004bf ; + wire \blk00000003/sig000004be ; + wire \blk00000003/sig000004bd ; + wire \blk00000003/sig000004bc ; + wire \blk00000003/sig000004bb ; + wire \blk00000003/sig000004ba ; + wire \blk00000003/sig000004b9 ; + wire \blk00000003/sig000004b8 ; + wire \blk00000003/sig000004b7 ; + wire \blk00000003/sig000004b6 ; + wire \blk00000003/sig000004b5 ; + wire \blk00000003/sig000004b4 ; + wire \blk00000003/sig000004b3 ; + wire \blk00000003/sig000004b2 ; + wire \blk00000003/sig000004b1 ; + wire \blk00000003/sig000004b0 ; + wire \blk00000003/sig000004af ; + wire \blk00000003/sig000004ae ; + wire \blk00000003/sig000004ad ; + wire \blk00000003/sig000004ac ; + wire \blk00000003/sig000004ab ; + wire \blk00000003/sig000004aa ; + wire \blk00000003/sig000004a9 ; + wire \blk00000003/sig000004a8 ; + wire \blk00000003/sig000004a7 ; + wire \blk00000003/sig000004a6 ; + wire \blk00000003/sig000004a5 ; + wire \blk00000003/sig000004a4 ; + wire \blk00000003/sig000004a3 ; + wire \blk00000003/sig000004a2 ; + wire \blk00000003/sig000004a1 ; + wire \blk00000003/sig000004a0 ; + wire \blk00000003/sig0000049f ; + wire \blk00000003/sig0000049e ; + wire \blk00000003/sig0000049d ; + wire \blk00000003/sig0000049c ; + wire \blk00000003/sig0000049b ; + wire \blk00000003/sig0000049a ; + wire \blk00000003/sig00000499 ; + wire \blk00000003/sig00000498 ; + wire \blk00000003/sig00000497 ; + wire \blk00000003/sig00000496 ; + wire \blk00000003/sig00000495 ; + wire \blk00000003/sig00000494 ; + wire \blk00000003/sig00000493 ; + wire \blk00000003/sig00000492 ; + wire \blk00000003/sig00000491 ; + wire \blk00000003/sig00000490 ; + wire \blk00000003/sig0000048f ; + wire \blk00000003/sig0000048e ; + wire \blk00000003/sig0000048d ; + wire \blk00000003/sig0000048c ; + wire \blk00000003/sig0000048b ; + wire \blk00000003/sig0000048a ; + wire \blk00000003/sig00000489 ; + wire \blk00000003/sig00000488 ; + wire \blk00000003/sig00000487 ; + wire \blk00000003/sig00000486 ; + wire \blk00000003/sig00000485 ; + wire \blk00000003/sig00000484 ; + wire \blk00000003/sig00000483 ; + wire \blk00000003/sig00000482 ; + wire \blk00000003/sig00000481 ; + wire \blk00000003/sig00000480 ; + wire \blk00000003/sig0000047f ; + wire \blk00000003/sig0000047e ; + wire \blk00000003/sig0000047d ; + wire \blk00000003/sig0000047c ; + wire \blk00000003/sig0000047b ; + wire \blk00000003/sig0000047a ; + wire \blk00000003/sig00000479 ; + wire \blk00000003/sig00000478 ; + wire \blk00000003/sig00000477 ; + wire \blk00000003/sig00000476 ; + wire \blk00000003/sig00000475 ; + wire \blk00000003/sig00000474 ; + wire \blk00000003/sig00000473 ; + wire \blk00000003/sig00000472 ; + wire \blk00000003/sig00000471 ; + wire \blk00000003/sig00000470 ; + wire \blk00000003/sig0000046f ; + wire \blk00000003/sig0000046e ; + wire \blk00000003/sig0000046d ; + wire \blk00000003/sig0000046c ; + wire \blk00000003/sig0000046b ; + wire \blk00000003/sig0000046a ; + wire \blk00000003/sig00000469 ; + wire \blk00000003/sig00000468 ; + wire \blk00000003/sig00000467 ; + wire \blk00000003/sig00000466 ; + wire \blk00000003/sig00000465 ; + wire \blk00000003/sig00000464 ; + wire \blk00000003/sig00000463 ; + wire \blk00000003/sig00000462 ; + wire \blk00000003/sig00000461 ; + wire \blk00000003/sig00000460 ; + wire \blk00000003/sig0000045f ; + wire \blk00000003/sig0000045e ; + wire \blk00000003/sig0000045d ; + wire \blk00000003/sig0000045c ; + wire \blk00000003/sig0000045b ; + wire \blk00000003/sig0000045a ; + wire \blk00000003/sig00000459 ; + wire \blk00000003/sig00000458 ; + wire \blk00000003/sig00000457 ; + wire \blk00000003/sig00000456 ; + wire \blk00000003/sig00000455 ; + wire \blk00000003/sig00000454 ; + wire \blk00000003/sig00000453 ; + wire \blk00000003/sig00000452 ; + wire \blk00000003/sig00000451 ; + wire \blk00000003/sig00000450 ; + wire \blk00000003/sig0000044f ; + wire \blk00000003/sig0000044e ; + wire \blk00000003/sig0000044d ; + wire \blk00000003/sig0000044c ; + wire \blk00000003/sig0000044b ; + wire \blk00000003/sig0000044a ; + wire \blk00000003/sig00000449 ; + wire \blk00000003/sig00000448 ; + wire \blk00000003/sig00000447 ; + wire \blk00000003/sig00000446 ; + wire \blk00000003/sig00000445 ; + wire \blk00000003/sig00000444 ; + wire \blk00000003/sig00000443 ; + wire \blk00000003/sig00000442 ; + wire \blk00000003/sig00000441 ; + wire \blk00000003/sig00000440 ; + wire \blk00000003/sig0000043f ; + wire \blk00000003/sig0000043e ; + wire \blk00000003/sig0000043d ; + wire \blk00000003/sig0000043c ; + wire \blk00000003/sig0000043b ; + wire \blk00000003/sig0000043a ; + wire \blk00000003/sig00000439 ; + wire \blk00000003/sig00000438 ; + wire \blk00000003/sig00000437 ; + wire \blk00000003/sig00000436 ; + wire \blk00000003/sig00000435 ; + wire \blk00000003/sig00000434 ; + wire \blk00000003/sig00000433 ; + wire \blk00000003/sig00000432 ; + wire \blk00000003/sig00000431 ; + wire \blk00000003/sig00000430 ; + wire \blk00000003/sig0000042f ; + wire \blk00000003/sig0000042e ; + wire \blk00000003/sig0000042d ; + wire \blk00000003/sig0000042c ; + wire \blk00000003/sig0000042b ; + wire \blk00000003/sig0000042a ; + wire \blk00000003/sig00000429 ; + wire \blk00000003/sig00000428 ; + wire \blk00000003/sig00000427 ; + wire \blk00000003/sig00000426 ; + wire \blk00000003/sig00000425 ; + wire \blk00000003/sig00000424 ; + wire \blk00000003/sig00000423 ; + wire \blk00000003/sig00000422 ; + wire \blk00000003/sig00000421 ; + wire \blk00000003/sig00000420 ; + wire \blk00000003/sig0000041f ; + wire \blk00000003/sig0000041e ; + wire \blk00000003/sig0000041d ; + wire \blk00000003/sig0000041c ; + wire \blk00000003/sig0000041b ; + wire \blk00000003/sig0000041a ; + wire \blk00000003/sig00000419 ; + wire \blk00000003/sig00000418 ; + wire \blk00000003/sig00000417 ; + wire \blk00000003/sig00000416 ; + wire \blk00000003/sig00000415 ; + wire \blk00000003/sig00000414 ; + wire \blk00000003/sig00000413 ; + wire \blk00000003/sig00000412 ; + wire \blk00000003/sig00000411 ; + wire \blk00000003/sig00000410 ; + wire \blk00000003/sig0000040f ; + wire \blk00000003/sig0000040e ; + wire \blk00000003/sig0000040d ; + wire \blk00000003/sig0000040c ; + wire \blk00000003/sig0000040b ; + wire \blk00000003/sig0000040a ; + wire \blk00000003/sig00000409 ; + wire \blk00000003/sig00000408 ; + wire \blk00000003/sig00000407 ; + wire \blk00000003/sig00000406 ; + wire \blk00000003/sig00000405 ; + wire \blk00000003/sig00000404 ; + wire \blk00000003/sig00000403 ; + wire \blk00000003/sig00000402 ; + wire \blk00000003/sig00000401 ; + wire \blk00000003/sig00000400 ; + wire \blk00000003/sig000003ff ; + wire \blk00000003/sig000003fe ; + wire \blk00000003/sig000003fd ; + wire \blk00000003/sig000003fc ; + wire \blk00000003/sig000003fb ; + wire \blk00000003/sig000003fa ; + wire \blk00000003/sig000003f9 ; + wire \blk00000003/sig000003f8 ; + wire \blk00000003/sig000003f7 ; + wire \blk00000003/sig000003f6 ; + wire \blk00000003/sig000003f5 ; + wire \blk00000003/sig000003f4 ; + wire \blk00000003/sig000003f3 ; + wire \blk00000003/sig000003f2 ; + wire \blk00000003/sig000003f1 ; + wire \blk00000003/sig000003f0 ; + wire \blk00000003/sig000003ef ; + wire \blk00000003/sig000003ee ; + wire \blk00000003/sig000003ed ; + wire \blk00000003/sig000003ec ; + wire \blk00000003/sig000003eb ; + wire \blk00000003/sig000003ea ; + wire \blk00000003/sig000003e9 ; + wire \blk00000003/sig000003e8 ; + wire \blk00000003/sig000003e7 ; + wire \blk00000003/sig000003e6 ; + wire \blk00000003/sig000003e5 ; + wire \blk00000003/sig000003e4 ; + wire \blk00000003/sig000003e3 ; + wire \blk00000003/sig000003e2 ; + wire \blk00000003/sig000003e1 ; + wire \blk00000003/sig000003e0 ; + wire \blk00000003/sig000003df ; + wire \blk00000003/sig000003de ; + wire \blk00000003/sig000003dd ; + wire \blk00000003/sig000003dc ; + wire \blk00000003/sig000003db ; + wire \blk00000003/sig000003da ; + wire \blk00000003/sig000003d9 ; + wire \blk00000003/sig000003d8 ; + wire \blk00000003/sig000003d7 ; + wire \blk00000003/sig000003d6 ; + wire \blk00000003/sig000003d5 ; + wire \blk00000003/sig000003d4 ; + wire \blk00000003/sig000003d3 ; + wire \blk00000003/sig000003d2 ; + wire \blk00000003/sig000003d1 ; + wire \blk00000003/sig000003d0 ; + wire \blk00000003/sig000003cf ; + wire \blk00000003/sig000003ce ; + wire \blk00000003/sig000003cd ; + wire \blk00000003/sig000003cc ; + wire \blk00000003/sig000003cb ; + wire \blk00000003/sig000003ca ; + wire \blk00000003/sig000003c9 ; + wire \blk00000003/sig000003c8 ; + wire \blk00000003/sig000003c7 ; + wire \blk00000003/sig000003c6 ; + wire \blk00000003/sig000003c5 ; + wire \blk00000003/sig000003c4 ; + wire \blk00000003/sig000003c3 ; + wire \blk00000003/sig000003c2 ; + wire \blk00000003/sig000003c1 ; + wire \blk00000003/sig000003c0 ; + wire \blk00000003/sig000003bf ; + wire \blk00000003/sig000003be ; + wire \blk00000003/sig000003bd ; + wire \blk00000003/sig000003bc ; + wire \blk00000003/sig000003bb ; + wire \blk00000003/sig000003ba ; + wire \blk00000003/sig000003b9 ; + wire \blk00000003/sig000003b8 ; + wire \blk00000003/sig000003b7 ; + wire \blk00000003/sig000003b6 ; + wire \blk00000003/sig000003b5 ; + wire \blk00000003/sig000003b4 ; + wire \blk00000003/sig000003b3 ; + wire \blk00000003/sig000003b2 ; + wire \blk00000003/sig000003b1 ; + wire \blk00000003/sig000003b0 ; + wire \blk00000003/sig000003af ; + wire \blk00000003/sig000003ae ; + wire \blk00000003/sig000003ad ; + wire \blk00000003/sig000003ac ; + wire \blk00000003/sig000003ab ; + wire \blk00000003/sig000003aa ; + wire \blk00000003/sig000003a9 ; + wire \blk00000003/sig000003a8 ; + wire \blk00000003/sig000003a7 ; + wire \blk00000003/sig000003a6 ; + wire \blk00000003/sig000003a5 ; + wire \blk00000003/sig000003a4 ; + wire \blk00000003/sig000003a3 ; + wire \blk00000003/sig000003a2 ; + wire \blk00000003/sig000003a1 ; + wire \blk00000003/sig000003a0 ; + wire \blk00000003/sig0000039f ; + wire \blk00000003/sig0000039e ; + wire \blk00000003/sig0000039d ; + wire \blk00000003/sig0000039c ; + wire \blk00000003/sig0000039b ; + wire \blk00000003/sig0000039a ; + wire \blk00000003/sig00000399 ; + wire \blk00000003/sig00000398 ; + wire \blk00000003/sig00000397 ; + wire \blk00000003/sig00000396 ; + wire \blk00000003/sig00000395 ; + wire \blk00000003/sig00000394 ; + wire \blk00000003/sig00000393 ; + wire \blk00000003/sig00000392 ; + wire \blk00000003/sig00000391 ; + wire \blk00000003/sig00000390 ; + wire \blk00000003/sig0000038f ; + wire \blk00000003/sig0000038e ; + wire \blk00000003/sig0000038d ; + wire \blk00000003/sig0000038c ; + wire \blk00000003/sig0000038b ; + wire \blk00000003/sig0000038a ; + wire \blk00000003/sig00000389 ; + wire \blk00000003/sig00000388 ; + wire \blk00000003/sig00000387 ; + wire \blk00000003/sig00000386 ; + wire \blk00000003/sig00000385 ; + wire \blk00000003/sig00000384 ; + wire \blk00000003/sig00000383 ; + wire \blk00000003/sig00000382 ; + wire \blk00000003/sig00000381 ; + wire \blk00000003/sig00000380 ; + wire \blk00000003/sig0000037f ; + wire \blk00000003/sig0000037e ; + wire \blk00000003/sig0000037d ; + wire \blk00000003/sig0000037c ; + wire \blk00000003/sig0000037b ; + wire \blk00000003/sig0000037a ; + wire \blk00000003/sig00000379 ; + wire \blk00000003/sig00000378 ; + wire \blk00000003/sig00000377 ; + wire \blk00000003/sig00000376 ; + wire \blk00000003/sig00000375 ; + wire \blk00000003/sig00000374 ; + wire \blk00000003/sig00000373 ; + wire \blk00000003/sig00000372 ; + wire \blk00000003/sig00000371 ; + wire \blk00000003/sig00000370 ; + wire \blk00000003/sig0000036f ; + wire \blk00000003/sig0000036e ; + wire \blk00000003/sig0000036d ; + wire \blk00000003/sig0000036c ; + wire \blk00000003/sig0000036b ; + wire \blk00000003/sig0000036a ; + wire \blk00000003/sig00000369 ; + wire \blk00000003/sig00000368 ; + wire \blk00000003/sig00000367 ; + wire \blk00000003/sig00000366 ; + wire \blk00000003/sig00000365 ; + wire \blk00000003/sig00000364 ; + wire \blk00000003/sig00000363 ; + wire \blk00000003/sig00000362 ; + wire \blk00000003/sig00000361 ; + wire \blk00000003/sig00000360 ; + wire \blk00000003/sig0000035f ; + wire \blk00000003/sig0000035e ; + wire \blk00000003/sig0000035d ; + wire \blk00000003/sig0000035c ; + wire \blk00000003/sig0000035b ; + wire \blk00000003/sig0000035a ; + wire \blk00000003/sig00000359 ; + wire \blk00000003/sig00000358 ; + wire \blk00000003/sig00000357 ; + wire \blk00000003/sig00000356 ; + wire \blk00000003/sig00000355 ; + wire \blk00000003/sig00000354 ; + wire \blk00000003/sig00000353 ; + wire \blk00000003/sig00000352 ; + wire \blk00000003/sig00000351 ; + wire \blk00000003/sig00000350 ; + wire \blk00000003/sig0000034f ; + wire \blk00000003/sig0000034e ; + wire \blk00000003/sig0000034d ; + wire \blk00000003/sig0000034c ; + wire \blk00000003/sig0000034b ; + wire \blk00000003/sig0000034a ; + wire \blk00000003/sig00000349 ; + wire \blk00000003/sig00000348 ; + wire \blk00000003/sig00000347 ; + wire \blk00000003/sig00000346 ; + wire \blk00000003/sig00000345 ; + wire \blk00000003/sig00000344 ; + wire \blk00000003/sig00000343 ; + wire \blk00000003/sig00000342 ; + wire \blk00000003/sig00000341 ; + wire \blk00000003/sig00000340 ; + wire \blk00000003/sig0000033f ; + wire \blk00000003/sig0000033e ; + wire \blk00000003/sig0000033d ; + wire \blk00000003/sig0000033c ; + wire \blk00000003/sig0000033b ; + wire \blk00000003/sig0000033a ; + wire \blk00000003/sig00000339 ; + wire \blk00000003/sig00000338 ; + wire \blk00000003/sig00000337 ; + wire \blk00000003/sig00000336 ; + wire \blk00000003/sig00000335 ; + wire \blk00000003/sig00000334 ; + wire \blk00000003/sig00000333 ; + wire \blk00000003/sig00000332 ; + wire \blk00000003/sig00000331 ; + wire \blk00000003/sig00000330 ; + wire \blk00000003/sig0000032f ; + wire \blk00000003/sig0000032e ; + wire \blk00000003/sig0000032d ; + wire \blk00000003/sig0000032c ; + wire \blk00000003/sig0000032b ; + wire \blk00000003/sig0000032a ; + wire \blk00000003/sig00000329 ; + wire \blk00000003/sig00000328 ; + wire \blk00000003/sig00000327 ; + wire \blk00000003/sig00000326 ; + wire \blk00000003/sig00000325 ; + wire \blk00000003/sig00000324 ; + wire \blk00000003/sig00000323 ; + wire \blk00000003/sig00000322 ; + wire \blk00000003/sig00000321 ; + wire \blk00000003/sig00000320 ; + wire \blk00000003/sig0000031f ; + wire \blk00000003/sig0000031e ; + wire \blk00000003/sig0000031d ; + wire \blk00000003/sig0000031c ; + wire \blk00000003/sig0000031b ; + wire \blk00000003/sig0000031a ; + wire \blk00000003/sig00000319 ; + wire \blk00000003/sig00000318 ; + wire \blk00000003/sig00000317 ; + wire \blk00000003/sig00000316 ; + wire \blk00000003/sig00000315 ; + wire \blk00000003/sig00000314 ; + wire \blk00000003/sig00000313 ; + wire \blk00000003/sig00000312 ; + wire \blk00000003/sig00000311 ; + wire \blk00000003/sig00000310 ; + wire \blk00000003/sig0000030f ; + wire \blk00000003/sig0000030e ; + wire \blk00000003/sig0000030d ; + wire \blk00000003/sig0000030c ; + wire \blk00000003/sig0000030b ; + wire \blk00000003/sig0000030a ; + wire \blk00000003/sig00000309 ; + wire \blk00000003/sig00000308 ; + wire \blk00000003/sig00000307 ; + wire \blk00000003/sig00000306 ; + wire \blk00000003/sig00000305 ; + wire \blk00000003/sig00000304 ; + wire \blk00000003/sig00000303 ; + wire \blk00000003/sig00000302 ; + wire \blk00000003/sig00000301 ; + wire \blk00000003/sig00000300 ; + wire \blk00000003/sig000002ff ; + wire \blk00000003/sig000002fe ; + wire \blk00000003/sig000002fd ; + wire \blk00000003/sig000002fc ; + wire \blk00000003/sig000002fb ; + wire \blk00000003/sig000002fa ; + wire \blk00000003/sig000002f9 ; + wire \blk00000003/sig000002f8 ; + wire \blk00000003/sig000002f7 ; + wire \blk00000003/sig000002f6 ; + wire \blk00000003/sig000002f5 ; + wire \blk00000003/sig000002f4 ; + wire \blk00000003/sig000002f3 ; + wire \blk00000003/sig000002f2 ; + wire \blk00000003/sig000002f1 ; + wire \blk00000003/sig000002f0 ; + wire \blk00000003/sig000002ef ; + wire \blk00000003/sig000002ee ; + wire \blk00000003/sig000002ed ; + wire \blk00000003/sig000002ec ; + wire \blk00000003/sig000002eb ; + wire \blk00000003/sig000002ea ; + wire \blk00000003/sig000002e9 ; + wire \blk00000003/sig000002e8 ; + wire \blk00000003/sig000002e7 ; + wire \blk00000003/sig000002e6 ; + wire \blk00000003/sig000002e5 ; + wire \blk00000003/sig000002e4 ; + wire \blk00000003/sig000002e3 ; + wire \blk00000003/sig000002e2 ; + wire \blk00000003/sig000002e1 ; + wire \blk00000003/sig000002e0 ; + wire \blk00000003/sig000002df ; + wire \blk00000003/sig000002de ; + wire \blk00000003/sig000002dd ; + wire \blk00000003/sig000002dc ; + wire \blk00000003/sig000002db ; + wire \blk00000003/sig000002da ; + wire \blk00000003/sig000002d9 ; + wire \blk00000003/sig000002d8 ; + wire \blk00000003/sig000002d7 ; + wire \blk00000003/sig000002d6 ; + wire \blk00000003/sig000002d5 ; + wire \blk00000003/sig000002d4 ; + wire \blk00000003/sig000002d3 ; + wire \blk00000003/sig000002d2 ; + wire \blk00000003/sig000002d1 ; + wire \blk00000003/sig000002d0 ; + wire \blk00000003/sig000002cf ; + wire \blk00000003/sig000002ce ; + wire \blk00000003/sig000002cd ; + wire \blk00000003/sig000002cc ; + wire \blk00000003/sig000002cb ; + wire \blk00000003/sig000002ca ; + wire \blk00000003/sig000002c9 ; + wire \blk00000003/sig000002c8 ; + wire \blk00000003/sig000002c7 ; + wire \blk00000003/sig000002c6 ; + wire \blk00000003/sig000002c5 ; + wire \blk00000003/sig000002c4 ; + wire \blk00000003/sig000002c3 ; + wire \blk00000003/sig000002c2 ; + wire \blk00000003/sig000002c1 ; + wire \blk00000003/sig000002c0 ; + wire \blk00000003/sig000002bf ; + wire \blk00000003/sig000002be ; + wire \blk00000003/sig000002bd ; + wire \blk00000003/sig000002bc ; + wire \blk00000003/sig000002bb ; + wire \blk00000003/sig000002ba ; + wire \blk00000003/sig000002b9 ; + wire \blk00000003/sig000002b8 ; + wire \blk00000003/sig000002b7 ; + wire \blk00000003/sig000002b6 ; + wire \blk00000003/sig000002b5 ; + wire \blk00000003/sig000002b4 ; + wire \blk00000003/sig000002b3 ; + wire \blk00000003/sig000002b2 ; + wire \blk00000003/sig000002b1 ; + wire \blk00000003/sig000002b0 ; + wire \blk00000003/sig000002af ; + wire \blk00000003/sig000002ae ; + wire \blk00000003/sig000002ad ; + wire \blk00000003/sig000002ac ; + wire \blk00000003/sig000002ab ; + wire \blk00000003/sig000002aa ; + wire \blk00000003/sig000002a9 ; + wire \blk00000003/sig000002a8 ; + wire \blk00000003/sig000002a7 ; + wire \blk00000003/sig000002a6 ; + wire \blk00000003/sig000002a5 ; + wire \blk00000003/sig000002a4 ; + wire \blk00000003/sig000002a3 ; + wire \blk00000003/sig000002a2 ; + wire \blk00000003/sig000002a1 ; + wire \blk00000003/sig000002a0 ; + wire \blk00000003/sig0000029f ; + wire \blk00000003/sig0000029e ; + wire \blk00000003/sig0000029d ; + wire \blk00000003/sig0000029c ; + wire \blk00000003/sig0000029b ; + wire \blk00000003/sig0000029a ; + wire \blk00000003/sig00000299 ; + wire \blk00000003/sig00000298 ; + wire \blk00000003/sig00000297 ; + wire \blk00000003/sig00000296 ; + wire \blk00000003/sig00000295 ; + wire \blk00000003/sig00000294 ; + wire \blk00000003/sig00000293 ; + wire \blk00000003/sig00000292 ; + wire \blk00000003/sig00000291 ; + wire \blk00000003/sig00000290 ; + wire \blk00000003/sig0000028f ; + wire \blk00000003/sig0000028e ; + wire \blk00000003/sig0000028d ; + wire \blk00000003/sig0000028c ; + wire \blk00000003/sig0000028b ; + wire \blk00000003/sig0000028a ; + wire \blk00000003/sig00000289 ; + wire \blk00000003/sig00000288 ; + wire \blk00000003/sig00000287 ; + wire \blk00000003/sig00000286 ; + wire \blk00000003/sig00000285 ; + wire \blk00000003/sig00000284 ; + wire \blk00000003/sig00000283 ; + wire \blk00000003/sig00000282 ; + wire \blk00000003/sig00000281 ; + wire \blk00000003/sig00000280 ; + wire \blk00000003/sig0000027f ; + wire \blk00000003/sig0000027e ; + wire \blk00000003/sig0000027d ; + wire \blk00000003/sig0000027c ; + wire \blk00000003/sig0000027b ; + wire \blk00000003/sig0000027a ; + wire \blk00000003/sig00000279 ; + wire \blk00000003/sig00000278 ; + wire \blk00000003/sig00000277 ; + wire \blk00000003/sig00000276 ; + wire \blk00000003/sig00000275 ; + wire \blk00000003/sig00000274 ; + wire \blk00000003/sig00000273 ; + wire \blk00000003/sig00000272 ; + wire \blk00000003/sig00000271 ; + wire \blk00000003/sig00000270 ; + wire \blk00000003/sig0000026f ; + wire \blk00000003/sig0000026e ; + wire \blk00000003/sig0000026d ; + wire \blk00000003/sig0000026c ; + wire \blk00000003/sig0000026b ; + wire \blk00000003/sig0000026a ; + wire \blk00000003/sig00000269 ; + wire \blk00000003/sig00000268 ; + wire \blk00000003/sig00000267 ; + wire \blk00000003/sig00000266 ; + wire \blk00000003/sig00000265 ; + wire \blk00000003/sig00000264 ; + wire \blk00000003/sig00000263 ; + wire \blk00000003/sig00000262 ; + wire \blk00000003/sig00000261 ; + wire \blk00000003/sig00000260 ; + wire \blk00000003/sig0000025f ; + wire \blk00000003/sig0000025e ; + wire \blk00000003/sig0000025d ; + wire \blk00000003/sig0000025c ; + wire \blk00000003/sig0000025b ; + wire \blk00000003/sig0000025a ; + wire \blk00000003/sig00000259 ; + wire \blk00000003/sig00000258 ; + wire \blk00000003/sig00000257 ; + wire \blk00000003/sig00000256 ; + wire \blk00000003/sig00000255 ; + wire \blk00000003/sig00000254 ; + wire \blk00000003/sig00000253 ; + wire \blk00000003/sig00000252 ; + wire \blk00000003/sig00000251 ; + wire \blk00000003/sig00000250 ; + wire \blk00000003/sig0000024f ; + wire \blk00000003/sig0000024e ; + wire \blk00000003/sig0000024d ; + wire \blk00000003/sig0000024c ; + wire \blk00000003/sig0000024b ; + wire \blk00000003/sig0000024a ; + wire \blk00000003/sig00000249 ; + wire \blk00000003/sig00000248 ; + wire \blk00000003/sig00000247 ; + wire \blk00000003/sig00000246 ; + wire \blk00000003/sig00000245 ; + wire \blk00000003/sig00000244 ; + wire \blk00000003/sig00000243 ; + wire \blk00000003/sig00000242 ; + wire \blk00000003/sig00000241 ; + wire \blk00000003/sig00000240 ; + wire \blk00000003/sig0000023f ; + wire \blk00000003/sig0000023e ; + wire \blk00000003/sig0000023d ; + wire \blk00000003/sig0000023c ; + wire \blk00000003/sig0000023b ; + wire \blk00000003/sig0000023a ; + wire \blk00000003/sig00000239 ; + wire \blk00000003/sig00000238 ; + wire \blk00000003/sig00000237 ; + wire \blk00000003/sig00000236 ; + wire \blk00000003/sig00000235 ; + wire \blk00000003/sig00000234 ; + wire \blk00000003/sig00000233 ; + wire \blk00000003/sig00000232 ; + wire \blk00000003/sig00000231 ; + wire \blk00000003/sig00000230 ; + wire \blk00000003/sig0000022f ; + wire \blk00000003/sig0000022e ; + wire \blk00000003/sig0000022d ; + wire \blk00000003/sig0000022c ; + wire \blk00000003/sig0000022b ; + wire \blk00000003/sig0000022a ; + wire \blk00000003/sig00000229 ; + wire \blk00000003/sig00000228 ; + wire \blk00000003/sig00000227 ; + wire \blk00000003/sig00000226 ; + wire \blk00000003/sig00000225 ; + wire \blk00000003/sig00000224 ; + wire \blk00000003/sig00000223 ; + wire \blk00000003/sig00000222 ; + wire \blk00000003/sig00000221 ; + wire \blk00000003/sig00000220 ; + wire \blk00000003/sig0000021f ; + wire \blk00000003/sig0000021e ; + wire \blk00000003/sig0000021d ; + wire \blk00000003/sig0000021c ; + wire \blk00000003/sig0000021b ; + wire \blk00000003/sig0000021a ; + wire \blk00000003/sig00000219 ; + wire \blk00000003/sig00000218 ; + wire \blk00000003/sig00000217 ; + wire \blk00000003/sig00000216 ; + wire \blk00000003/sig00000215 ; + wire \blk00000003/sig00000214 ; + wire \blk00000003/sig00000213 ; + wire \blk00000003/sig00000212 ; + wire \blk00000003/sig00000211 ; + wire \blk00000003/sig00000210 ; + wire \blk00000003/sig0000020f ; + wire \blk00000003/sig0000020e ; + wire \blk00000003/sig0000020d ; + wire \blk00000003/sig0000020c ; + wire \blk00000003/sig0000020b ; + wire \blk00000003/sig0000020a ; + wire \blk00000003/sig00000209 ; + wire \blk00000003/sig00000208 ; + wire \blk00000003/sig00000207 ; + wire \blk00000003/sig00000206 ; + wire \blk00000003/sig00000205 ; + wire \blk00000003/sig00000204 ; + wire \blk00000003/sig00000203 ; + wire \blk00000003/sig00000202 ; + wire \blk00000003/sig00000201 ; + wire \blk00000003/sig00000200 ; + wire \blk00000003/sig000001ff ; + wire \blk00000003/sig000001fe ; + wire \blk00000003/sig000001fd ; + wire \blk00000003/sig000001fc ; + wire \blk00000003/sig000001fb ; + wire \blk00000003/sig000001fa ; + wire \blk00000003/sig000001f9 ; + wire \blk00000003/sig000001f8 ; + wire \blk00000003/sig000001f7 ; + wire \blk00000003/sig000001f6 ; + wire \blk00000003/sig000001f5 ; + wire \blk00000003/sig000001f4 ; + wire \blk00000003/sig000001f3 ; + wire \blk00000003/sig000001f2 ; + wire \blk00000003/sig000001f1 ; + wire \blk00000003/sig000001f0 ; + wire \blk00000003/sig000001ef ; + wire \blk00000003/sig000001ee ; + wire \blk00000003/sig000001ed ; + wire \blk00000003/sig000001ec ; + wire \blk00000003/sig000001eb ; + wire \blk00000003/sig000001ea ; + wire \blk00000003/sig000001e9 ; + wire \blk00000003/sig000001e8 ; + wire \blk00000003/sig000001e7 ; + wire \blk00000003/sig000001e6 ; + wire \blk00000003/sig000001e5 ; + wire \blk00000003/sig000001e4 ; + wire \blk00000003/sig000001e3 ; + wire \blk00000003/sig000001e2 ; + wire \blk00000003/sig000001e1 ; + wire \blk00000003/sig000001e0 ; + wire \blk00000003/sig000001df ; + wire \blk00000003/sig000001de ; + wire \blk00000003/sig000001dd ; + wire \blk00000003/sig000001dc ; + wire \blk00000003/sig000001db ; + wire \blk00000003/sig000001da ; + wire \blk00000003/sig000001d9 ; + wire \blk00000003/sig000001d8 ; + wire \blk00000003/sig000001d7 ; + wire \blk00000003/sig000001d6 ; + wire \blk00000003/sig000001d5 ; + wire \blk00000003/sig000001d4 ; + wire \blk00000003/sig000001d3 ; + wire \blk00000003/sig000001d2 ; + wire \blk00000003/sig000001d1 ; + wire \blk00000003/sig000001d0 ; + wire \blk00000003/sig000001cf ; + wire \blk00000003/sig000001ce ; + wire \blk00000003/sig000001cd ; + wire \blk00000003/sig000001cc ; + wire \blk00000003/sig000001cb ; + wire \blk00000003/sig000001ca ; + wire \blk00000003/sig000001c9 ; + wire \blk00000003/sig000001c8 ; + wire \blk00000003/sig000001c7 ; + wire \blk00000003/sig000001c6 ; + wire \blk00000003/sig000001c5 ; + wire \blk00000003/sig000001c4 ; + wire \blk00000003/sig000001c3 ; + wire \blk00000003/sig000001c2 ; + wire \blk00000003/sig000001c1 ; + wire \blk00000003/sig000001c0 ; + wire \blk00000003/sig000001bf ; + wire \blk00000003/sig000001be ; + wire \blk00000003/sig000001bd ; + wire \blk00000003/sig000001bc ; + wire \blk00000003/sig000001bb ; + wire \blk00000003/sig000001ba ; + wire \blk00000003/sig000001b9 ; + wire \blk00000003/sig000001b8 ; + wire \blk00000003/sig000001b7 ; + wire \blk00000003/sig000001b6 ; + wire \blk00000003/sig000001b5 ; + wire \blk00000003/sig000001b4 ; + wire \blk00000003/sig000001b3 ; + wire \blk00000003/sig000001b2 ; + wire \blk00000003/sig000001b1 ; + wire \blk00000003/sig000001b0 ; + wire \blk00000003/sig000001af ; + wire \blk00000003/sig000001ae ; + wire \blk00000003/sig000001ad ; + wire \blk00000003/sig000001ac ; + wire \blk00000003/sig000001ab ; + wire \blk00000003/sig000001aa ; + wire \blk00000003/sig000001a9 ; + wire \blk00000003/sig000001a8 ; + wire \blk00000003/sig000001a7 ; + wire \blk00000003/sig000001a6 ; + wire \blk00000003/sig000001a5 ; + wire \blk00000003/sig000001a4 ; + wire \blk00000003/sig000001a3 ; + wire \blk00000003/sig000001a2 ; + wire \blk00000003/sig000001a1 ; + wire \blk00000003/sig000001a0 ; + wire \blk00000003/sig0000019f ; + wire \blk00000003/sig0000019e ; + wire \blk00000003/sig0000019d ; + wire \blk00000003/sig0000019c ; + wire \blk00000003/sig0000019b ; + wire \blk00000003/sig0000019a ; + wire \blk00000003/sig00000199 ; + wire \blk00000003/sig00000198 ; + wire \blk00000003/sig00000197 ; + wire \blk00000003/sig00000196 ; + wire \blk00000003/sig00000195 ; + wire \blk00000003/sig00000194 ; + wire \blk00000003/sig00000193 ; + wire \blk00000003/sig00000192 ; + wire \blk00000003/sig00000191 ; + wire \blk00000003/sig00000190 ; + wire \blk00000003/sig0000018f ; + wire \blk00000003/sig0000018e ; + wire \blk00000003/sig0000018d ; + wire \blk00000003/sig0000018c ; + wire \blk00000003/sig0000018b ; + wire \blk00000003/sig0000018a ; + wire \blk00000003/sig00000189 ; + wire \blk00000003/sig00000188 ; + wire \blk00000003/sig00000187 ; + wire \blk00000003/sig00000186 ; + wire \blk00000003/sig00000185 ; + wire \blk00000003/sig00000184 ; + wire \blk00000003/sig00000183 ; + wire \blk00000003/sig00000182 ; + wire \blk00000003/sig00000181 ; + wire \blk00000003/sig00000180 ; + wire \blk00000003/sig0000017f ; + wire \blk00000003/sig0000017e ; + wire \blk00000003/sig0000017d ; + wire \blk00000003/sig0000017c ; + wire \blk00000003/sig0000017b ; + wire \blk00000003/sig0000017a ; + wire \blk00000003/sig00000179 ; + wire \blk00000003/sig00000178 ; + wire \blk00000003/sig00000177 ; + wire \blk00000003/sig00000176 ; + wire \blk00000003/sig00000175 ; + wire \blk00000003/sig00000174 ; + wire \blk00000003/sig00000173 ; + wire \blk00000003/sig00000172 ; + wire \blk00000003/sig00000171 ; + wire \blk00000003/sig00000170 ; + wire \blk00000003/sig0000016f ; + wire \blk00000003/sig0000016e ; + wire \blk00000003/sig0000016d ; + wire \blk00000003/sig0000016c ; + wire \blk00000003/sig0000016b ; + wire \blk00000003/sig0000016a ; + wire \blk00000003/sig00000169 ; + wire \blk00000003/sig00000168 ; + wire \blk00000003/sig00000167 ; + wire \blk00000003/sig00000166 ; + wire \blk00000003/sig00000165 ; + wire \blk00000003/sig00000164 ; + wire \blk00000003/sig00000163 ; + wire \blk00000003/sig00000162 ; + wire \blk00000003/sig00000161 ; + wire \blk00000003/sig00000160 ; + wire \blk00000003/sig0000015f ; + wire \blk00000003/sig0000015e ; + wire \blk00000003/sig0000015d ; + wire \blk00000003/sig0000015c ; + wire \blk00000003/sig0000015b ; + wire \blk00000003/sig0000015a ; + wire \blk00000003/sig00000159 ; + wire \blk00000003/sig00000158 ; + wire \blk00000003/sig00000157 ; + wire \blk00000003/sig00000156 ; + wire \blk00000003/sig00000155 ; + wire \blk00000003/sig00000154 ; + wire \blk00000003/sig00000153 ; + wire \blk00000003/sig00000152 ; + wire \blk00000003/sig00000151 ; + wire \blk00000003/sig00000150 ; + wire \blk00000003/sig0000014f ; + wire \blk00000003/sig0000014e ; + wire \blk00000003/sig0000014d ; + wire \blk00000003/sig0000014c ; + wire \blk00000003/sig0000014b ; + wire \blk00000003/sig0000014a ; + wire \blk00000003/sig00000149 ; + wire \blk00000003/sig00000148 ; + wire \blk00000003/sig00000147 ; + wire \blk00000003/sig00000146 ; + wire \blk00000003/sig00000145 ; + wire \blk00000003/sig00000144 ; + wire \blk00000003/sig00000143 ; + wire \blk00000003/sig00000142 ; + wire \blk00000003/sig00000141 ; + wire \blk00000003/sig00000140 ; + wire \blk00000003/sig0000013f ; + wire \blk00000003/sig0000013e ; + wire \blk00000003/sig0000013d ; + wire \blk00000003/sig0000013c ; + wire \blk00000003/sig0000013b ; + wire \blk00000003/sig0000013a ; + wire \blk00000003/sig00000139 ; + wire \blk00000003/sig00000138 ; + wire \blk00000003/sig00000137 ; + wire \blk00000003/sig00000136 ; + wire \blk00000003/sig00000135 ; + wire \blk00000003/sig00000134 ; + wire \blk00000003/sig00000133 ; + wire \blk00000003/sig00000132 ; + wire \blk00000003/sig00000131 ; + wire \blk00000003/sig00000130 ; + wire \blk00000003/sig0000012f ; + wire \blk00000003/sig0000012e ; + wire \blk00000003/sig0000012d ; + wire \blk00000003/sig0000012c ; + wire \blk00000003/sig0000012b ; + wire \blk00000003/sig0000012a ; + wire \blk00000003/sig00000129 ; + wire \blk00000003/sig00000128 ; + wire \blk00000003/sig00000127 ; + wire \blk00000003/sig00000126 ; + wire \blk00000003/sig00000125 ; + wire \blk00000003/sig00000124 ; + wire \blk00000003/sig00000123 ; + wire \blk00000003/sig00000122 ; + wire \blk00000003/sig00000121 ; + wire \blk00000003/sig00000120 ; + wire \blk00000003/sig0000011f ; + wire \blk00000003/sig0000011e ; + wire \blk00000003/sig0000011d ; + wire \blk00000003/sig0000011c ; + wire \blk00000003/sig0000011b ; + wire \blk00000003/sig0000011a ; + wire \blk00000003/sig00000119 ; + wire \blk00000003/sig00000118 ; + wire \blk00000003/sig00000117 ; + wire \blk00000003/sig00000116 ; + wire \blk00000003/sig00000115 ; + wire \blk00000003/sig00000114 ; + wire \blk00000003/sig00000113 ; + wire \blk00000003/sig00000112 ; + wire \blk00000003/sig00000111 ; + wire \blk00000003/sig00000110 ; + wire \blk00000003/sig0000010f ; + wire \blk00000003/sig0000010e ; + wire \blk00000003/sig0000010d ; + wire \blk00000003/sig0000010c ; + wire \blk00000003/sig0000010b ; + wire \blk00000003/sig0000010a ; + wire \blk00000003/sig00000109 ; + wire \blk00000003/sig00000108 ; + wire \blk00000003/sig00000107 ; + wire \blk00000003/sig00000106 ; + wire \blk00000003/sig00000105 ; + wire \blk00000003/sig00000104 ; + wire \blk00000003/sig00000103 ; + wire \blk00000003/sig00000102 ; + wire \blk00000003/sig00000101 ; + wire \blk00000003/sig00000100 ; + wire \blk00000003/sig000000ff ; + wire \blk00000003/sig000000fe ; + wire \blk00000003/sig000000fd ; + wire \blk00000003/sig000000fc ; + wire \blk00000003/sig000000fb ; + wire \blk00000003/sig000000fa ; + wire \blk00000003/sig000000f9 ; + wire \blk00000003/sig000000f8 ; + wire \blk00000003/sig000000f7 ; + wire \blk00000003/sig000000f6 ; + wire \blk00000003/sig000000f5 ; + wire \blk00000003/sig000000f4 ; + wire \blk00000003/sig000000f3 ; + wire \blk00000003/sig000000f2 ; + wire \blk00000003/sig000000f1 ; + wire \blk00000003/sig000000f0 ; + wire \blk00000003/sig000000ef ; + wire \blk00000003/sig000000ee ; + wire \blk00000003/sig000000ed ; + wire \blk00000003/sig000000ec ; + wire \blk00000003/sig000000eb ; + wire \blk00000003/sig000000ea ; + wire \blk00000003/sig000000e9 ; + wire \blk00000003/sig000000e8 ; + wire \blk00000003/sig000000e7 ; + wire \blk00000003/sig000000e6 ; + wire \blk00000003/sig000000e5 ; + wire \blk00000003/sig000000e4 ; + wire \blk00000003/sig000000e3 ; + wire \blk00000003/sig000000e2 ; + wire \blk00000003/sig000000e1 ; + wire \blk00000003/sig000000e0 ; + wire \blk00000003/sig000000df ; + wire \blk00000003/sig000000de ; + wire \blk00000003/sig000000dd ; + wire \blk00000003/sig000000dc ; + wire \blk00000003/sig000000db ; + wire \blk00000003/sig000000da ; + wire \blk00000003/sig000000d9 ; + wire \blk00000003/sig000000d8 ; + wire \blk00000003/sig000000d7 ; + wire \blk00000003/sig000000d6 ; + wire \blk00000003/sig000000d5 ; + wire \blk00000003/sig000000d4 ; + wire \blk00000003/sig000000d3 ; + wire \blk00000003/sig000000d2 ; + wire \blk00000003/sig000000d1 ; + wire \blk00000003/sig000000d0 ; + wire \blk00000003/sig000000cf ; + wire \blk00000003/sig000000ce ; + wire \blk00000003/sig000000cd ; + wire \blk00000003/sig000000cc ; + wire \blk00000003/sig000000cb ; + wire \blk00000003/sig000000ca ; + wire \blk00000003/sig000000c9 ; + wire \blk00000003/sig000000c8 ; + wire \blk00000003/sig000000c7 ; + wire \blk00000003/sig000000c6 ; + wire \blk00000003/sig000000c5 ; + wire \blk00000003/sig000000c4 ; + wire \blk00000003/sig000000c3 ; + wire \blk00000003/sig000000c2 ; + wire \blk00000003/sig000000c1 ; + wire \blk00000003/sig000000c0 ; + wire \blk00000003/sig000000bf ; + wire \blk00000003/sig000000be ; + wire \blk00000003/sig000000bd ; + wire \blk00000003/sig000000bc ; + wire \blk00000003/sig000000bb ; + wire \blk00000003/sig000000ba ; + wire \blk00000003/sig000000b9 ; + wire \blk00000003/sig000000b8 ; + wire \blk00000003/sig000000b7 ; + wire \blk00000003/sig000000b6 ; + wire \blk00000003/sig000000b5 ; + wire \blk00000003/sig000000b4 ; + wire \blk00000003/sig000000b3 ; + wire \blk00000003/sig000000b2 ; + wire \blk00000003/sig000000b1 ; + wire \blk00000003/sig000000b0 ; + wire \blk00000003/sig000000af ; + wire \blk00000003/sig000000ae ; + wire \blk00000003/sig000000ad ; + wire \blk00000003/sig000000ac ; + wire \blk00000003/sig0000004a ; + wire \blk00000003/sig00000049 ; + wire \blk00000003/blk00000034/sig000009d5 ; + wire \blk00000003/blk00000034/sig000009d4 ; + wire \blk00000003/blk00000034/sig000009d3 ; + wire \blk00000003/blk00000034/sig000009d2 ; + wire \blk00000003/blk00000034/sig000009d1 ; + wire \blk00000003/blk00000034/sig000009d0 ; + wire \blk00000003/blk00000034/sig000009cf ; + wire \blk00000003/blk00000034/sig000009ce ; + wire \blk00000003/blk00000034/sig000009cd ; + wire \blk00000003/blk00000034/sig000009cc ; + wire \blk00000003/blk00000034/sig000009cb ; + wire \blk00000003/blk00000034/sig000009ca ; + wire \blk00000003/blk00000034/sig000009c9 ; + wire \blk00000003/blk00000034/sig000009c8 ; + wire \blk00000003/blk00000034/sig000009c7 ; + wire \blk00000003/blk00000034/sig000009c6 ; + wire \blk00000003/blk00000034/sig000009c5 ; + wire \blk00000003/blk00000034/sig000009c4 ; + wire \blk00000003/blk00000034/sig000009c3 ; + wire \blk00000003/blk00000034/sig000009c2 ; + wire \blk00000003/blk00000034/sig000009c1 ; + wire \blk00000003/blk00000034/sig000009c0 ; + wire \blk00000003/blk00000034/sig000009bf ; + wire \blk00000003/blk00000034/sig000009be ; + wire \blk00000003/blk00000034/sig000009bd ; + wire \blk00000003/blk00000034/sig000009bc ; + wire \blk00000003/blk00000034/sig000009bb ; + wire \blk00000003/blk00000034/sig000009ba ; + wire \blk00000003/blk00000034/sig000009b9 ; + wire \blk00000003/blk00000034/sig000009b8 ; + wire \blk00000003/blk00000034/sig000009b7 ; + wire \blk00000003/blk00000034/sig000009b6 ; + wire \blk00000003/blk00000034/sig000009b5 ; + wire \blk00000003/blk00000034/sig000009b4 ; + wire \blk00000003/blk00000034/sig000009b3 ; + wire \blk00000003/blk00000034/sig000009b2 ; + wire \blk00000003/blk00000034/sig000009b1 ; + wire \blk00000003/blk00000034/sig000009b0 ; + wire \blk00000003/blk00000034/sig000009af ; + wire \blk00000003/blk00000034/sig000009ae ; + wire \blk00000003/blk00000034/sig000009ad ; + wire \blk00000003/blk00000034/sig000009ac ; + wire \blk00000003/blk00000034/sig000009ab ; + wire \blk00000003/blk00000034/sig000009aa ; + wire \blk00000003/blk00000034/sig000009a9 ; + wire \blk00000003/blk00000034/sig000009a8 ; + wire \blk00000003/blk00000034/sig000009a7 ; + wire \blk00000003/blk00000034/sig000009a6 ; + wire \blk00000003/blk00000034/sig000009a5 ; + wire \blk00000003/blk00000034/sig000009a4 ; + wire \blk00000003/blk00000130/sig00000a0c ; + wire \blk00000003/blk00000130/sig00000a0b ; + wire \blk00000003/blk00000130/sig00000a0a ; + wire \blk00000003/blk00000130/sig00000a09 ; + wire \blk00000003/blk00000130/sig00000a08 ; + wire \blk00000003/blk00000130/sig00000a07 ; + wire \blk00000003/blk00000130/sig00000a06 ; + wire \blk00000003/blk00000130/sig00000a05 ; + wire \blk00000003/blk00000130/sig00000a04 ; + wire \blk00000003/blk00000130/sig00000a03 ; + wire \blk00000003/blk00000130/sig00000a02 ; + wire \blk00000003/blk00000130/sig00000a01 ; + wire \blk00000003/blk00000130/sig00000a00 ; + wire \blk00000003/blk00000130/sig000009ff ; + wire \blk00000003/blk00000130/sig000009fe ; + wire \blk00000003/blk00000130/sig000009fd ; + wire \blk00000003/blk00000130/sig000009fc ; + wire \blk00000003/blk00000130/sig000009fb ; + wire \blk00000003/blk00000153/sig00000a43 ; + wire \blk00000003/blk00000153/sig00000a42 ; + wire \blk00000003/blk00000153/sig00000a41 ; + wire \blk00000003/blk00000153/sig00000a40 ; + wire \blk00000003/blk00000153/sig00000a3f ; + wire \blk00000003/blk00000153/sig00000a3e ; + wire \blk00000003/blk00000153/sig00000a3d ; + wire \blk00000003/blk00000153/sig00000a3c ; + wire \blk00000003/blk00000153/sig00000a3b ; + wire \blk00000003/blk00000153/sig00000a3a ; + wire \blk00000003/blk00000153/sig00000a39 ; + wire \blk00000003/blk00000153/sig00000a38 ; + wire \blk00000003/blk00000153/sig00000a37 ; + wire \blk00000003/blk00000153/sig00000a36 ; + wire \blk00000003/blk00000153/sig00000a35 ; + wire \blk00000003/blk00000153/sig00000a34 ; + wire \blk00000003/blk00000153/sig00000a33 ; + wire \blk00000003/blk00000153/sig00000a32 ; + wire \blk00000003/blk00000176/sig00000a7a ; + wire \blk00000003/blk00000176/sig00000a79 ; + wire \blk00000003/blk00000176/sig00000a78 ; + wire \blk00000003/blk00000176/sig00000a77 ; + wire \blk00000003/blk00000176/sig00000a76 ; + wire \blk00000003/blk00000176/sig00000a75 ; + wire \blk00000003/blk00000176/sig00000a74 ; + wire \blk00000003/blk00000176/sig00000a73 ; + wire \blk00000003/blk00000176/sig00000a72 ; + wire \blk00000003/blk00000176/sig00000a71 ; + wire \blk00000003/blk00000176/sig00000a70 ; + wire \blk00000003/blk00000176/sig00000a6f ; + wire \blk00000003/blk00000176/sig00000a6e ; + wire \blk00000003/blk00000176/sig00000a6d ; + wire \blk00000003/blk00000176/sig00000a6c ; + wire \blk00000003/blk00000176/sig00000a6b ; + wire \blk00000003/blk00000176/sig00000a6a ; + wire \blk00000003/blk00000176/sig00000a69 ; + wire \blk00000003/blk00000199/sig00000ab1 ; + wire \blk00000003/blk00000199/sig00000ab0 ; + wire \blk00000003/blk00000199/sig00000aaf ; + wire \blk00000003/blk00000199/sig00000aae ; + wire \blk00000003/blk00000199/sig00000aad ; + wire \blk00000003/blk00000199/sig00000aac ; + wire \blk00000003/blk00000199/sig00000aab ; + wire \blk00000003/blk00000199/sig00000aaa ; + wire \blk00000003/blk00000199/sig00000aa9 ; + wire \blk00000003/blk00000199/sig00000aa8 ; + wire \blk00000003/blk00000199/sig00000aa7 ; + wire \blk00000003/blk00000199/sig00000aa6 ; + wire \blk00000003/blk00000199/sig00000aa5 ; + wire \blk00000003/blk00000199/sig00000aa4 ; + wire \blk00000003/blk00000199/sig00000aa3 ; + wire \blk00000003/blk00000199/sig00000aa2 ; + wire \blk00000003/blk00000199/sig00000aa1 ; + wire \blk00000003/blk00000199/sig00000aa0 ; + wire \blk00000003/blk000001bc/sig00000ae8 ; + wire \blk00000003/blk000001bc/sig00000ae7 ; + wire \blk00000003/blk000001bc/sig00000ae6 ; + wire \blk00000003/blk000001bc/sig00000ae5 ; + wire \blk00000003/blk000001bc/sig00000ae4 ; + wire \blk00000003/blk000001bc/sig00000ae3 ; + wire \blk00000003/blk000001bc/sig00000ae2 ; + wire \blk00000003/blk000001bc/sig00000ae1 ; + wire \blk00000003/blk000001bc/sig00000ae0 ; + wire \blk00000003/blk000001bc/sig00000adf ; + wire \blk00000003/blk000001bc/sig00000ade ; + wire \blk00000003/blk000001bc/sig00000add ; + wire \blk00000003/blk000001bc/sig00000adc ; + wire \blk00000003/blk000001bc/sig00000adb ; + wire \blk00000003/blk000001bc/sig00000ada ; + wire \blk00000003/blk000001bc/sig00000ad9 ; + wire \blk00000003/blk000001bc/sig00000ad8 ; + wire \blk00000003/blk000001bc/sig00000ad7 ; + wire \blk00000003/blk000001df/sig00000b1f ; + wire \blk00000003/blk000001df/sig00000b1e ; + wire \blk00000003/blk000001df/sig00000b1d ; + wire \blk00000003/blk000001df/sig00000b1c ; + wire \blk00000003/blk000001df/sig00000b1b ; + wire \blk00000003/blk000001df/sig00000b1a ; + wire \blk00000003/blk000001df/sig00000b19 ; + wire \blk00000003/blk000001df/sig00000b18 ; + wire \blk00000003/blk000001df/sig00000b17 ; + wire \blk00000003/blk000001df/sig00000b16 ; + wire \blk00000003/blk000001df/sig00000b15 ; + wire \blk00000003/blk000001df/sig00000b14 ; + wire \blk00000003/blk000001df/sig00000b13 ; + wire \blk00000003/blk000001df/sig00000b12 ; + wire \blk00000003/blk000001df/sig00000b11 ; + wire \blk00000003/blk000001df/sig00000b10 ; + wire \blk00000003/blk000001df/sig00000b0f ; + wire \blk00000003/blk000001df/sig00000b0e ; + wire \blk00000003/blk00000202/sig00000b56 ; + wire \blk00000003/blk00000202/sig00000b55 ; + wire \blk00000003/blk00000202/sig00000b54 ; + wire \blk00000003/blk00000202/sig00000b53 ; + wire \blk00000003/blk00000202/sig00000b52 ; + wire \blk00000003/blk00000202/sig00000b51 ; + wire \blk00000003/blk00000202/sig00000b50 ; + wire \blk00000003/blk00000202/sig00000b4f ; + wire \blk00000003/blk00000202/sig00000b4e ; + wire \blk00000003/blk00000202/sig00000b4d ; + wire \blk00000003/blk00000202/sig00000b4c ; + wire \blk00000003/blk00000202/sig00000b4b ; + wire \blk00000003/blk00000202/sig00000b4a ; + wire \blk00000003/blk00000202/sig00000b49 ; + wire \blk00000003/blk00000202/sig00000b48 ; + wire \blk00000003/blk00000202/sig00000b47 ; + wire \blk00000003/blk00000202/sig00000b46 ; + wire \blk00000003/blk00000202/sig00000b45 ; + wire \blk00000003/blk00000225/sig00000b8d ; + wire \blk00000003/blk00000225/sig00000b8c ; + wire \blk00000003/blk00000225/sig00000b8b ; + wire \blk00000003/blk00000225/sig00000b8a ; + wire \blk00000003/blk00000225/sig00000b89 ; + wire \blk00000003/blk00000225/sig00000b88 ; + wire \blk00000003/blk00000225/sig00000b87 ; + wire \blk00000003/blk00000225/sig00000b86 ; + wire \blk00000003/blk00000225/sig00000b85 ; + wire \blk00000003/blk00000225/sig00000b84 ; + wire \blk00000003/blk00000225/sig00000b83 ; + wire \blk00000003/blk00000225/sig00000b82 ; + wire \blk00000003/blk00000225/sig00000b81 ; + wire \blk00000003/blk00000225/sig00000b80 ; + wire \blk00000003/blk00000225/sig00000b7f ; + wire \blk00000003/blk00000225/sig00000b7e ; + wire \blk00000003/blk00000225/sig00000b7d ; + wire \blk00000003/blk00000225/sig00000b7c ; + wire \blk00000003/blk00000248/sig00000bc4 ; + wire \blk00000003/blk00000248/sig00000bc3 ; + wire \blk00000003/blk00000248/sig00000bc2 ; + wire \blk00000003/blk00000248/sig00000bc1 ; + wire \blk00000003/blk00000248/sig00000bc0 ; + wire \blk00000003/blk00000248/sig00000bbf ; + wire \blk00000003/blk00000248/sig00000bbe ; + wire \blk00000003/blk00000248/sig00000bbd ; + wire \blk00000003/blk00000248/sig00000bbc ; + wire \blk00000003/blk00000248/sig00000bbb ; + wire \blk00000003/blk00000248/sig00000bba ; + wire \blk00000003/blk00000248/sig00000bb9 ; + wire \blk00000003/blk00000248/sig00000bb8 ; + wire \blk00000003/blk00000248/sig00000bb7 ; + wire \blk00000003/blk00000248/sig00000bb6 ; + wire \blk00000003/blk00000248/sig00000bb5 ; + wire \blk00000003/blk00000248/sig00000bb4 ; + wire \blk00000003/blk00000248/sig00000bb3 ; + wire \blk00000003/blk0000026b/sig00000bfb ; + wire \blk00000003/blk0000026b/sig00000bfa ; + wire \blk00000003/blk0000026b/sig00000bf9 ; + wire \blk00000003/blk0000026b/sig00000bf8 ; + wire \blk00000003/blk0000026b/sig00000bf7 ; + wire \blk00000003/blk0000026b/sig00000bf6 ; + wire \blk00000003/blk0000026b/sig00000bf5 ; + wire \blk00000003/blk0000026b/sig00000bf4 ; + wire \blk00000003/blk0000026b/sig00000bf3 ; + wire \blk00000003/blk0000026b/sig00000bf2 ; + wire \blk00000003/blk0000026b/sig00000bf1 ; + wire \blk00000003/blk0000026b/sig00000bf0 ; + wire \blk00000003/blk0000026b/sig00000bef ; + wire \blk00000003/blk0000026b/sig00000bee ; + wire \blk00000003/blk0000026b/sig00000bed ; + wire \blk00000003/blk0000026b/sig00000bec ; + wire \blk00000003/blk0000026b/sig00000beb ; + wire \blk00000003/blk0000026b/sig00000bea ; + wire \blk00000003/blk0000028e/sig00000c32 ; + wire \blk00000003/blk0000028e/sig00000c31 ; + wire \blk00000003/blk0000028e/sig00000c30 ; + wire \blk00000003/blk0000028e/sig00000c2f ; + wire \blk00000003/blk0000028e/sig00000c2e ; + wire \blk00000003/blk0000028e/sig00000c2d ; + wire \blk00000003/blk0000028e/sig00000c2c ; + wire \blk00000003/blk0000028e/sig00000c2b ; + wire \blk00000003/blk0000028e/sig00000c2a ; + wire \blk00000003/blk0000028e/sig00000c29 ; + wire \blk00000003/blk0000028e/sig00000c28 ; + wire \blk00000003/blk0000028e/sig00000c27 ; + wire \blk00000003/blk0000028e/sig00000c26 ; + wire \blk00000003/blk0000028e/sig00000c25 ; + wire \blk00000003/blk0000028e/sig00000c24 ; + wire \blk00000003/blk0000028e/sig00000c23 ; + wire \blk00000003/blk0000028e/sig00000c22 ; + wire \blk00000003/blk0000028e/sig00000c21 ; + wire \blk00000003/blk000002b1/sig00000c69 ; + wire \blk00000003/blk000002b1/sig00000c68 ; + wire \blk00000003/blk000002b1/sig00000c67 ; + wire \blk00000003/blk000002b1/sig00000c66 ; + wire \blk00000003/blk000002b1/sig00000c65 ; + wire \blk00000003/blk000002b1/sig00000c64 ; + wire \blk00000003/blk000002b1/sig00000c63 ; + wire \blk00000003/blk000002b1/sig00000c62 ; + wire \blk00000003/blk000002b1/sig00000c61 ; + wire \blk00000003/blk000002b1/sig00000c60 ; + wire \blk00000003/blk000002b1/sig00000c5f ; + wire \blk00000003/blk000002b1/sig00000c5e ; + wire \blk00000003/blk000002b1/sig00000c5d ; + wire \blk00000003/blk000002b1/sig00000c5c ; + wire \blk00000003/blk000002b1/sig00000c5b ; + wire \blk00000003/blk000002b1/sig00000c5a ; + wire \blk00000003/blk000002b1/sig00000c59 ; + wire \blk00000003/blk000002b1/sig00000c58 ; + wire \blk00000003/blk000002d4/sig00000cce ; + wire \blk00000003/blk000002d4/sig00000ccd ; + wire \blk00000003/blk000002d4/sig00000ccc ; + wire \blk00000003/blk000002d4/sig00000ccb ; + wire \blk00000003/blk000002d4/sig00000cca ; + wire \blk00000003/blk000002d4/sig00000cc9 ; + wire \blk00000003/blk000002d4/sig00000cc8 ; + wire \blk00000003/blk000002d4/sig00000cc7 ; + wire \blk00000003/blk000002d4/sig00000cc6 ; + wire \blk00000003/blk000002d4/sig00000cc5 ; + wire \blk00000003/blk000002d4/sig00000cc4 ; + wire \blk00000003/blk000002d4/sig00000cc3 ; + wire \blk00000003/blk000002d4/sig00000cc2 ; + wire \blk00000003/blk000002d4/sig00000cc1 ; + wire \blk00000003/blk000002d4/sig00000cc0 ; + wire \blk00000003/blk000002d4/sig00000cbf ; + wire \blk00000003/blk000002d4/sig00000cbe ; + wire \blk00000003/blk000002d4/sig00000cbd ; + wire \blk00000003/blk000002d4/sig00000cbc ; + wire \blk00000003/blk000002d4/sig00000cbb ; + wire \blk00000003/blk000002d4/sig00000cba ; + wire \blk00000003/blk000002d4/sig00000cb9 ; + wire \blk00000003/blk000002d4/sig00000cb8 ; + wire \blk00000003/blk000002d4/sig00000cb7 ; + wire \blk00000003/blk000002d4/sig00000cb6 ; + wire \blk00000003/blk000002d4/sig00000cb5 ; + wire \blk00000003/blk000002d4/sig00000cb4 ; + wire \blk00000003/blk000002d4/sig00000cb3 ; + wire \blk00000003/blk000002d4/sig00000cb2 ; + wire \blk00000003/blk000002d4/sig00000cb1 ; + wire \blk00000003/blk000002d4/sig00000cb0 ; + wire \blk00000003/blk000002d4/sig00000caf ; + wire \blk00000003/blk000002d4/sig00000cae ; + wire \blk00000003/blk000002d4/sig00000cad ; + wire \blk00000003/blk000002d4/sig00000cac ; + wire \blk00000003/blk000002d4/sig00000cab ; + wire \blk00000003/blk000002d4/sig00000caa ; + wire \blk00000003/blk000002d4/sig00000ca9 ; + wire \blk00000003/blk0000030d/sig00000d33 ; + wire \blk00000003/blk0000030d/sig00000d32 ; + wire \blk00000003/blk0000030d/sig00000d31 ; + wire \blk00000003/blk0000030d/sig00000d30 ; + wire \blk00000003/blk0000030d/sig00000d2f ; + wire \blk00000003/blk0000030d/sig00000d2e ; + wire \blk00000003/blk0000030d/sig00000d2d ; + wire \blk00000003/blk0000030d/sig00000d2c ; + wire \blk00000003/blk0000030d/sig00000d2b ; + wire \blk00000003/blk0000030d/sig00000d2a ; + wire \blk00000003/blk0000030d/sig00000d29 ; + wire \blk00000003/blk0000030d/sig00000d28 ; + wire \blk00000003/blk0000030d/sig00000d27 ; + wire \blk00000003/blk0000030d/sig00000d26 ; + wire \blk00000003/blk0000030d/sig00000d25 ; + wire \blk00000003/blk0000030d/sig00000d24 ; + wire \blk00000003/blk0000030d/sig00000d23 ; + wire \blk00000003/blk0000030d/sig00000d22 ; + wire \blk00000003/blk0000030d/sig00000d21 ; + wire \blk00000003/blk0000030d/sig00000d20 ; + wire \blk00000003/blk0000030d/sig00000d1f ; + wire \blk00000003/blk0000030d/sig00000d1e ; + wire \blk00000003/blk0000030d/sig00000d1d ; + wire \blk00000003/blk0000030d/sig00000d1c ; + wire \blk00000003/blk0000030d/sig00000d1b ; + wire \blk00000003/blk0000030d/sig00000d1a ; + wire \blk00000003/blk0000030d/sig00000d19 ; + wire \blk00000003/blk0000030d/sig00000d18 ; + wire \blk00000003/blk0000030d/sig00000d17 ; + wire \blk00000003/blk0000030d/sig00000d16 ; + wire \blk00000003/blk0000030d/sig00000d15 ; + wire \blk00000003/blk0000030d/sig00000d14 ; + wire \blk00000003/blk0000030d/sig00000d13 ; + wire \blk00000003/blk0000030d/sig00000d12 ; + wire \blk00000003/blk0000030d/sig00000d11 ; + wire \blk00000003/blk0000030d/sig00000d10 ; + wire \blk00000003/blk0000030d/sig00000d0f ; + wire \blk00000003/blk0000030d/sig00000d0e ; + wire \blk00000003/blk00000386/sig00000d74 ; + wire \blk00000003/blk00000386/sig00000d73 ; + wire \blk00000003/blk00000386/sig00000d72 ; + wire \blk00000003/blk00000386/sig00000d71 ; + wire \blk00000003/blk00000386/sig00000d70 ; + wire \blk00000003/blk00000386/sig00000d6f ; + wire \blk00000003/blk00000386/sig00000d6e ; + wire \blk00000003/blk00000386/sig00000d6d ; + wire \blk00000003/blk00000386/sig00000d6c ; + wire \blk00000003/blk00000386/sig00000d6b ; + wire \blk00000003/blk00000386/sig00000d6a ; + wire \blk00000003/blk00000386/sig00000d69 ; + wire \blk00000003/blk00000386/sig00000d68 ; + wire \blk00000003/blk00000386/sig00000d67 ; + wire \blk00000003/blk00000386/sig00000d66 ; + wire \blk00000003/blk00000386/sig00000d65 ; + wire \blk00000003/blk00000386/sig00000d64 ; + wire \blk00000003/blk00000386/sig00000d63 ; + wire \blk00000003/blk00000386/sig00000d62 ; + wire \blk00000003/blk00000386/sig00000d61 ; + wire \blk00000003/blk000003ad/sig00000d93 ; + wire \blk00000003/blk000003ad/sig00000d92 ; + wire \blk00000003/blk000003ad/sig00000d91 ; + wire \blk00000003/blk000003ad/sig00000d90 ; + wire \blk00000003/blk000003ad/sig00000d8f ; + wire \blk00000003/blk000003ad/sig00000d8e ; + wire \blk00000003/blk000003ad/sig00000d8d ; + wire \blk00000003/blk000003ad/sig00000d8c ; + wire \blk00000003/blk000003ad/sig00000d8b ; + wire \blk00000003/blk000003ad/sig00000d8a ; + wire \blk00000003/blk000003c0/sig00000db2 ; + wire \blk00000003/blk000003c0/sig00000db1 ; + wire \blk00000003/blk000003c0/sig00000db0 ; + wire \blk00000003/blk000003c0/sig00000daf ; + wire \blk00000003/blk000003c0/sig00000dae ; + wire \blk00000003/blk000003c0/sig00000dad ; + wire \blk00000003/blk000003c0/sig00000dac ; + wire \blk00000003/blk000003c0/sig00000dab ; + wire \blk00000003/blk000003c0/sig00000daa ; + wire \blk00000003/blk000003c0/sig00000da9 ; + wire \blk00000003/blk000003d3/sig00000dd1 ; + wire \blk00000003/blk000003d3/sig00000dd0 ; + wire \blk00000003/blk000003d3/sig00000dcf ; + wire \blk00000003/blk000003d3/sig00000dce ; + wire \blk00000003/blk000003d3/sig00000dcd ; + wire \blk00000003/blk000003d3/sig00000dcc ; + wire \blk00000003/blk000003d3/sig00000dcb ; + wire \blk00000003/blk000003d3/sig00000dca ; + wire \blk00000003/blk000003d3/sig00000dc9 ; + wire \blk00000003/blk000003d3/sig00000dc8 ; + wire \blk00000003/blk000003e6/sig00000df0 ; + wire \blk00000003/blk000003e6/sig00000def ; + wire \blk00000003/blk000003e6/sig00000dee ; + wire \blk00000003/blk000003e6/sig00000ded ; + wire \blk00000003/blk000003e6/sig00000dec ; + wire \blk00000003/blk000003e6/sig00000deb ; + wire \blk00000003/blk000003e6/sig00000dea ; + wire \blk00000003/blk000003e6/sig00000de9 ; + wire \blk00000003/blk000003e6/sig00000de8 ; + wire \blk00000003/blk000003e6/sig00000de7 ; + wire \blk00000003/blk000003f9/sig00000e0f ; + wire \blk00000003/blk000003f9/sig00000e0e ; + wire \blk00000003/blk000003f9/sig00000e0d ; + wire \blk00000003/blk000003f9/sig00000e0c ; + wire \blk00000003/blk000003f9/sig00000e0b ; + wire \blk00000003/blk000003f9/sig00000e0a ; + wire \blk00000003/blk000003f9/sig00000e09 ; + wire \blk00000003/blk000003f9/sig00000e08 ; + wire \blk00000003/blk000003f9/sig00000e07 ; + wire \blk00000003/blk000003f9/sig00000e06 ; + wire \blk00000003/blk0000040c/sig00000e2e ; + wire \blk00000003/blk0000040c/sig00000e2d ; + wire \blk00000003/blk0000040c/sig00000e2c ; + wire \blk00000003/blk0000040c/sig00000e2b ; + wire \blk00000003/blk0000040c/sig00000e2a ; + wire \blk00000003/blk0000040c/sig00000e29 ; + wire \blk00000003/blk0000040c/sig00000e28 ; + wire \blk00000003/blk0000040c/sig00000e27 ; + wire \blk00000003/blk0000040c/sig00000e26 ; + wire \blk00000003/blk0000040c/sig00000e25 ; + wire \blk00000003/blk0000041f/sig00000e4d ; + wire \blk00000003/blk0000041f/sig00000e4c ; + wire \blk00000003/blk0000041f/sig00000e4b ; + wire \blk00000003/blk0000041f/sig00000e4a ; + wire \blk00000003/blk0000041f/sig00000e49 ; + wire \blk00000003/blk0000041f/sig00000e48 ; + wire \blk00000003/blk0000041f/sig00000e47 ; + wire \blk00000003/blk0000041f/sig00000e46 ; + wire \blk00000003/blk0000041f/sig00000e45 ; + wire \blk00000003/blk0000041f/sig00000e44 ; + wire \blk00000003/blk00000432/sig00000e6c ; + wire \blk00000003/blk00000432/sig00000e6b ; + wire \blk00000003/blk00000432/sig00000e6a ; + wire \blk00000003/blk00000432/sig00000e69 ; + wire \blk00000003/blk00000432/sig00000e68 ; + wire \blk00000003/blk00000432/sig00000e67 ; + wire \blk00000003/blk00000432/sig00000e66 ; + wire \blk00000003/blk00000432/sig00000e65 ; + wire \blk00000003/blk00000432/sig00000e64 ; + wire \blk00000003/blk00000432/sig00000e63 ; + wire \blk00000003/blk00000445/sig00000e8b ; + wire \blk00000003/blk00000445/sig00000e8a ; + wire \blk00000003/blk00000445/sig00000e89 ; + wire \blk00000003/blk00000445/sig00000e88 ; + wire \blk00000003/blk00000445/sig00000e87 ; + wire \blk00000003/blk00000445/sig00000e86 ; + wire \blk00000003/blk00000445/sig00000e85 ; + wire \blk00000003/blk00000445/sig00000e84 ; + wire \blk00000003/blk00000445/sig00000e83 ; + wire \blk00000003/blk00000445/sig00000e82 ; + wire \blk00000003/blk00000458/sig00000eaa ; + wire \blk00000003/blk00000458/sig00000ea9 ; + wire \blk00000003/blk00000458/sig00000ea8 ; + wire \blk00000003/blk00000458/sig00000ea7 ; + wire \blk00000003/blk00000458/sig00000ea6 ; + wire \blk00000003/blk00000458/sig00000ea5 ; + wire \blk00000003/blk00000458/sig00000ea4 ; + wire \blk00000003/blk00000458/sig00000ea3 ; + wire \blk00000003/blk00000458/sig00000ea2 ; + wire \blk00000003/blk00000458/sig00000ea1 ; + wire \blk00000003/blk0000046b/sig00000ec9 ; + wire \blk00000003/blk0000046b/sig00000ec8 ; + wire \blk00000003/blk0000046b/sig00000ec7 ; + wire \blk00000003/blk0000046b/sig00000ec6 ; + wire \blk00000003/blk0000046b/sig00000ec5 ; + wire \blk00000003/blk0000046b/sig00000ec4 ; + wire \blk00000003/blk0000046b/sig00000ec3 ; + wire \blk00000003/blk0000046b/sig00000ec2 ; + wire \blk00000003/blk0000046b/sig00000ec1 ; + wire \blk00000003/blk0000046b/sig00000ec0 ; + wire \blk00000003/blk0000047e/sig00000ee8 ; + wire \blk00000003/blk0000047e/sig00000ee7 ; + wire \blk00000003/blk0000047e/sig00000ee6 ; + wire \blk00000003/blk0000047e/sig00000ee5 ; + wire \blk00000003/blk0000047e/sig00000ee4 ; + wire \blk00000003/blk0000047e/sig00000ee3 ; + wire \blk00000003/blk0000047e/sig00000ee2 ; + wire \blk00000003/blk0000047e/sig00000ee1 ; + wire \blk00000003/blk0000047e/sig00000ee0 ; + wire \blk00000003/blk0000047e/sig00000edf ; + wire \blk00000003/blk000004e1/sig00000f25 ; + wire \blk00000003/blk000004e1/sig00000f24 ; + wire \blk00000003/blk000004e1/sig00000f23 ; + wire \blk00000003/blk000004e1/sig00000f22 ; + wire \blk00000003/blk000004e1/sig00000f21 ; + wire \blk00000003/blk000004e1/sig00000f20 ; + wire \blk00000003/blk000004e1/sig00000f1f ; + wire \blk00000003/blk000004e1/sig00000f1e ; + wire \blk00000003/blk000004e1/sig00000f1d ; + wire \blk00000003/blk000004e1/sig00000f1c ; + wire \blk00000003/blk000004e1/sig00000f1b ; + wire \blk00000003/blk000004e1/sig00000f1a ; + wire \blk00000003/blk000004e1/sig00000f19 ; + wire \blk00000003/blk000004e1/sig00000f18 ; + wire \blk00000003/blk000004e1/sig00000f17 ; + wire \blk00000003/blk000004e1/sig00000f16 ; + wire \blk00000003/blk000004e1/sig00000f15 ; + wire \blk00000003/blk000004e1/sig00000f14 ; + wire \blk00000003/blk000004e1/sig00000f13 ; + wire \blk00000003/blk000004e1/sig00000f12 ; + wire NLW_blk00000001_P_UNCONNECTED; + wire NLW_blk00000002_G_UNCONNECTED; + wire \NLW_blk00000003/blk000007ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000007a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000079b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000799_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000797_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000795_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000793_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000791_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000078b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000789_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000787_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000785_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000783_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000781_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000077b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000779_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000777_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000775_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000773_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000771_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000076b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000769_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000767_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000765_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000763_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000761_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000075b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000759_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000757_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000755_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000753_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000751_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000074b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000749_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000747_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000745_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000743_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000741_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000073b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000739_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000737_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000735_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000733_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000731_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000072b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000729_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000727_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000725_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000723_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000721_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000071b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000719_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000717_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000715_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000713_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000711_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000070b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000709_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000707_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000705_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000703_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000701_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ef_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ed_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006eb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006c1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000006a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000069b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000699_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000697_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000695_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000693_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000691_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000068b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000689_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000687_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000685_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000683_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000681_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000067b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000679_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000677_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000675_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000673_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000671_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000066b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000669_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000667_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000665_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000576_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000050d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000115_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000114_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000113_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000112_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000111_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000110_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000105_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000101_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000fd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000f3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ed_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e6_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e5_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000e1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000db_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d9_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b2_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000b1_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a8_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a7_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a4_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a3_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a1_O_UNCONNECTED ; + wire \NLW_blk00000003/blk000000a0_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009f_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009d_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009c_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000009a_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000099_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000024_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk00000020_Q_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001b_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000001a_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000019_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000017_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000016_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000015_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000014_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000013_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000e_O_UNCONNECTED ; + wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_O_UNCONNECTED ; + wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000007_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<35>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<33>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<30>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<27>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<24>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<21>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<18>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<15>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<12>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<9>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<6>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<3>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000006_M<0>_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ; + wire \NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ; + wire [17 : 0] coef_din_0; + wire [23 : 0] din_1_1; + wire [23 : 0] din_2_2; + wire [46 : 0] NlwRenamedSig_OI_dout_1; + wire [46 : 0] NlwRenamedSig_OI_dout_2; + assign + rfd = NlwRenamedSig_OI_rfd, + dout_1[46] = NlwRenamedSig_OI_dout_1[46], + dout_1[45] = NlwRenamedSig_OI_dout_1[45], + dout_1[44] = NlwRenamedSig_OI_dout_1[44], + dout_1[43] = NlwRenamedSig_OI_dout_1[43], + dout_1[42] = NlwRenamedSig_OI_dout_1[42], + dout_1[41] = NlwRenamedSig_OI_dout_1[41], + dout_1[40] = NlwRenamedSig_OI_dout_1[40], + dout_1[39] = NlwRenamedSig_OI_dout_1[39], + dout_1[38] = NlwRenamedSig_OI_dout_1[38], + dout_1[37] = NlwRenamedSig_OI_dout_1[37], + dout_1[36] = NlwRenamedSig_OI_dout_1[36], + dout_1[35] = NlwRenamedSig_OI_dout_1[35], + dout_1[34] = NlwRenamedSig_OI_dout_1[34], + dout_1[33] = NlwRenamedSig_OI_dout_1[33], + dout_1[32] = NlwRenamedSig_OI_dout_1[32], + dout_1[31] = NlwRenamedSig_OI_dout_1[31], + dout_1[30] = NlwRenamedSig_OI_dout_1[30], + dout_1[29] = NlwRenamedSig_OI_dout_1[29], + dout_1[28] = NlwRenamedSig_OI_dout_1[28], + dout_1[27] = NlwRenamedSig_OI_dout_1[27], + dout_1[26] = NlwRenamedSig_OI_dout_1[26], + dout_1[25] = NlwRenamedSig_OI_dout_1[25], + dout_1[24] = NlwRenamedSig_OI_dout_1[24], + dout_1[23] = NlwRenamedSig_OI_dout_1[23], + dout_1[22] = NlwRenamedSig_OI_dout_1[22], + dout_1[21] = NlwRenamedSig_OI_dout_1[21], + dout_1[20] = NlwRenamedSig_OI_dout_1[20], + dout_1[19] = NlwRenamedSig_OI_dout_1[19], + dout_1[18] = NlwRenamedSig_OI_dout_1[18], + dout_1[17] = NlwRenamedSig_OI_dout_1[17], + dout_1[16] = NlwRenamedSig_OI_dout_1[16], + dout_1[15] = NlwRenamedSig_OI_dout_1[15], + dout_1[14] = NlwRenamedSig_OI_dout_1[14], + dout_1[13] = NlwRenamedSig_OI_dout_1[13], + dout_1[12] = NlwRenamedSig_OI_dout_1[12], + dout_1[11] = NlwRenamedSig_OI_dout_1[11], + dout_1[10] = NlwRenamedSig_OI_dout_1[10], + dout_1[9] = NlwRenamedSig_OI_dout_1[9], + dout_1[8] = NlwRenamedSig_OI_dout_1[8], + dout_1[7] = NlwRenamedSig_OI_dout_1[7], + dout_1[6] = NlwRenamedSig_OI_dout_1[6], + dout_1[5] = NlwRenamedSig_OI_dout_1[5], + dout_1[4] = NlwRenamedSig_OI_dout_1[4], + dout_1[3] = NlwRenamedSig_OI_dout_1[3], + dout_1[2] = NlwRenamedSig_OI_dout_1[2], + dout_1[1] = NlwRenamedSig_OI_dout_1[1], + dout_1[0] = NlwRenamedSig_OI_dout_1[0], + dout_2[46] = NlwRenamedSig_OI_dout_2[46], + dout_2[45] = NlwRenamedSig_OI_dout_2[45], + dout_2[44] = NlwRenamedSig_OI_dout_2[44], + dout_2[43] = NlwRenamedSig_OI_dout_2[43], + dout_2[42] = NlwRenamedSig_OI_dout_2[42], + dout_2[41] = NlwRenamedSig_OI_dout_2[41], + dout_2[40] = NlwRenamedSig_OI_dout_2[40], + dout_2[39] = NlwRenamedSig_OI_dout_2[39], + dout_2[38] = NlwRenamedSig_OI_dout_2[38], + dout_2[37] = NlwRenamedSig_OI_dout_2[37], + dout_2[36] = NlwRenamedSig_OI_dout_2[36], + dout_2[35] = NlwRenamedSig_OI_dout_2[35], + dout_2[34] = NlwRenamedSig_OI_dout_2[34], + dout_2[33] = NlwRenamedSig_OI_dout_2[33], + dout_2[32] = NlwRenamedSig_OI_dout_2[32], + dout_2[31] = NlwRenamedSig_OI_dout_2[31], + dout_2[30] = NlwRenamedSig_OI_dout_2[30], + dout_2[29] = NlwRenamedSig_OI_dout_2[29], + dout_2[28] = NlwRenamedSig_OI_dout_2[28], + dout_2[27] = NlwRenamedSig_OI_dout_2[27], + dout_2[26] = NlwRenamedSig_OI_dout_2[26], + dout_2[25] = NlwRenamedSig_OI_dout_2[25], + dout_2[24] = NlwRenamedSig_OI_dout_2[24], + dout_2[23] = NlwRenamedSig_OI_dout_2[23], + dout_2[22] = NlwRenamedSig_OI_dout_2[22], + dout_2[21] = NlwRenamedSig_OI_dout_2[21], + dout_2[20] = NlwRenamedSig_OI_dout_2[20], + dout_2[19] = NlwRenamedSig_OI_dout_2[19], + dout_2[18] = NlwRenamedSig_OI_dout_2[18], + dout_2[17] = NlwRenamedSig_OI_dout_2[17], + dout_2[16] = NlwRenamedSig_OI_dout_2[16], + dout_2[15] = NlwRenamedSig_OI_dout_2[15], + dout_2[14] = NlwRenamedSig_OI_dout_2[14], + dout_2[13] = NlwRenamedSig_OI_dout_2[13], + dout_2[12] = NlwRenamedSig_OI_dout_2[12], + dout_2[11] = NlwRenamedSig_OI_dout_2[11], + dout_2[10] = NlwRenamedSig_OI_dout_2[10], + dout_2[9] = NlwRenamedSig_OI_dout_2[9], + dout_2[8] = NlwRenamedSig_OI_dout_2[8], + dout_2[7] = NlwRenamedSig_OI_dout_2[7], + dout_2[6] = NlwRenamedSig_OI_dout_2[6], + dout_2[5] = NlwRenamedSig_OI_dout_2[5], + dout_2[4] = NlwRenamedSig_OI_dout_2[4], + dout_2[3] = NlwRenamedSig_OI_dout_2[3], + dout_2[2] = NlwRenamedSig_OI_dout_2[2], + dout_2[1] = NlwRenamedSig_OI_dout_2[1], + dout_2[0] = NlwRenamedSig_OI_dout_2[0], + din_1_1[23] = din_1[23], + din_1_1[22] = din_1[22], + din_1_1[21] = din_1[21], + din_1_1[20] = din_1[20], + din_1_1[19] = din_1[19], + din_1_1[18] = din_1[18], + din_1_1[17] = din_1[17], + din_1_1[16] = din_1[16], + din_1_1[15] = din_1[15], + din_1_1[14] = din_1[14], + din_1_1[13] = din_1[13], + din_1_1[12] = din_1[12], + din_1_1[11] = din_1[11], + din_1_1[10] = din_1[10], + din_1_1[9] = din_1[9], + din_1_1[8] = din_1[8], + din_1_1[7] = din_1[7], + din_1_1[6] = din_1[6], + din_1_1[5] = din_1[5], + din_1_1[4] = din_1[4], + din_1_1[3] = din_1[3], + din_1_1[2] = din_1[2], + din_1_1[1] = din_1[1], + din_1_1[0] = din_1[0], + din_2_2[23] = din_2[23], + din_2_2[22] = din_2[22], + din_2_2[21] = din_2[21], + din_2_2[20] = din_2[20], + din_2_2[19] = din_2[19], + din_2_2[18] = din_2[18], + din_2_2[17] = din_2[17], + din_2_2[16] = din_2[16], + din_2_2[15] = din_2[15], + din_2_2[14] = din_2[14], + din_2_2[13] = din_2[13], + din_2_2[12] = din_2[12], + din_2_2[11] = din_2[11], + din_2_2[10] = din_2[10], + din_2_2[9] = din_2[9], + din_2_2[8] = din_2[8], + din_2_2[7] = din_2[7], + din_2_2[6] = din_2[6], + din_2_2[5] = din_2[5], + din_2_2[4] = din_2[4], + din_2_2[3] = din_2[3], + din_2_2[2] = din_2[2], + din_2_2[1] = din_2[1], + din_2_2[0] = din_2[0], + coef_din_0[17] = coef_din[17], + coef_din_0[16] = coef_din[16], + coef_din_0[15] = coef_din[15], + coef_din_0[14] = coef_din[14], + coef_din_0[13] = coef_din[13], + coef_din_0[12] = coef_din[12], + coef_din_0[11] = coef_din[11], + coef_din_0[10] = coef_din[10], + coef_din_0[9] = coef_din[9], + coef_din_0[8] = coef_din[8], + coef_din_0[7] = coef_din[7], + coef_din_0[6] = coef_din[6], + coef_din_0[5] = coef_din[5], + coef_din_0[4] = coef_din[4], + coef_din_0[3] = coef_din[3], + coef_din_0[2] = coef_din[2], + coef_din_0[1] = coef_din[1], + coef_din_0[0] = coef_din[0]; + VCC blk00000001 ( + .P(NLW_blk00000001_P_UNCONNECTED) + ); + GND blk00000002 ( + .G(NLW_blk00000002_G_UNCONNECTED) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000800 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093c ), + .Q(\blk00000003/sig0000080e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068c ), + .Q(\blk00000003/sig0000093c ), + .Q15(\NLW_blk00000003/blk000007ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093b ), + .Q(\blk00000003/sig000002ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000068b ), + .Q(\blk00000003/sig0000093b ), + .Q15(\NLW_blk00000003/blk000007fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000093a ), + .Q(\blk00000003/sig00000251 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e4 ), + .Q(\blk00000003/sig0000093a ), + .Q15(\NLW_blk00000003/blk000007fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007fa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000939 ), + .Q(\blk00000003/sig00000250 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e8 ), + .Q(\blk00000003/sig00000939 ), + .Q15(\NLW_blk00000003/blk000007f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000938 ), + .Q(\blk00000003/sig0000024f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e6 ), + .Q(\blk00000003/sig00000938 ), + .Q15(\NLW_blk00000003/blk000007f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000937 ), + .Q(\blk00000003/sig0000024d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e0 ), + .Q(\blk00000003/sig00000937 ), + .Q15(\NLW_blk00000003/blk000007f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000936 ), + .Q(\blk00000003/sig0000024c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008de ), + .Q(\blk00000003/sig00000936 ), + .Q15(\NLW_blk00000003/blk000007f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000935 ), + .Q(\blk00000003/sig0000024e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007f1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008e2 ), + .Q(\blk00000003/sig00000935 ), + .Q15(\NLW_blk00000003/blk000007f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000934 ), + .Q(\blk00000003/sig0000024a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ef ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d6 ), + .Q(\blk00000003/sig00000934 ), + .Q15(\NLW_blk00000003/blk000007ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000933 ), + .Q(\blk00000003/sig00000249 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ed ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008da ), + .Q(\blk00000003/sig00000933 ), + .Q15(\NLW_blk00000003/blk000007ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000932 ), + .Q(\blk00000003/sig0000024b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007eb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008dc ), + .Q(\blk00000003/sig00000932 ), + .Q15(\NLW_blk00000003/blk000007eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000931 ), + .Q(\blk00000003/sig00000247 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d0 ), + .Q(\blk00000003/sig00000931 ), + .Q15(\NLW_blk00000003/blk000007e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000930 ), + .Q(\blk00000003/sig00000246 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d4 ), + .Q(\blk00000003/sig00000930 ), + .Q15(\NLW_blk00000003/blk000007e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092f ), + .Q(\blk00000003/sig00000248 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d8 ), + .Q(\blk00000003/sig0000092f ), + .Q15(\NLW_blk00000003/blk000007e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092e ), + .Q(\blk00000003/sig00000245 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008d2 ), + .Q(\blk00000003/sig0000092e ), + .Q15(\NLW_blk00000003/blk000007e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092d ), + .Q(\blk00000003/sig00000244 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007e1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ca ), + .Q(\blk00000003/sig0000092d ), + .Q15(\NLW_blk00000003/blk000007e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092c ), + .Q(\blk00000003/sig00000243 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007df ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ce ), + .Q(\blk00000003/sig0000092c ), + .Q15(\NLW_blk00000003/blk000007df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092b ), + .Q(\blk00000003/sig00000242 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007dd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008cc ), + .Q(\blk00000003/sig0000092b ), + .Q15(\NLW_blk00000003/blk000007dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000092a ), + .Q(\blk00000003/sig00000210 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007db ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c6 ), + .Q(\blk00000003/sig0000092a ), + .Q15(\NLW_blk00000003/blk000007db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000929 ), + .Q(\blk00000003/sig0000020f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c4 ), + .Q(\blk00000003/sig00000929 ), + .Q15(\NLW_blk00000003/blk000007d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000928 ), + .Q(\blk00000003/sig00000211 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c8 ), + .Q(\blk00000003/sig00000928 ), + .Q15(\NLW_blk00000003/blk000007d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000927 ), + .Q(\blk00000003/sig0000020d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008bc ), + .Q(\blk00000003/sig00000927 ), + .Q15(\NLW_blk00000003/blk000007d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000926 ), + .Q(\blk00000003/sig0000020c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c0 ), + .Q(\blk00000003/sig00000926 ), + .Q15(\NLW_blk00000003/blk000007d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000925 ), + .Q(\blk00000003/sig0000020e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007d1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008c2 ), + .Q(\blk00000003/sig00000925 ), + .Q15(\NLW_blk00000003/blk000007d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000924 ), + .Q(\blk00000003/sig0000020a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b6 ), + .Q(\blk00000003/sig00000924 ), + .Q15(\NLW_blk00000003/blk000007cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000923 ), + .Q(\blk00000003/sig000001c9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ba ), + .Q(\blk00000003/sig00000923 ), + .Q15(\NLW_blk00000003/blk000007cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000922 ), + .Q(\blk00000003/sig0000020b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007cb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008be ), + .Q(\blk00000003/sig00000922 ), + .Q15(\NLW_blk00000003/blk000007cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000921 ), + .Q(\blk00000003/sig000001c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b8 ), + .Q(\blk00000003/sig00000921 ), + .Q15(\NLW_blk00000003/blk000007c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000920 ), + .Q(\blk00000003/sig000001c7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b0 ), + .Q(\blk00000003/sig00000920 ), + .Q15(\NLW_blk00000003/blk000007c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091f ), + .Q(\blk00000003/sig000001c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b4 ), + .Q(\blk00000003/sig0000091f ), + .Q15(\NLW_blk00000003/blk000007c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091e ), + .Q(\blk00000003/sig000001c5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008b2 ), + .Q(\blk00000003/sig0000091e ), + .Q15(\NLW_blk00000003/blk000007c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091d ), + .Q(\blk00000003/sig000001c3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007c1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ae ), + .Q(\blk00000003/sig0000091d ), + .Q15(\NLW_blk00000003/blk000007c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091c ), + .Q(\blk00000003/sig000001c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bf ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008ac ), + .Q(\blk00000003/sig0000091c ), + .Q15(\NLW_blk00000003/blk000007bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091b ), + .Q(\blk00000003/sig000001c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008aa ), + .Q(\blk00000003/sig0000091b ), + .Q15(\NLW_blk00000003/blk000007bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000091a ), + .Q(\blk00000003/sig000001c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007bb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a8 ), + .Q(\blk00000003/sig0000091a ), + .Q15(\NLW_blk00000003/blk000007bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000919 ), + .Q(\blk00000003/sig000001bf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a6 ), + .Q(\blk00000003/sig00000919 ), + .Q15(\NLW_blk00000003/blk000007b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000918 ), + .Q(\blk00000003/sig000001c1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a4 ), + .Q(\blk00000003/sig00000918 ), + .Q15(\NLW_blk00000003/blk000007b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000917 ), + .Q(\blk00000003/sig000001bd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a2 ), + .Q(\blk00000003/sig00000917 ), + .Q15(\NLW_blk00000003/blk000007b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000916 ), + .Q(\blk00000003/sig000001bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000008a0 ), + .Q(\blk00000003/sig00000916 ), + .Q15(\NLW_blk00000003/blk000007b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000915 ), + .Q(\blk00000003/sig000001be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007b1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089e ), + .Q(\blk00000003/sig00000915 ), + .Q15(\NLW_blk00000003/blk000007b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000914 ), + .Q(\blk00000003/sig000001bb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007af ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000898 ), + .Q(\blk00000003/sig00000914 ), + .Q15(\NLW_blk00000003/blk000007af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000913 ), + .Q(\blk00000003/sig000001ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ad ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089c ), + .Q(\blk00000003/sig00000913 ), + .Q15(\NLW_blk00000003/blk000007ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000912 ), + .Q(\blk00000003/sig00000177 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007ab ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000089a ), + .Q(\blk00000003/sig00000912 ), + .Q15(\NLW_blk00000003/blk000007ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000911 ), + .Q(\blk00000003/sig00000176 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000896 ), + .Q(\blk00000003/sig00000911 ), + .Q15(\NLW_blk00000003/blk000007a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000910 ), + .Q(\blk00000003/sig00000174 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000892 ), + .Q(\blk00000003/sig00000910 ), + .Q15(\NLW_blk00000003/blk000007a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090f ), + .Q(\blk00000003/sig00000173 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a5 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000890 ), + .Q(\blk00000003/sig0000090f ), + .Q15(\NLW_blk00000003/blk000007a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090e ), + .Q(\blk00000003/sig00000175 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000894 ), + .Q(\blk00000003/sig0000090e ), + .Q15(\NLW_blk00000003/blk000007a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090d ), + .Q(\blk00000003/sig00000171 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000007a1 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088e ), + .Q(\blk00000003/sig0000090d ), + .Q15(\NLW_blk00000003/blk000007a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000007a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090c ), + .Q(\blk00000003/sig00000170 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088c ), + .Q(\blk00000003/sig0000090c ), + .Q15(\NLW_blk00000003/blk0000079f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090b ), + .Q(\blk00000003/sig00000172 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000088a ), + .Q(\blk00000003/sig0000090b ), + .Q15(\NLW_blk00000003/blk0000079d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000090a ), + .Q(\blk00000003/sig0000068c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000079b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b0 ), + .Q(\blk00000003/sig0000090a ), + .Q15(\NLW_blk00000003/blk0000079b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000079a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000909 ), + .Q(\blk00000003/sig0000081a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000799 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000290 ), + .Q(\blk00000003/sig00000909 ), + .Q15(\NLW_blk00000003/blk00000799_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000798 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000908 ), + .Q(\blk00000003/sig00000819 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000797 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028f ), + .Q(\blk00000003/sig00000908 ), + .Q15(\NLW_blk00000003/blk00000797_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000796 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000907 ), + .Q(\blk00000003/sig00000818 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000795 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000291 ), + .Q(\blk00000003/sig00000907 ), + .Q15(\NLW_blk00000003/blk00000795_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000794 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000906 ), + .Q(\blk00000003/sig0000081d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000793 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028d ), + .Q(\blk00000003/sig00000906 ), + .Q15(\NLW_blk00000003/blk00000793_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000792 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000905 ), + .Q(\blk00000003/sig0000081c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000791 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028c ), + .Q(\blk00000003/sig00000905 ), + .Q15(\NLW_blk00000003/blk00000791_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000790 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000904 ), + .Q(\blk00000003/sig0000081b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028e ), + .Q(\blk00000003/sig00000904 ), + .Q15(\NLW_blk00000003/blk0000078f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000903 ), + .Q(\blk00000003/sig00000820 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028a ), + .Q(\blk00000003/sig00000903 ), + .Q15(\NLW_blk00000003/blk0000078d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000902 ), + .Q(\blk00000003/sig0000081f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000078b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000289 ), + .Q(\blk00000003/sig00000902 ), + .Q15(\NLW_blk00000003/blk0000078b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000078a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000901 ), + .Q(\blk00000003/sig0000081e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000789 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000028b ), + .Q(\blk00000003/sig00000901 ), + .Q15(\NLW_blk00000003/blk00000789_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000788 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000900 ), + .Q(\blk00000003/sig00000823 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000787 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000287 ), + .Q(\blk00000003/sig00000900 ), + .Q15(\NLW_blk00000003/blk00000787_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000786 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ff ), + .Q(\blk00000003/sig00000822 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000785 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000286 ), + .Q(\blk00000003/sig000008ff ), + .Q15(\NLW_blk00000003/blk00000785_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000784 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fe ), + .Q(\blk00000003/sig00000821 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000783 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000288 ), + .Q(\blk00000003/sig000008fe ), + .Q15(\NLW_blk00000003/blk00000783_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000782 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fd ), + .Q(\blk00000003/sig00000826 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000781 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000284 ), + .Q(\blk00000003/sig000008fd ), + .Q15(\NLW_blk00000003/blk00000781_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000780 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fc ), + .Q(\blk00000003/sig00000825 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000283 ), + .Q(\blk00000003/sig000008fc ), + .Q15(\NLW_blk00000003/blk0000077f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fb ), + .Q(\blk00000003/sig00000824 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000285 ), + .Q(\blk00000003/sig000008fb ), + .Q15(\NLW_blk00000003/blk0000077d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008fa ), + .Q(\blk00000003/sig00000828 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000077b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000209 ), + .Q(\blk00000003/sig000008fa ), + .Q15(\NLW_blk00000003/blk0000077b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000077a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f9 ), + .Q(\blk00000003/sig00000829 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000779 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000208 ), + .Q(\blk00000003/sig000008f9 ), + .Q15(\NLW_blk00000003/blk00000779_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000778 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f8 ), + .Q(\blk00000003/sig00000827 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000777 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000282 ), + .Q(\blk00000003/sig000008f8 ), + .Q15(\NLW_blk00000003/blk00000777_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000776 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f7 ), + .Q(\blk00000003/sig0000082c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000775 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000206 ), + .Q(\blk00000003/sig000008f7 ), + .Q15(\NLW_blk00000003/blk00000775_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000774 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f6 ), + .Q(\blk00000003/sig0000082b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000773 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000205 ), + .Q(\blk00000003/sig000008f6 ), + .Q15(\NLW_blk00000003/blk00000773_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000772 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f5 ), + .Q(\blk00000003/sig0000082a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000771 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000207 ), + .Q(\blk00000003/sig000008f5 ), + .Q15(\NLW_blk00000003/blk00000771_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000770 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f4 ), + .Q(\blk00000003/sig0000082d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000204 ), + .Q(\blk00000003/sig000008f4 ), + .Q15(\NLW_blk00000003/blk0000076f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f3 ), + .Q(\blk00000003/sig0000082f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000203 ), + .Q(\blk00000003/sig000008f3 ), + .Q15(\NLW_blk00000003/blk0000076d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f2 ), + .Q(\blk00000003/sig0000082e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000076b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000202 ), + .Q(\blk00000003/sig000008f2 ), + .Q15(\NLW_blk00000003/blk0000076b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000076a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f1 ), + .Q(\blk00000003/sig00000830 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000769 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000201 ), + .Q(\blk00000003/sig000008f1 ), + .Q15(\NLW_blk00000003/blk00000769_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000768 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008f0 ), + .Q(\blk00000003/sig00000831 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000767 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001ff ), + .Q(\blk00000003/sig000008f0 ), + .Q15(\NLW_blk00000003/blk00000767_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000766 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ef ), + .Q(\blk00000003/sig00000833 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000765 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fe ), + .Q(\blk00000003/sig000008ef ), + .Q15(\NLW_blk00000003/blk00000765_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000764 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ee ), + .Q(\blk00000003/sig00000832 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000763 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000200 ), + .Q(\blk00000003/sig000008ee ), + .Q15(\NLW_blk00000003/blk00000763_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000762 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ed ), + .Q(\blk00000003/sig00000834 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000761 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fc ), + .Q(\blk00000003/sig000008ed ), + .Q15(\NLW_blk00000003/blk00000761_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000760 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ec ), + .Q(\blk00000003/sig00000836 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fb ), + .Q(\blk00000003/sig000008ec ), + .Q15(\NLW_blk00000003/blk0000075f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008eb ), + .Q(\blk00000003/sig00000835 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fd ), + .Q(\blk00000003/sig000008eb ), + .Q15(\NLW_blk00000003/blk0000075d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008ea ), + .Q(\blk00000003/sig00000837 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000075b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000001fa ), + .Q(\blk00000003/sig000008ea ), + .Q15(\NLW_blk00000003/blk0000075b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000075a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000008e9 ), + .Q(\blk00000003/sig0000080f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000759 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig00000294 ), + .Q(\blk00000003/sig000008e9 ), + .Q15(\NLW_blk00000003/blk00000759_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000758 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e7 ), + .Q(\blk00000003/sig000008e8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000757 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig000008e7 ), + .Q15(\NLW_blk00000003/blk00000757_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000756 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e5 ), + .Q(\blk00000003/sig000008e6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000755 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig000008e5 ), + .Q15(\NLW_blk00000003/blk00000755_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000754 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e3 ), + .Q(\blk00000003/sig000008e4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000753 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig000008e3 ), + .Q15(\NLW_blk00000003/blk00000753_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000752 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008e1 ), + .Q(\blk00000003/sig000008e2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000751 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig000008e1 ), + .Q15(\NLW_blk00000003/blk00000751_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000750 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008df ), + .Q(\blk00000003/sig000008e0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig000008df ), + .Q15(\NLW_blk00000003/blk0000074f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008dd ), + .Q(\blk00000003/sig000008de ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig000008dd ), + .Q15(\NLW_blk00000003/blk0000074d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008db ), + .Q(\blk00000003/sig000008dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000074b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig000008db ), + .Q15(\NLW_blk00000003/blk0000074b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000074a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d9 ), + .Q(\blk00000003/sig000008da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000749 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig000008d9 ), + .Q15(\NLW_blk00000003/blk00000749_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000748 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d7 ), + .Q(\blk00000003/sig000008d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000747 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig000008d7 ), + .Q15(\NLW_blk00000003/blk00000747_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000746 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d5 ), + .Q(\blk00000003/sig000008d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000745 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig000008d5 ), + .Q15(\NLW_blk00000003/blk00000745_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000744 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d3 ), + .Q(\blk00000003/sig000008d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000743 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig000008d3 ), + .Q15(\NLW_blk00000003/blk00000743_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000742 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008d1 ), + .Q(\blk00000003/sig000008d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000741 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig000008d1 ), + .Q15(\NLW_blk00000003/blk00000741_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000740 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cf ), + .Q(\blk00000003/sig000008d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig000008cf ), + .Q15(\NLW_blk00000003/blk0000073f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cd ), + .Q(\blk00000003/sig000008ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig000008cd ), + .Q15(\NLW_blk00000003/blk0000073d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008cb ), + .Q(\blk00000003/sig000008cc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000073b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig000008cb ), + .Q15(\NLW_blk00000003/blk0000073b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000073a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c9 ), + .Q(\blk00000003/sig000008ca ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000739 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig000008c9 ), + .Q15(\NLW_blk00000003/blk00000739_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000738 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c7 ), + .Q(\blk00000003/sig000008c8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000737 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig000008c7 ), + .Q15(\NLW_blk00000003/blk00000737_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000736 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c5 ), + .Q(\blk00000003/sig000008c6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000735 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig000008c5 ), + .Q15(\NLW_blk00000003/blk00000735_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000734 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c3 ), + .Q(\blk00000003/sig000008c4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000733 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig000008c3 ), + .Q15(\NLW_blk00000003/blk00000733_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000732 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008c1 ), + .Q(\blk00000003/sig000008c2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000731 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig000008c1 ), + .Q15(\NLW_blk00000003/blk00000731_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000730 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bf ), + .Q(\blk00000003/sig000008c0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig000008bf ), + .Q15(\NLW_blk00000003/blk0000072f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bd ), + .Q(\blk00000003/sig000008be ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig000008bd ), + .Q15(\NLW_blk00000003/blk0000072d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008bb ), + .Q(\blk00000003/sig000008bc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000072b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig000008bb ), + .Q15(\NLW_blk00000003/blk0000072b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000072a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b9 ), + .Q(\blk00000003/sig000008ba ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000729 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig000008b9 ), + .Q15(\NLW_blk00000003/blk00000729_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000728 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b7 ), + .Q(\blk00000003/sig000008b8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000727 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig000008b7 ), + .Q15(\NLW_blk00000003/blk00000727_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000726 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b5 ), + .Q(\blk00000003/sig000008b6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000725 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig000008b5 ), + .Q15(\NLW_blk00000003/blk00000725_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000724 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b3 ), + .Q(\blk00000003/sig000008b4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000723 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig000008b3 ), + .Q15(\NLW_blk00000003/blk00000723_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000722 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008b1 ), + .Q(\blk00000003/sig000008b2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000721 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig000008b1 ), + .Q15(\NLW_blk00000003/blk00000721_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000720 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008af ), + .Q(\blk00000003/sig000008b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig000008af ), + .Q15(\NLW_blk00000003/blk0000071f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ad ), + .Q(\blk00000003/sig000008ae ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig000008ad ), + .Q15(\NLW_blk00000003/blk0000071d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008ab ), + .Q(\blk00000003/sig000008ac ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000071b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig000008ab ), + .Q15(\NLW_blk00000003/blk0000071b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000071a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a9 ), + .Q(\blk00000003/sig000008aa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000719 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig000008a9 ), + .Q15(\NLW_blk00000003/blk00000719_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000718 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a7 ), + .Q(\blk00000003/sig000008a8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000717 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig000008a7 ), + .Q15(\NLW_blk00000003/blk00000717_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000716 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a5 ), + .Q(\blk00000003/sig000008a6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000715 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig000008a5 ), + .Q15(\NLW_blk00000003/blk00000715_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000714 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a3 ), + .Q(\blk00000003/sig000008a4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000713 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig000008a3 ), + .Q15(\NLW_blk00000003/blk00000713_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000712 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig000008a1 ), + .Q(\blk00000003/sig000008a2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000711 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig000008a1 ), + .Q15(\NLW_blk00000003/blk00000711_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000710 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089f ), + .Q(\blk00000003/sig000008a0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000089f ), + .Q15(\NLW_blk00000003/blk0000070f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070e ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089d ), + .Q(\blk00000003/sig0000089e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig0000089d ), + .Q15(\NLW_blk00000003/blk0000070d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070c ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000089b ), + .Q(\blk00000003/sig0000089c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000070b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig0000089b ), + .Q15(\NLW_blk00000003/blk0000070b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000070a ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000899 ), + .Q(\blk00000003/sig0000089a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000709 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig00000899 ), + .Q15(\NLW_blk00000003/blk00000709_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000708 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000897 ), + .Q(\blk00000003/sig00000898 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000707 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000897 ), + .Q15(\NLW_blk00000003/blk00000707_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000706 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000895 ), + .Q(\blk00000003/sig00000896 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000705 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig00000895 ), + .Q15(\NLW_blk00000003/blk00000705_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000704 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000893 ), + .Q(\blk00000003/sig00000894 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000703 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig00000893 ), + .Q15(\NLW_blk00000003/blk00000703_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000702 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000891 ), + .Q(\blk00000003/sig00000892 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000701 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig00000891 ), + .Q15(\NLW_blk00000003/blk00000701_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000700 ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088f ), + .Q(\blk00000003/sig00000890 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ff ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000088f ), + .Q15(\NLW_blk00000003/blk000006ff_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fe ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088d ), + .Q(\blk00000003/sig0000088e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fd ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig0000088d ), + .Q15(\NLW_blk00000003/blk000006fd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fc ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig0000088b ), + .Q(\blk00000003/sig0000088c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006fb ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig0000088b ), + .Q15(\NLW_blk00000003/blk000006fb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006fa ( + .C(clk), + .CE(\blk00000003/sig00000817 ), + .D(\blk00000003/sig00000889 ), + .Q(\blk00000003/sig0000088a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f9 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig000000ac ), + .CE(\blk00000003/sig00000817 ), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000889 ), + .Q15(\NLW_blk00000003/blk000006f9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000888 ), + .Q(\blk00000003/sig00000816 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f7 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067b ), + .Q(\blk00000003/sig00000888 ), + .Q15(\NLW_blk00000003/blk000006f7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000887 ), + .Q(\blk00000003/sig000006dc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e8 ), + .Q(\blk00000003/sig00000887 ), + .Q15(\NLW_blk00000003/blk000006f5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000886 ), + .Q(\blk00000003/sig00000815 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f3 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000067c ), + .Q(\blk00000003/sig00000886 ), + .Q15(\NLW_blk00000003/blk000006f3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000885 ), + .Q(\blk00000003/sig000006da ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006f1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e6 ), + .Q(\blk00000003/sig00000885 ), + .Q15(\NLW_blk00000003/blk000006f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000884 ), + .Q(\blk00000003/sig000006d9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ef ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e5 ), + .Q(\blk00000003/sig00000884 ), + .Q15(\NLW_blk00000003/blk000006ef_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000883 ), + .Q(\blk00000003/sig000006db ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ed ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e7 ), + .Q(\blk00000003/sig00000883 ), + .Q15(\NLW_blk00000003/blk000006ed_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000882 ), + .Q(\blk00000003/sig000006d8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006eb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e4 ), + .Q(\blk00000003/sig00000882 ), + .Q15(\NLW_blk00000003/blk000006eb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000881 ), + .Q(\blk00000003/sig000006d7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e3 ), + .Q(\blk00000003/sig00000881 ), + .Q15(\NLW_blk00000003/blk000006e9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000880 ), + .Q(\blk00000003/sig000006d6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e2 ), + .Q(\blk00000003/sig00000880 ), + .Q15(\NLW_blk00000003/blk000006e7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087f ), + .Q(\blk00000003/sig000006d5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e1 ), + .Q(\blk00000003/sig0000087f ), + .Q15(\NLW_blk00000003/blk000006e5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087e ), + .Q(\blk00000003/sig000006d3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002df ), + .Q(\blk00000003/sig0000087e ), + .Q15(\NLW_blk00000003/blk000006e3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087d ), + .Q(\blk00000003/sig000006d2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006e1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002de ), + .Q(\blk00000003/sig0000087d ), + .Q15(\NLW_blk00000003/blk000006e1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087c ), + .Q(\blk00000003/sig000006d4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006df ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002e0 ), + .Q(\blk00000003/sig0000087c ), + .Q15(\NLW_blk00000003/blk000006df_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087b ), + .Q(\blk00000003/sig000006d0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006dd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dc ), + .Q(\blk00000003/sig0000087b ), + .Q15(\NLW_blk00000003/blk000006dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000087a ), + .Q(\blk00000003/sig000006cf ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006db ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002db ), + .Q(\blk00000003/sig0000087a ), + .Q15(\NLW_blk00000003/blk000006db_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006da ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000879 ), + .Q(\blk00000003/sig000006d1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002dd ), + .Q(\blk00000003/sig00000879 ), + .Q15(\NLW_blk00000003/blk000006d9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000878 ), + .Q(\blk00000003/sig000006cd ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d9 ), + .Q(\blk00000003/sig00000878 ), + .Q15(\NLW_blk00000003/blk000006d7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000877 ), + .Q(\blk00000003/sig0000076c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d8 ), + .Q(\blk00000003/sig00000877 ), + .Q15(\NLW_blk00000003/blk000006d5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000876 ), + .Q(\blk00000003/sig000006ce ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002da ), + .Q(\blk00000003/sig00000876 ), + .Q15(\NLW_blk00000003/blk000006d3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000875 ), + .Q(\blk00000003/sig0000076b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006d1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d7 ), + .Q(\blk00000003/sig00000875 ), + .Q15(\NLW_blk00000003/blk000006d1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006d0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000874 ), + .Q(\blk00000003/sig0000076a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d6 ), + .Q(\blk00000003/sig00000874 ), + .Q15(\NLW_blk00000003/blk000006cf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ce ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000873 ), + .Q(\blk00000003/sig00000769 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d5 ), + .Q(\blk00000003/sig00000873 ), + .Q15(\NLW_blk00000003/blk000006cd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000872 ), + .Q(\blk00000003/sig00000768 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006cb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d4 ), + .Q(\blk00000003/sig00000872 ), + .Q15(\NLW_blk00000003/blk000006cb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000871 ), + .Q(\blk00000003/sig00000766 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d2 ), + .Q(\blk00000003/sig00000871 ), + .Q15(\NLW_blk00000003/blk000006c9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000870 ), + .Q(\blk00000003/sig00000765 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d1 ), + .Q(\blk00000003/sig00000870 ), + .Q15(\NLW_blk00000003/blk000006c7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086f ), + .Q(\blk00000003/sig00000767 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d3 ), + .Q(\blk00000003/sig0000086f ), + .Q15(\NLW_blk00000003/blk000006c5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086e ), + .Q(\blk00000003/sig000006fb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cf ), + .Q(\blk00000003/sig0000086e ), + .Q15(\NLW_blk00000003/blk000006c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086d ), + .Q(\blk00000003/sig000006fa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006c1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ce ), + .Q(\blk00000003/sig0000086d ), + .Q15(\NLW_blk00000003/blk000006c1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086c ), + .Q(\blk00000003/sig000006fc ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bf ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002d0 ), + .Q(\blk00000003/sig0000086c ), + .Q15(\NLW_blk00000003/blk000006bf_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086b ), + .Q(\blk00000003/sig000006f8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bd ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cc ), + .Q(\blk00000003/sig0000086b ), + .Q15(\NLW_blk00000003/blk000006bd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000086a ), + .Q(\blk00000003/sig000006f7 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006bb ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cb ), + .Q(\blk00000003/sig0000086a ), + .Q15(\NLW_blk00000003/blk000006bb_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000869 ), + .Q(\blk00000003/sig000006f9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002cd ), + .Q(\blk00000003/sig00000869 ), + .Q15(\NLW_blk00000003/blk000006b9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000868 ), + .Q(\blk00000003/sig000006f6 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ca ), + .Q(\blk00000003/sig00000868 ), + .Q15(\NLW_blk00000003/blk000006b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000867 ), + .Q(\blk00000003/sig000006f5 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c9 ), + .Q(\blk00000003/sig00000867 ), + .Q15(\NLW_blk00000003/blk000006b5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000866 ), + .Q(\blk00000003/sig000006f4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c8 ), + .Q(\blk00000003/sig00000866 ), + .Q15(\NLW_blk00000003/blk000006b3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000865 ), + .Q(\blk00000003/sig000006f3 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006b1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c7 ), + .Q(\blk00000003/sig00000865 ), + .Q15(\NLW_blk00000003/blk000006b1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000864 ), + .Q(\blk00000003/sig000006f1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006af ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c5 ), + .Q(\blk00000003/sig00000864 ), + .Q15(\NLW_blk00000003/blk000006af_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000863 ), + .Q(\blk00000003/sig000006f0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ad ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c4 ), + .Q(\blk00000003/sig00000863 ), + .Q15(\NLW_blk00000003/blk000006ad_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000862 ), + .Q(\blk00000003/sig000006f2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006ab ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c6 ), + .Q(\blk00000003/sig00000862 ), + .Q15(\NLW_blk00000003/blk000006ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000861 ), + .Q(\blk00000003/sig000006ee ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a9 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c2 ), + .Q(\blk00000003/sig00000861 ), + .Q15(\NLW_blk00000003/blk000006a9_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000860 ), + .Q(\blk00000003/sig000006ed ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a7 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c1 ), + .Q(\blk00000003/sig00000860 ), + .Q15(\NLW_blk00000003/blk000006a7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085f ), + .Q(\blk00000003/sig000006ef ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a5 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c3 ), + .Q(\blk00000003/sig0000085f ), + .Q15(\NLW_blk00000003/blk000006a5_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085e ), + .Q(\blk00000003/sig0000077b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a3 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bf ), + .Q(\blk00000003/sig0000085e ), + .Q15(\NLW_blk00000003/blk000006a3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085d ), + .Q(\blk00000003/sig0000077a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000006a1 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002be ), + .Q(\blk00000003/sig0000085d ), + .Q15(\NLW_blk00000003/blk000006a1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000006a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085c ), + .Q(\blk00000003/sig0000077c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069f ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002c0 ), + .Q(\blk00000003/sig0000085c ), + .Q15(\NLW_blk00000003/blk0000069f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085b ), + .Q(\blk00000003/sig00000779 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069d ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bd ), + .Q(\blk00000003/sig0000085b ), + .Q15(\NLW_blk00000003/blk0000069d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000085a ), + .Q(\blk00000003/sig00000778 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000069b ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bc ), + .Q(\blk00000003/sig0000085a ), + .Q15(\NLW_blk00000003/blk0000069b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000069a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000859 ), + .Q(\blk00000003/sig00000777 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000699 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002bb ), + .Q(\blk00000003/sig00000859 ), + .Q15(\NLW_blk00000003/blk00000699_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000698 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000858 ), + .Q(\blk00000003/sig00000776 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000697 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002ba ), + .Q(\blk00000003/sig00000858 ), + .Q15(\NLW_blk00000003/blk00000697_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000696 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000857 ), + .Q(\blk00000003/sig00000397 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000695 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a5 ), + .Q(\blk00000003/sig00000857 ), + .Q15(\NLW_blk00000003/blk00000695_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000694 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000856 ), + .Q(\blk00000003/sig00000398 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000693 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000037e ), + .Q(\blk00000003/sig00000856 ), + .Q15(\NLW_blk00000003/blk00000693_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000692 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000855 ), + .Q(\blk00000003/sig00000775 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000691 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b9 ), + .Q(\blk00000003/sig00000855 ), + .Q15(\NLW_blk00000003/blk00000691_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000690 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000854 ), + .Q(\blk00000003/sig0000071e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[0]), + .Q(\blk00000003/sig00000854 ), + .Q15(\NLW_blk00000003/blk0000068f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000853 ), + .Q(\blk00000003/sig0000071d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[1]), + .Q(\blk00000003/sig00000853 ), + .Q15(\NLW_blk00000003/blk0000068d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000852 ), + .Q(\blk00000003/sig00000814 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000068b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig000000ac ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a1 ), + .Q(\blk00000003/sig00000852 ), + .Q15(\NLW_blk00000003/blk0000068b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000068a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000851 ), + .Q(\blk00000003/sig0000071b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000689 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[3]), + .Q(\blk00000003/sig00000851 ), + .Q15(\NLW_blk00000003/blk00000689_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000688 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000850 ), + .Q(\blk00000003/sig0000071a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000687 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[4]), + .Q(\blk00000003/sig00000850 ), + .Q15(\NLW_blk00000003/blk00000687_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000686 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084f ), + .Q(\blk00000003/sig0000071c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000685 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[2]), + .Q(\blk00000003/sig0000084f ), + .Q15(\NLW_blk00000003/blk00000685_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000684 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084e ), + .Q(\blk00000003/sig00000719 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000683 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[5]), + .Q(\blk00000003/sig0000084e ), + .Q15(\NLW_blk00000003/blk00000683_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000682 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084d ), + .Q(\blk00000003/sig00000718 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000681 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[6]), + .Q(\blk00000003/sig0000084d ), + .Q15(\NLW_blk00000003/blk00000681_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000680 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084c ), + .Q(\blk00000003/sig00000717 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[7]), + .Q(\blk00000003/sig0000084c ), + .Q15(\NLW_blk00000003/blk0000067f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084b ), + .Q(\blk00000003/sig00000716 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[8]), + .Q(\blk00000003/sig0000084b ), + .Q15(\NLW_blk00000003/blk0000067d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000084a ), + .Q(\blk00000003/sig00000714 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000067b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[10]), + .Q(\blk00000003/sig0000084a ), + .Q15(\NLW_blk00000003/blk0000067b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000067a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000849 ), + .Q(\blk00000003/sig00000713 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000679 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[11]), + .Q(\blk00000003/sig00000849 ), + .Q15(\NLW_blk00000003/blk00000679_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000678 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000848 ), + .Q(\blk00000003/sig00000715 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000677 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[9]), + .Q(\blk00000003/sig00000848 ), + .Q15(\NLW_blk00000003/blk00000677_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000676 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000847 ), + .Q(\blk00000003/sig00000711 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000675 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[13]), + .Q(\blk00000003/sig00000847 ), + .Q15(\NLW_blk00000003/blk00000675_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000674 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000846 ), + .Q(\blk00000003/sig00000710 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000673 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[14]), + .Q(\blk00000003/sig00000846 ), + .Q15(\NLW_blk00000003/blk00000673_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000672 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000845 ), + .Q(\blk00000003/sig00000712 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000671 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[12]), + .Q(\blk00000003/sig00000845 ), + .Q15(\NLW_blk00000003/blk00000671_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000670 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000844 ), + .Q(\blk00000003/sig0000070e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066f ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[16]), + .Q(\blk00000003/sig00000844 ), + .Q15(\NLW_blk00000003/blk0000066f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000843 ), + .Q(\blk00000003/sig0000070d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066d ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[17]), + .Q(\blk00000003/sig00000843 ), + .Q15(\NLW_blk00000003/blk0000066d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000842 ), + .Q(\blk00000003/sig0000070f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000066b ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(coef_din_0[15]), + .Q(\blk00000003/sig00000842 ), + .Q15(\NLW_blk00000003/blk0000066b_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000066a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000841 ), + .Q(\blk00000003/sig000002b0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000669 ( + .A0(\blk00000003/sig000000ac ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002b2 ), + .Q(\blk00000003/sig00000841 ), + .Q15(\NLW_blk00000003/blk00000669_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000668 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000840 ), + .Q(\blk00000003/sig0000068b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000667 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig000000ac ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig000002a7 ), + .Q(\blk00000003/sig00000840 ), + .Q15(\NLW_blk00000003/blk00000667_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000666 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000083f ), + .Q(\blk00000003/sig00000786 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000665 ( + .A0(\blk00000003/sig00000049 ), + .A1(\blk00000003/sig00000049 ), + .A2(\blk00000003/sig00000049 ), + .A3(\blk00000003/sig00000049 ), + .CE(ce), + .CLK(clk), + .D(\blk00000003/sig0000029f ), + .Q(\blk00000003/sig0000083f ), + .Q15(\NLW_blk00000003/blk00000665_Q15_UNCONNECTED ) + ); + INV \blk00000003/blk00000664 ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000353 ) + ); + INV \blk00000003/blk00000663 ( + .I(\blk00000003/sig0000035c ), + .O(\blk00000003/sig0000034c ) + ); + INV \blk00000003/blk00000662 ( + .I(\blk00000003/sig00000363 ), + .O(\blk00000003/sig00000352 ) + ); + INV \blk00000003/blk00000661 ( + .I(\blk00000003/sig0000029f ), + .O(\blk00000003/sig00000361 ) + ); + INV \blk00000003/blk00000660 ( + .I(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000080d ) + ); + INV \blk00000003/blk0000065f ( + .I(\blk00000003/sig00000317 ), + .O(\blk00000003/sig00000364 ) + ); + INV \blk00000003/blk0000065e ( + .I(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000034d ) + ); + INV \blk00000003/blk0000065d ( + .I(\blk00000003/sig000002ec ), + .O(\blk00000003/sig00000318 ) + ); + INV \blk00000003/blk0000065c ( + .I(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000166 ) + ); + INV \blk00000003/blk0000065b ( + .I(\blk00000003/sig00000159 ), + .O(\blk00000003/sig00000297 ) + ); + INV \blk00000003/blk0000065a ( + .I(\blk00000003/sig00000163 ), + .O(\blk00000003/sig00000164 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000659 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig0000031c ) + ); + LUT5 #( + .INIT ( 32'h4F444444 )) + \blk00000003/blk00000658 ( + .I0(\blk00000003/sig0000031d ), + .I1(\blk00000003/sig00000311 ), + .I2(\blk00000003/sig00000320 ), + .I3(coef_ld), + .I4(\blk00000003/sig00000309 ), + .O(\blk00000003/sig00000314 ) + ); + LUT4 #( + .INIT ( 16'h1000 )) + \blk00000003/blk00000657 ( + .I0(coef_ld), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000309 ), + .O(\blk00000003/sig0000031b ) + ); + LUT5 #( + .INIT ( 32'h20AA2020 )) + \blk00000003/blk00000656 ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(\blk00000003/sig00000320 ), + .I4(coef_ld), + .O(\blk00000003/sig0000031a ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000655 ( + .I0(\blk00000003/sig0000035c ), + .I1(ce), + .I2(\blk00000003/sig00000311 ), + .I3(\blk00000003/sig000002ea ), + .O(\blk00000003/sig0000083e ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000654 ( + .I0(\blk00000003/sig00000363 ), + .I1(ce), + .I2(\blk00000003/sig0000030f ), + .I3(\blk00000003/sig00000354 ), + .O(\blk00000003/sig0000083d ) + ); + LUT4 #( + .INIT ( 16'hEA2A )) + \blk00000003/blk00000653 ( + .I0(\blk00000003/sig00000810 ), + .I1(ce), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig0000083b ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000652 ( + .I0(ce), + .I1(sclr), + .I2(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000083a ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000651 ( + .I0(ce), + .I1(\blk00000003/sig00000320 ), + .I2(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000839 ) + ); + LUT5 #( + .INIT ( 32'h6AAAAAAA )) + \blk00000003/blk00000650 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig00000366 ), + .I2(ce), + .I3(nd), + .I4(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig0000083c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064f ( + .C(clk), + .D(\blk00000003/sig0000083e ), + .Q(\blk00000003/sig0000035c ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000064e ( + .C(clk), + .D(\blk00000003/sig0000083d ), + .Q(\blk00000003/sig00000363 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064d ( + .C(clk), + .D(\blk00000003/sig0000083c ), + .R(sclr), + .Q(\blk00000003/sig00000812 ) + ); + FDR #( + .INIT ( 1'b0 )) + \blk00000003/blk0000064c ( + .C(clk), + .D(\blk00000003/sig0000083b ), + .R(sclr), + .Q(\blk00000003/sig00000810 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064b ( + .I0(\blk00000003/sig00000798 ), + .O(\blk00000003/sig00000793 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000064a ( + .I0(\blk00000003/sig00000797 ), + .O(\blk00000003/sig00000790 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000649 ( + .I0(\blk00000003/sig00000796 ), + .O(\blk00000003/sig0000078d ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000648 ( + .I0(\blk00000003/sig00000795 ), + .O(\blk00000003/sig0000078a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000647 ( + .I0(\blk00000003/sig00000394 ), + .O(\blk00000003/sig00000395 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000646 ( + .I0(\blk00000003/sig00000390 ), + .O(\blk00000003/sig00000391 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000645 ( + .I0(\blk00000003/sig0000037c ), + .O(\blk00000003/sig00000376 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000644 ( + .I0(\blk00000003/sig00000812 ), + .O(\blk00000003/sig0000036c ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000643 ( + .I0(\blk00000003/sig0000033d ), + .O(\blk00000003/sig0000033e ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000642 ( + .I0(\blk00000003/sig00000339 ), + .O(\blk00000003/sig0000033a ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000641 ( + .I0(\blk00000003/sig0000032b ), + .O(\blk00000003/sig00000329 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk00000640 ( + .I0(\blk00000003/sig00000324 ), + .O(\blk00000003/sig00000322 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000063f ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fd ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \blk00000003/blk0000063e ( + .I0(\blk00000003/sig0000029d ), + .O(\blk00000003/sig00000167 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000807 ), + .R(sclr), + .Q(\blk00000003/sig0000080c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000804 ), + .R(sclr), + .Q(\blk00000003/sig0000080b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000801 ), + .R(sclr), + .Q(\blk00000003/sig0000080a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000063a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fe ), + .R(sclr), + .Q(\blk00000003/sig00000809 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000639 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007fb ), + .R(sclr), + .Q(\blk00000003/sig00000808 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000638 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000788 ), + .R(sclr), + .Q(\blk00000003/sig00000799 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000637 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000794 ), + .R(sclr), + .Q(\blk00000003/sig00000798 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000636 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000791 ), + .R(sclr), + .Q(\blk00000003/sig00000797 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000635 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078e ), + .R(sclr), + .Q(\blk00000003/sig00000796 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000634 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000078b ), + .S(sclr), + .Q(\blk00000003/sig00000795 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000633 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000396 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000394 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000632 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000393 ), + .R(\blk00000003/sig00000399 ), + .Q(\blk00000003/sig00000390 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000631 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000389 ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038e ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000630 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038c ), + .S(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig0000038d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000381 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000387 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000384 ), + .R(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000386 ) + ); + FDR \blk00000003/blk0000062d ( + .C(clk), + .D(\blk00000003/sig0000083a ), + .R(ce), + .Q(\blk00000003/sig00000813 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000062c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000377 ), + .S(sclr), + .Q(\blk00000003/sig0000037c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037a ), + .R(sclr), + .Q(\blk00000003/sig0000037b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000062a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000371 ), + .R(sclr), + .Q(\blk00000003/sig000002b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000629 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000374 ), + .R(sclr), + .Q(\blk00000003/sig000002b7 ) + ); + FDR \blk00000003/blk00000628 ( + .C(clk), + .D(\blk00000003/sig00000839 ), + .R(ce), + .Q(\blk00000003/sig00000811 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000627 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033f ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig0000033d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000626 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033c ), + .R(\blk00000003/sig00000342 ), + .Q(\blk00000003/sig00000339 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000625 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000331 ), + .R(coef_ld), + .Q(\blk00000003/sig00000337 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000624 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000334 ), + .R(coef_ld), + .Q(\blk00000003/sig00000336 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000623 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032d ), + .R(sclr), + .Q(\blk00000003/sig0000032e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000622 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000032a ), + .R(sclr), + .Q(\blk00000003/sig0000032b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000621 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000326 ), + .R(coef_ld), + .Q(\blk00000003/sig00000327 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000620 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000323 ), + .R(coef_ld), + .Q(\blk00000003/sig00000324 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk0000061f ( + .I0(\blk00000003/sig00000163 ), + .I1(sclr), + .O(\blk00000003/sig00000838 ) + ); + FD #( + .INIT ( 1'b1 )) + \blk00000003/blk0000061e ( + .C(clk), + .D(\blk00000003/sig00000838 ), + .Q(\blk00000003/sig00000163 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000061d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000015b ), + .R(sclr), + .Q(\blk00000003/sig00000159 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061c ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fa ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061b ( + .I0(\blk00000003/sig00000809 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007fd ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000061a ( + .I0(\blk00000003/sig0000080a ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000800 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk00000619 ( + .I0(\blk00000003/sig0000080b ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000803 ) + ); + LUT3 #( + .INIT ( 8'hDE )) + \blk00000003/blk00000618 ( + .I0(\blk00000003/sig0000080c ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig00000806 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \blk00000003/blk00000617 ( + .I0(\blk00000003/sig000002ae ), + .I1(\blk00000003/sig0000004a ), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000007f8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000616 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000df ), + .I3(NlwRenamedSig_OI_dout_2[45]), + .O(\blk00000003/sig000007f6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000615 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000de ), + .I3(NlwRenamedSig_OI_dout_2[46]), + .O(\blk00000003/sig000007f7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000614 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e0 ), + .I3(NlwRenamedSig_OI_dout_2[44]), + .O(\blk00000003/sig000007f5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000613 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e2 ), + .I3(NlwRenamedSig_OI_dout_2[42]), + .O(\blk00000003/sig000007f3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000612 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e1 ), + .I3(NlwRenamedSig_OI_dout_2[43]), + .O(\blk00000003/sig000007f4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000611 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e3 ), + .I3(NlwRenamedSig_OI_dout_2[41]), + .O(\blk00000003/sig000007f2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000610 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e5 ), + .I3(NlwRenamedSig_OI_dout_2[39]), + .O(\blk00000003/sig000007f0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060f ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e4 ), + .I3(NlwRenamedSig_OI_dout_2[40]), + .O(\blk00000003/sig000007f1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060e ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e6 ), + .I3(NlwRenamedSig_OI_dout_2[38]), + .O(\blk00000003/sig000007ef ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060d ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e8 ), + .I3(NlwRenamedSig_OI_dout_2[36]), + .O(\blk00000003/sig000007ed ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060c ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e7 ), + .I3(NlwRenamedSig_OI_dout_2[37]), + .O(\blk00000003/sig000007ee ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060b ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000e9 ), + .I3(NlwRenamedSig_OI_dout_2[35]), + .O(\blk00000003/sig000007ec ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk0000060a ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000eb ), + .I3(NlwRenamedSig_OI_dout_2[33]), + .O(\blk00000003/sig000007ea ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000609 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ea ), + .I3(NlwRenamedSig_OI_dout_2[34]), + .O(\blk00000003/sig000007eb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000608 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ec ), + .I3(NlwRenamedSig_OI_dout_2[32]), + .O(\blk00000003/sig000007e9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000607 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ee ), + .I3(NlwRenamedSig_OI_dout_2[30]), + .O(\blk00000003/sig000007e7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000606 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ed ), + .I3(NlwRenamedSig_OI_dout_2[31]), + .O(\blk00000003/sig000007e8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000605 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000ef ), + .I3(NlwRenamedSig_OI_dout_2[29]), + .O(\blk00000003/sig000007e6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000604 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f1 ), + .I3(NlwRenamedSig_OI_dout_2[27]), + .O(\blk00000003/sig000007e4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000603 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f0 ), + .I3(NlwRenamedSig_OI_dout_2[28]), + .O(\blk00000003/sig000007e5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000602 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f2 ), + .I3(NlwRenamedSig_OI_dout_2[26]), + .O(\blk00000003/sig000007e3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000601 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f4 ), + .I3(NlwRenamedSig_OI_dout_2[24]), + .O(\blk00000003/sig000007e1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk00000600 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f3 ), + .I3(NlwRenamedSig_OI_dout_2[25]), + .O(\blk00000003/sig000007e2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ff ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f5 ), + .I3(NlwRenamedSig_OI_dout_2[23]), + .O(\blk00000003/sig000007e0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fe ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f7 ), + .I3(NlwRenamedSig_OI_dout_2[21]), + .O(\blk00000003/sig000007de ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f6 ), + .I3(NlwRenamedSig_OI_dout_2[22]), + .O(\blk00000003/sig000007df ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f8 ), + .I3(NlwRenamedSig_OI_dout_2[20]), + .O(\blk00000003/sig000007dd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fa ), + .I3(NlwRenamedSig_OI_dout_2[18]), + .O(\blk00000003/sig000007db ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005fa ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000f9 ), + .I3(NlwRenamedSig_OI_dout_2[19]), + .O(\blk00000003/sig000007dc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fb ), + .I3(NlwRenamedSig_OI_dout_2[17]), + .O(\blk00000003/sig000007da ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000837 ), + .I3(NlwRenamedSig_OI_dout_2[15]), + .O(\blk00000003/sig000007d8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig000000fc ), + .I3(NlwRenamedSig_OI_dout_2[16]), + .O(\blk00000003/sig000007d9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000836 ), + .I3(NlwRenamedSig_OI_dout_2[14]), + .O(\blk00000003/sig000007d7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000835 ), + .I3(NlwRenamedSig_OI_dout_2[12]), + .O(\blk00000003/sig000007d5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000834 ), + .I3(NlwRenamedSig_OI_dout_2[13]), + .O(\blk00000003/sig000007d6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000833 ), + .I3(NlwRenamedSig_OI_dout_2[11]), + .O(\blk00000003/sig000007d4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000832 ), + .I3(NlwRenamedSig_OI_dout_2[9]), + .O(\blk00000003/sig000007d2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000831 ), + .I3(NlwRenamedSig_OI_dout_2[10]), + .O(\blk00000003/sig000007d3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005f0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000830 ), + .I3(NlwRenamedSig_OI_dout_2[8]), + .O(\blk00000003/sig000007d1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ef ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082f ), + .I3(NlwRenamedSig_OI_dout_2[6]), + .O(\blk00000003/sig000007cf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ee ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082e ), + .I3(NlwRenamedSig_OI_dout_2[7]), + .O(\blk00000003/sig000007d0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ed ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082d ), + .I3(NlwRenamedSig_OI_dout_2[5]), + .O(\blk00000003/sig000007ce ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ec ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082c ), + .I3(NlwRenamedSig_OI_dout_2[3]), + .O(\blk00000003/sig000007cc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005eb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082b ), + .I3(NlwRenamedSig_OI_dout_2[4]), + .O(\blk00000003/sig000007cd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ea ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000082a ), + .I3(NlwRenamedSig_OI_dout_2[2]), + .O(\blk00000003/sig000007cb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000829 ), + .I3(NlwRenamedSig_OI_dout_2[1]), + .O(\blk00000003/sig000007ca ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000134 ), + .I3(NlwRenamedSig_OI_dout_1[46]), + .O(\blk00000003/sig000007c8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000828 ), + .I3(NlwRenamedSig_OI_dout_2[0]), + .O(\blk00000003/sig000007c9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000135 ), + .I3(NlwRenamedSig_OI_dout_1[45]), + .O(\blk00000003/sig000007c7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000137 ), + .I3(NlwRenamedSig_OI_dout_1[43]), + .O(\blk00000003/sig000007c5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000136 ), + .I3(NlwRenamedSig_OI_dout_1[44]), + .O(\blk00000003/sig000007c6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000138 ), + .I3(NlwRenamedSig_OI_dout_1[42]), + .O(\blk00000003/sig000007c4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013a ), + .I3(NlwRenamedSig_OI_dout_1[40]), + .O(\blk00000003/sig000007c2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000139 ), + .I3(NlwRenamedSig_OI_dout_1[41]), + .O(\blk00000003/sig000007c3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005e0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013b ), + .I3(NlwRenamedSig_OI_dout_1[39]), + .O(\blk00000003/sig000007c1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005df ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013d ), + .I3(NlwRenamedSig_OI_dout_1[37]), + .O(\blk00000003/sig000007bf ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005de ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013c ), + .I3(NlwRenamedSig_OI_dout_1[38]), + .O(\blk00000003/sig000007c0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013e ), + .I3(NlwRenamedSig_OI_dout_1[36]), + .O(\blk00000003/sig000007be ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005dc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000140 ), + .I3(NlwRenamedSig_OI_dout_1[34]), + .O(\blk00000003/sig000007bc ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005db ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000013f ), + .I3(NlwRenamedSig_OI_dout_1[35]), + .O(\blk00000003/sig000007bd ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005da ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000141 ), + .I3(NlwRenamedSig_OI_dout_1[33]), + .O(\blk00000003/sig000007bb ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000143 ), + .I3(NlwRenamedSig_OI_dout_1[31]), + .O(\blk00000003/sig000007b9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000142 ), + .I3(NlwRenamedSig_OI_dout_1[32]), + .O(\blk00000003/sig000007ba ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000144 ), + .I3(NlwRenamedSig_OI_dout_1[30]), + .O(\blk00000003/sig000007b8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000146 ), + .I3(NlwRenamedSig_OI_dout_1[28]), + .O(\blk00000003/sig000007b6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000145 ), + .I3(NlwRenamedSig_OI_dout_1[29]), + .O(\blk00000003/sig000007b7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000147 ), + .I3(NlwRenamedSig_OI_dout_1[27]), + .O(\blk00000003/sig000007b5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000149 ), + .I3(NlwRenamedSig_OI_dout_1[25]), + .O(\blk00000003/sig000007b3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000148 ), + .I3(NlwRenamedSig_OI_dout_1[26]), + .O(\blk00000003/sig000007b4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014a ), + .I3(NlwRenamedSig_OI_dout_1[24]), + .O(\blk00000003/sig000007b2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005d0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014c ), + .I3(NlwRenamedSig_OI_dout_1[22]), + .O(\blk00000003/sig000007b0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014b ), + .I3(NlwRenamedSig_OI_dout_1[23]), + .O(\blk00000003/sig000007b1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ce ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014d ), + .I3(NlwRenamedSig_OI_dout_1[21]), + .O(\blk00000003/sig000007af ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014f ), + .I3(NlwRenamedSig_OI_dout_1[19]), + .O(\blk00000003/sig000007ad ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000014e ), + .I3(NlwRenamedSig_OI_dout_1[20]), + .O(\blk00000003/sig000007ae ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005cb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000150 ), + .I3(NlwRenamedSig_OI_dout_1[18]), + .O(\blk00000003/sig000007ac ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ca ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000152 ), + .I3(NlwRenamedSig_OI_dout_1[16]), + .O(\blk00000003/sig000007aa ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000151 ), + .I3(NlwRenamedSig_OI_dout_1[17]), + .O(\blk00000003/sig000007ab ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c8 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000827 ), + .I3(NlwRenamedSig_OI_dout_1[15]), + .O(\blk00000003/sig000007a9 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c7 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000826 ), + .I3(NlwRenamedSig_OI_dout_1[13]), + .O(\blk00000003/sig000007a7 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c6 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000825 ), + .I3(NlwRenamedSig_OI_dout_1[14]), + .O(\blk00000003/sig000007a8 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c5 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000824 ), + .I3(NlwRenamedSig_OI_dout_1[12]), + .O(\blk00000003/sig000007a6 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c4 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000823 ), + .I3(NlwRenamedSig_OI_dout_1[10]), + .O(\blk00000003/sig000007a4 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c3 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000822 ), + .I3(NlwRenamedSig_OI_dout_1[11]), + .O(\blk00000003/sig000007a5 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c2 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000821 ), + .I3(NlwRenamedSig_OI_dout_1[9]), + .O(\blk00000003/sig000007a3 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c1 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000820 ), + .I3(NlwRenamedSig_OI_dout_1[7]), + .O(\blk00000003/sig000007a1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005c0 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081f ), + .I3(NlwRenamedSig_OI_dout_1[8]), + .O(\blk00000003/sig000007a2 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bf ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081e ), + .I3(NlwRenamedSig_OI_dout_1[6]), + .O(\blk00000003/sig000007a0 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005be ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081d ), + .I3(NlwRenamedSig_OI_dout_1[4]), + .O(\blk00000003/sig0000079e ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bd ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081c ), + .I3(NlwRenamedSig_OI_dout_1[5]), + .O(\blk00000003/sig0000079f ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bc ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081b ), + .I3(NlwRenamedSig_OI_dout_1[3]), + .O(\blk00000003/sig0000079d ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005bb ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig0000081a ), + .I3(NlwRenamedSig_OI_dout_1[1]), + .O(\blk00000003/sig0000079b ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005ba ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000819 ), + .I3(NlwRenamedSig_OI_dout_1[2]), + .O(\blk00000003/sig0000079c ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \blk00000003/blk000005b9 ( + .I0(\blk00000003/sig0000029d ), + .I1(\blk00000003/sig000002ae ), + .I2(\blk00000003/sig00000818 ), + .I3(NlwRenamedSig_OI_dout_1[0]), + .O(\blk00000003/sig0000079a ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b8 ( + .I0(\blk00000003/sig00000799 ), + .I1(\blk00000003/sig00000795 ), + .O(\blk00000003/sig00000787 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b7 ( + .I0(ce), + .I1(\blk00000003/sig000002b0 ), + .O(\blk00000003/sig00000817 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b6 ( + .I0(ce), + .I1(\blk00000003/sig0000067a ), + .O(\blk00000003/sig00000785 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b5 ( + .I0(ce), + .I1(\blk00000003/sig00000816 ), + .O(\blk00000003/sig00000744 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005b4 ( + .I0(ce), + .I1(\blk00000003/sig00000815 ), + .O(\blk00000003/sig00000743 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b3 ( + .I0(\blk00000003/sig0000038d ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig0000038b ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005b2 ( + .I0(\blk00000003/sig0000038e ), + .I1(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000388 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005b1 ( + .I0(\blk00000003/sig00000397 ), + .I1(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000385 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk000005b0 ( + .I0(\blk00000003/sig00000386 ), + .I1(\blk00000003/sig00000397 ), + .I2(\blk00000003/sig00000814 ), + .O(\blk00000003/sig00000383 ) + ); + LUT3 #( + .INIT ( 8'hDA )) + \blk00000003/blk000005af ( + .I0(\blk00000003/sig00000387 ), + .I1(\blk00000003/sig00000814 ), + .I2(\blk00000003/sig00000397 ), + .O(\blk00000003/sig00000380 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk000005ae ( + .I0(sclr), + .I1(\blk00000003/sig00000813 ), + .O(\blk00000003/sig0000037d ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk000005ad ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig000002a7 ), + .O(\blk00000003/sig00000379 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005ac ( + .I0(\blk00000003/sig0000036e ), + .I1(nd), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000375 ) + ); + LUT4 #( + .INIT ( 16'hFF80 )) + \blk00000003/blk000005ab ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b7 ), + .O(\blk00000003/sig00000373 ) + ); + LUT4 #( + .INIT ( 16'hDFA0 )) + \blk00000003/blk000005aa ( + .I0(nd), + .I1(\blk00000003/sig0000036e ), + .I2(NlwRenamedSig_OI_rfd), + .I3(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig00000370 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000005a9 ( + .I0(nd), + .I1(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig00000368 ) + ); + LUT3 #( + .INIT ( 8'h09 )) + \blk00000003/blk000005a8 ( + .I0(\blk00000003/sig00000812 ), + .I1(\blk00000003/sig000002b7 ), + .I2(\blk00000003/sig000002b8 ), + .O(\blk00000003/sig0000036b ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a7 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000362 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a6 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000035f ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a5 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig0000035d ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a4 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000315 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000358 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk000005a3 ( + .I0(\blk00000003/sig00000315 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig00000317 ), + .O(\blk00000003/sig0000035a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a2 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig00000310 ), + .O(\blk00000003/sig00000351 ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk000005a1 ( + .I0(\blk00000003/sig00000313 ), + .I1(\blk00000003/sig0000030f ), + .O(\blk00000003/sig0000034f ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk000005a0 ( + .I0(\blk00000003/sig00000317 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000030d ), + .O(\blk00000003/sig0000034a ) + ); + LUT2 #( + .INIT ( 4'h7 )) + \blk00000003/blk0000059f ( + .I0(\blk00000003/sig00000312 ), + .I1(\blk00000003/sig00000313 ), + .O(\blk00000003/sig00000346 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059e ( + .I0(\blk00000003/sig00000311 ), + .I1(\blk00000003/sig00000313 ), + .I2(\blk00000003/sig0000031d ), + .O(\blk00000003/sig00000348 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \blk00000003/blk0000059d ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000811 ), + .O(\blk00000003/sig00000341 ) + ); + LUT3 #( + .INIT ( 8'h7F )) + \blk00000003/blk0000059c ( + .I0(\blk00000003/sig000002f3 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig00000335 ) + ); + LUT4 #( + .INIT ( 16'hEAAA )) + \blk00000003/blk0000059b ( + .I0(\blk00000003/sig00000336 ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .O(\blk00000003/sig00000333 ) + ); + LUT4 #( + .INIT ( 16'hDAAA )) + \blk00000003/blk0000059a ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig000002f3 ), + .I2(\blk00000003/sig000002f9 ), + .I3(coef_we), + .O(\blk00000003/sig00000330 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000599 ( + .I0(\blk00000003/sig0000032e ), + .I1(\blk00000003/sig00000294 ), + .O(\blk00000003/sig0000032c ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000598 ( + .I0(\blk00000003/sig00000327 ), + .I1(coef_we), + .O(\blk00000003/sig00000325 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000597 ( + .I0(coef_ld), + .I1(\blk00000003/sig00000320 ), + .O(\blk00000003/sig00000316 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000596 ( + .I0(coef_we), + .I1(\blk00000003/sig000002f9 ), + .O(\blk00000003/sig000002f4 ) + ); + LUT3 #( + .INIT ( 8'h40 )) + \blk00000003/blk00000595 ( + .I0(coef_ld), + .I1(coef_we), + .I2(\blk00000003/sig0000030b ), + .O(\blk00000003/sig0000031e ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000594 ( + .I0(\blk00000003/sig00000336 ), + .I1(\blk00000003/sig00000337 ), + .O(\blk00000003/sig00000301 ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000593 ( + .I0(\blk00000003/sig00000324 ), + .I1(\blk00000003/sig00000327 ), + .O(\blk00000003/sig000002fc ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000592 ( + .I0(\blk00000003/sig00000337 ), + .I1(\blk00000003/sig00000336 ), + .O(\blk00000003/sig000002f7 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000591 ( + .I0(\blk00000003/sig00000320 ), + .I1(\blk00000003/sig00000309 ), + .I2(coef_ld), + .O(\blk00000003/sig000002f1 ) + ); + LUT3 #( + .INIT ( 8'hBF )) + \blk00000003/blk00000590 ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig00000309 ), + .O(\blk00000003/sig000002ee ) + ); + LUT5 #( + .INIT ( 32'hFFFF2AAA )) + \blk00000003/blk0000058f ( + .I0(\blk00000003/sig0000030b ), + .I1(coef_we), + .I2(\blk00000003/sig000002f9 ), + .I3(\blk00000003/sig000002f3 ), + .I4(coef_ld), + .O(\blk00000003/sig0000030a ) + ); + LUT4 #( + .INIT ( 16'hFF8A )) + \blk00000003/blk0000058e ( + .I0(\blk00000003/sig00000309 ), + .I1(\blk00000003/sig0000030b ), + .I2(coef_we), + .I3(coef_ld), + .O(\blk00000003/sig00000308 ) + ); + LUT3 #( + .INIT ( 8'h80 )) + \blk00000003/blk0000058d ( + .I0(nd), + .I1(\blk00000003/sig00000366 ), + .I2(NlwRenamedSig_OI_rfd), + .O(\blk00000003/sig000002b6 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000058c ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig000002ae ), + .O(\blk00000003/sig000002b4 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \blk00000003/blk0000058b ( + .I0(\blk00000003/sig0000016b ), + .I1(\blk00000003/sig00000795 ), + .I2(\blk00000003/sig000002ae ), + .O(\blk00000003/sig0000016c ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \blk00000003/blk0000058a ( + .I0(sclr), + .I1(ce), + .I2(\blk00000003/sig00000795 ), + .O(\blk00000003/sig000002af ) + ); + LUT2 #( + .INIT ( 4'hD )) + \blk00000003/blk00000589 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .O(\blk00000003/sig0000029b ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \blk00000003/blk00000588 ( + .I0(\blk00000003/sig0000032b ), + .I1(\blk00000003/sig0000032e ), + .O(\blk00000003/sig00000295 ) + ); + LUT5 #( + .INIT ( 32'h00002000 )) + \blk00000003/blk00000587 ( + .I0(\blk00000003/sig00000808 ), + .I1(\blk00000003/sig00000809 ), + .I2(\blk00000003/sig0000080a ), + .I3(\blk00000003/sig0000080b ), + .I4(\blk00000003/sig0000080c ), + .O(\blk00000003/sig0000016f ) + ); + LUT3 #( + .INIT ( 8'hF4 )) + \blk00000003/blk00000586 ( + .I0(\blk00000003/sig000002a7 ), + .I1(\blk00000003/sig00000294 ), + .I2(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a6 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000585 ( + .I0(\blk00000003/sig0000037c ), + .I1(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002ac ) + ); + LUT3 #( + .INIT ( 8'hD8 )) + \blk00000003/blk00000584 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig00000161 ), + .O(\blk00000003/sig00000160 ) + ); + LUT3 #( + .INIT ( 8'h72 )) + \blk00000003/blk00000583 ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000015f ), + .O(\blk00000003/sig0000015e ) + ); + LUT4 #( + .INIT ( 16'h8F88 )) + \blk00000003/blk00000582 ( + .I0(NlwRenamedSig_OI_rfd), + .I1(nd), + .I2(\blk00000003/sig000002a9 ), + .I3(\blk00000003/sig00000296 ), + .O(\blk00000003/sig000002a8 ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \blk00000003/blk00000581 ( + .I0(\blk00000003/sig00000159 ), + .I1(\blk00000003/sig00000296 ), + .O(\blk00000003/sig0000015a ) + ); + LUT5 #( + .INIT ( 32'hCEEE8AAA )) + \blk00000003/blk00000580 ( + .I0(\blk00000003/sig00000294 ), + .I1(\blk00000003/sig000002b5 ), + .I2(\blk00000003/sig000002a5 ), + .I3(\blk00000003/sig000002a7 ), + .I4(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a4 ) + ); + LUT4 #( + .INIT ( 16'h8808 )) + \blk00000003/blk0000057f ( + .I0(\blk00000003/sig000002a5 ), + .I1(\blk00000003/sig00000810 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002b5 ), + .O(\blk00000003/sig000002a0 ) + ); + LUT4 #( + .INIT ( 16'h5540 )) + \blk00000003/blk0000057e ( + .I0(\blk00000003/sig000002b5 ), + .I1(\blk00000003/sig000002a5 ), + .I2(\blk00000003/sig000002a7 ), + .I3(\blk00000003/sig000002a3 ), + .O(\blk00000003/sig000002a2 ) + ); + LUT3 #( + .INIT ( 8'h9A )) + \blk00000003/blk0000057d ( + .I0(\blk00000003/sig0000037b ), + .I1(\blk00000003/sig0000037c ), + .I2(\blk00000003/sig000002b3 ), + .O(\blk00000003/sig000002aa ) + ); + LUT4 #( + .INIT ( 16'hFDA8 )) + \blk00000003/blk0000057c ( + .I0(ce), + .I1(\blk00000003/sig0000080e ), + .I2(\blk00000003/sig0000080f ), + .I3(\blk00000003/sig0000015d ), + .O(\blk00000003/sig0000015c ) + ); + MUXCY \blk00000003/blk0000057b ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000080d ), + .O(\blk00000003/sig00000805 ) + ); + MUXCY_L \blk00000003/blk0000057a ( + .CI(\blk00000003/sig00000805 ), + .DI(\blk00000003/sig0000080c ), + .S(\blk00000003/sig00000806 ), + .LO(\blk00000003/sig00000802 ) + ); + MUXCY_L \blk00000003/blk00000579 ( + .CI(\blk00000003/sig00000802 ), + .DI(\blk00000003/sig0000080b ), + .S(\blk00000003/sig00000803 ), + .LO(\blk00000003/sig000007ff ) + ); + MUXCY_L \blk00000003/blk00000578 ( + .CI(\blk00000003/sig000007ff ), + .DI(\blk00000003/sig0000080a ), + .S(\blk00000003/sig00000800 ), + .LO(\blk00000003/sig000007fc ) + ); + MUXCY_L \blk00000003/blk00000577 ( + .CI(\blk00000003/sig000007fc ), + .DI(\blk00000003/sig00000809 ), + .S(\blk00000003/sig000007fd ), + .LO(\blk00000003/sig000007f9 ) + ); + MUXCY_D \blk00000003/blk00000576 ( + .CI(\blk00000003/sig000007f9 ), + .DI(\blk00000003/sig00000808 ), + .S(\blk00000003/sig000007fa ), + .O(\NLW_blk00000003/blk00000576_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000576_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000575 ( + .CI(\blk00000003/sig00000805 ), + .LI(\blk00000003/sig00000806 ), + .O(\blk00000003/sig00000807 ) + ); + XORCY \blk00000003/blk00000574 ( + .CI(\blk00000003/sig00000802 ), + .LI(\blk00000003/sig00000803 ), + .O(\blk00000003/sig00000804 ) + ); + XORCY \blk00000003/blk00000573 ( + .CI(\blk00000003/sig000007ff ), + .LI(\blk00000003/sig00000800 ), + .O(\blk00000003/sig00000801 ) + ); + XORCY \blk00000003/blk00000572 ( + .CI(\blk00000003/sig000007fc ), + .LI(\blk00000003/sig000007fd ), + .O(\blk00000003/sig000007fe ) + ); + XORCY \blk00000003/blk00000571 ( + .CI(\blk00000003/sig000007f9 ), + .LI(\blk00000003/sig000007fa ), + .O(\blk00000003/sig000007fb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000570 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f8 ), + .R(sclr), + .Q(\blk00000003/sig0000004a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000056a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000569 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000568 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007f0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000567 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ef ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000566 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ee ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000565 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ed ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000564 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ec ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000563 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007eb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000562 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ea ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000561 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000560 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000055a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000559 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000558 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007e0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000557 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007df ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000556 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007de ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000555 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000554 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007dc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000553 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007db ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000552 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007da ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000551 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000550 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000054a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000549 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000548 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007d0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000547 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000546 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ce ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000545 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000544 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000543 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007cb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000542 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ca ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000541 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_2[0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000540 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[46]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[45]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[44]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[43]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[42]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[41]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000053a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[40]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000539 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[39]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000538 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007c0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[38]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000537 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bf ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[37]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000536 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007be ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[36]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000535 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bd ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[35]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000534 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bc ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[34]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000533 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007bb ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[33]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000532 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ba ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[32]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000531 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000530 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000052a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000529 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[23]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000528 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007b0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[22]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000527 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007af ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[21]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000526 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ae ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[20]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000525 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ad ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[19]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000524 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ac ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[18]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000523 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007ab ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[17]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000522 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007aa ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[16]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000521 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a9 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[15]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000520 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a8 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[14]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a7 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[13]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a6 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[12]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a5 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[11]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a4 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[10]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a3 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[9]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000051a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a2 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[8]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000519 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a1 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[7]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000518 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000007a0 ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[6]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000517 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079f ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[5]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000516 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079e ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[4]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000515 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079d ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[3]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000514 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079c ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[2]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000513 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079b ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000512 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000079a ), + .R(sclr), + .Q(NlwRenamedSig_OI_dout_1[0]) + ); + MUXCY_L \blk00000003/blk00000511 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000799 ), + .S(\blk00000003/sig00000787 ), + .LO(\blk00000003/sig00000792 ) + ); + MUXCY_L \blk00000003/blk00000510 ( + .CI(\blk00000003/sig00000792 ), + .DI(\blk00000003/sig00000798 ), + .S(\blk00000003/sig00000793 ), + .LO(\blk00000003/sig0000078f ) + ); + MUXCY_L \blk00000003/blk0000050f ( + .CI(\blk00000003/sig0000078f ), + .DI(\blk00000003/sig00000797 ), + .S(\blk00000003/sig00000790 ), + .LO(\blk00000003/sig0000078c ) + ); + MUXCY_L \blk00000003/blk0000050e ( + .CI(\blk00000003/sig0000078c ), + .DI(\blk00000003/sig00000796 ), + .S(\blk00000003/sig0000078d ), + .LO(\blk00000003/sig00000789 ) + ); + MUXCY_D \blk00000003/blk0000050d ( + .CI(\blk00000003/sig00000789 ), + .DI(\blk00000003/sig00000795 ), + .S(\blk00000003/sig0000078a ), + .O(\NLW_blk00000003/blk0000050d_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk0000050d_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000050c ( + .CI(\blk00000003/sig00000792 ), + .LI(\blk00000003/sig00000793 ), + .O(\blk00000003/sig00000794 ) + ); + XORCY \blk00000003/blk0000050b ( + .CI(\blk00000003/sig0000078f ), + .LI(\blk00000003/sig00000790 ), + .O(\blk00000003/sig00000791 ) + ); + XORCY \blk00000003/blk0000050a ( + .CI(\blk00000003/sig0000078c ), + .LI(\blk00000003/sig0000078d ), + .O(\blk00000003/sig0000078e ) + ); + XORCY \blk00000003/blk00000509 ( + .CI(\blk00000003/sig00000789 ), + .LI(\blk00000003/sig0000078a ), + .O(\blk00000003/sig0000078b ) + ); + XORCY \blk00000003/blk00000508 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000787 ), + .O(\blk00000003/sig00000788 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005e0 ), + .R(sclr), + .Q(\blk00000003/sig0000069c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004df ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005df ), + .R(sclr), + .Q(\blk00000003/sig0000069b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004de ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005de ), + .R(sclr), + .Q(\blk00000003/sig0000069a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dd ), + .R(sclr), + .Q(\blk00000003/sig00000699 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004dc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005dc ), + .R(sclr), + .Q(\blk00000003/sig00000698 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004db ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005db ), + .R(sclr), + .Q(\blk00000003/sig00000697 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004da ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005da ), + .R(sclr), + .Q(\blk00000003/sig00000696 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d9 ), + .R(sclr), + .Q(\blk00000003/sig00000695 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d8 ), + .R(sclr), + .Q(\blk00000003/sig00000694 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d7 ), + .R(sclr), + .Q(\blk00000003/sig00000693 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d6 ), + .R(sclr), + .Q(\blk00000003/sig00000692 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d5 ), + .R(sclr), + .Q(\blk00000003/sig00000691 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d4 ), + .R(sclr), + .Q(\blk00000003/sig00000690 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d3 ), + .R(sclr), + .Q(\blk00000003/sig0000068f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d2 ), + .R(sclr), + .Q(\blk00000003/sig0000068e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig000005d1 ), + .R(sclr), + .Q(\blk00000003/sig0000068d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004d0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050c ), + .R(sclr), + .Q(\blk00000003/sig0000074c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050b ), + .R(sclr), + .Q(\blk00000003/sig0000074b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ce ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000050a ), + .R(sclr), + .Q(\blk00000003/sig0000074a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000509 ), + .R(sclr), + .Q(\blk00000003/sig00000749 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000508 ), + .R(sclr), + .Q(\blk00000003/sig00000748 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004cb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000507 ), + .R(sclr), + .Q(\blk00000003/sig00000747 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ca ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000506 ), + .R(sclr), + .Q(\blk00000003/sig00000746 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000505 ), + .R(sclr), + .Q(\blk00000003/sig00000745 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000630 ), + .R(sclr), + .Q(\blk00000003/sig000006ac ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062f ), + .R(sclr), + .Q(\blk00000003/sig000006ab ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062e ), + .R(sclr), + .Q(\blk00000003/sig000006aa ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062d ), + .R(sclr), + .Q(\blk00000003/sig000006a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062c ), + .R(sclr), + .Q(\blk00000003/sig000006a8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062b ), + .R(sclr), + .Q(\blk00000003/sig000006a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000062a ), + .R(sclr), + .Q(\blk00000003/sig000006a6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000629 ), + .R(sclr), + .Q(\blk00000003/sig000006a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004c0 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000628 ), + .R(sclr), + .Q(\blk00000003/sig000006a4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bf ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000627 ), + .R(sclr), + .Q(\blk00000003/sig000006a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004be ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000626 ), + .R(sclr), + .Q(\blk00000003/sig000006a2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bd ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000625 ), + .R(sclr), + .Q(\blk00000003/sig000006a1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bc ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000624 ), + .R(sclr), + .Q(\blk00000003/sig000006a0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004bb ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000623 ), + .R(sclr), + .Q(\blk00000003/sig0000069f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ba ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000622 ), + .R(sclr), + .Q(\blk00000003/sig0000069e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b9 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000621 ), + .R(sclr), + .Q(\blk00000003/sig0000069d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b8 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055e ), + .R(sclr), + .Q(\blk00000003/sig00000754 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b7 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055d ), + .R(sclr), + .Q(\blk00000003/sig00000753 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b6 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055c ), + .R(sclr), + .Q(\blk00000003/sig00000752 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b5 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055b ), + .R(sclr), + .Q(\blk00000003/sig00000751 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b4 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig0000055a ), + .R(sclr), + .Q(\blk00000003/sig00000750 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b3 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000559 ), + .R(sclr), + .Q(\blk00000003/sig0000074f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b2 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000558 ), + .R(sclr), + .Q(\blk00000003/sig0000074e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b1 ( + .C(clk), + .CE(\blk00000003/sig00000785 ), + .D(\blk00000003/sig00000557 ), + .R(sclr), + .Q(\blk00000003/sig0000074d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004b0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000566 ), + .R(sclr), + .Q(\blk00000003/sig00000774 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004af ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000565 ), + .R(sclr), + .Q(\blk00000003/sig00000773 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ae ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000564 ), + .R(sclr), + .Q(\blk00000003/sig00000772 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ad ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000563 ), + .R(sclr), + .Q(\blk00000003/sig00000771 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ac ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000562 ), + .R(sclr), + .Q(\blk00000003/sig00000770 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004ab ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000561 ), + .R(sclr), + .Q(\blk00000003/sig0000076f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004aa ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000560 ), + .R(sclr), + .Q(\blk00000003/sig0000076e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a9 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000055f ), + .R(sclr), + .Q(\blk00000003/sig0000076d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a8 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d4 ), + .R(sclr), + .Q(\blk00000003/sig0000075c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a7 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d3 ), + .R(sclr), + .Q(\blk00000003/sig0000075b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a6 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d2 ), + .R(sclr), + .Q(\blk00000003/sig0000075a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a5 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d1 ), + .R(sclr), + .Q(\blk00000003/sig00000759 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a4 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004d0 ), + .R(sclr), + .Q(\blk00000003/sig00000758 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a3 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cf ), + .R(sclr), + .Q(\blk00000003/sig00000757 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a2 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004ce ), + .R(sclr), + .Q(\blk00000003/sig00000756 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a1 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000004cd ), + .R(sclr), + .Q(\blk00000003/sig00000755 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004a0 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000588 ), + .R(sclr), + .Q(\blk00000003/sig00000784 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000587 ), + .R(sclr), + .Q(\blk00000003/sig00000783 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000586 ), + .R(sclr), + .Q(\blk00000003/sig00000782 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000585 ), + .R(sclr), + .Q(\blk00000003/sig00000781 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000584 ), + .R(sclr), + .Q(\blk00000003/sig00000780 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000583 ), + .R(sclr), + .Q(\blk00000003/sig0000077f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000049a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000582 ), + .R(sclr), + .Q(\blk00000003/sig0000077e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000499 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000581 ), + .R(sclr), + .Q(\blk00000003/sig0000077d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000498 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000526 ), + .R(sclr), + .Q(\blk00000003/sig00000764 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000497 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000525 ), + .R(sclr), + .Q(\blk00000003/sig00000763 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000496 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000524 ), + .R(sclr), + .Q(\blk00000003/sig00000762 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000495 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000523 ), + .R(sclr), + .Q(\blk00000003/sig00000761 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000494 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000522 ), + .R(sclr), + .Q(\blk00000003/sig00000760 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000493 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000521 ), + .R(sclr), + .Q(\blk00000003/sig0000075f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000492 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000520 ), + .R(sclr), + .Q(\blk00000003/sig0000075e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000491 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000051f ), + .R(sclr), + .Q(\blk00000003/sig0000075d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000385 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000640 ), + .R(sclr), + .Q(\blk00000003/sig000006ec ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000384 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063f ), + .R(sclr), + .Q(\blk00000003/sig000006eb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000383 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063e ), + .R(sclr), + .Q(\blk00000003/sig000006ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000382 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063d ), + .R(sclr), + .Q(\blk00000003/sig000006e9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000381 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063c ), + .R(sclr), + .Q(\blk00000003/sig000006e8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000380 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063b ), + .R(sclr), + .Q(\blk00000003/sig000006e7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000063a ), + .R(sclr), + .Q(\blk00000003/sig000006e6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000639 ), + .R(sclr), + .Q(\blk00000003/sig000006e5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000638 ), + .R(sclr), + .Q(\blk00000003/sig000006e4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000637 ), + .R(sclr), + .Q(\blk00000003/sig000006e3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000636 ), + .R(sclr), + .Q(\blk00000003/sig000006e2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000037a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000635 ), + .R(sclr), + .Q(\blk00000003/sig000006e1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000379 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000634 ), + .R(sclr), + .Q(\blk00000003/sig000006e0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000378 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000633 ), + .R(sclr), + .Q(\blk00000003/sig000006df ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000377 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000632 ), + .R(sclr), + .Q(\blk00000003/sig000006de ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000376 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000631 ), + .R(sclr), + .Q(\blk00000003/sig000006dd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000375 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005a0 ), + .R(sclr), + .Q(\blk00000003/sig000006bc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000374 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059f ), + .R(sclr), + .Q(\blk00000003/sig000006bb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000373 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059e ), + .R(sclr), + .Q(\blk00000003/sig000006ba ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000372 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059d ), + .R(sclr), + .Q(\blk00000003/sig000006b9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000371 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059c ), + .R(sclr), + .Q(\blk00000003/sig000006b8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000370 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059b ), + .R(sclr), + .Q(\blk00000003/sig000006b7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig0000059a ), + .R(sclr), + .Q(\blk00000003/sig000006b6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000599 ), + .R(sclr), + .Q(\blk00000003/sig000006b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000598 ), + .R(sclr), + .Q(\blk00000003/sig000006b4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000597 ), + .R(sclr), + .Q(\blk00000003/sig000006b3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000596 ), + .R(sclr), + .Q(\blk00000003/sig000006b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000036a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000595 ), + .R(sclr), + .Q(\blk00000003/sig000006b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000369 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000594 ), + .R(sclr), + .Q(\blk00000003/sig000006b0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000368 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000593 ), + .R(sclr), + .Q(\blk00000003/sig000006af ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000367 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000592 ), + .R(sclr), + .Q(\blk00000003/sig000006ae ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000366 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig00000591 ), + .R(sclr), + .Q(\blk00000003/sig000006ad ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000365 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000660 ), + .R(sclr), + .Q(\blk00000003/sig0000070c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000364 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065f ), + .R(sclr), + .Q(\blk00000003/sig0000070b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000363 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065e ), + .R(sclr), + .Q(\blk00000003/sig0000070a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000362 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065d ), + .R(sclr), + .Q(\blk00000003/sig00000709 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000361 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065c ), + .R(sclr), + .Q(\blk00000003/sig00000708 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000360 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065b ), + .R(sclr), + .Q(\blk00000003/sig00000707 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035f ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig0000065a ), + .R(sclr), + .Q(\blk00000003/sig00000706 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035e ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000659 ), + .R(sclr), + .Q(\blk00000003/sig00000705 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035d ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000658 ), + .R(sclr), + .Q(\blk00000003/sig00000704 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035c ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000657 ), + .R(sclr), + .Q(\blk00000003/sig00000703 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035b ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000656 ), + .R(sclr), + .Q(\blk00000003/sig00000702 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000035a ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000655 ), + .R(sclr), + .Q(\blk00000003/sig00000701 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000359 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000654 ), + .R(sclr), + .Q(\blk00000003/sig00000700 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000358 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000653 ), + .R(sclr), + .Q(\blk00000003/sig000006ff ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000357 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000652 ), + .R(sclr), + .Q(\blk00000003/sig000006fe ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000356 ( + .C(clk), + .CE(\blk00000003/sig00000744 ), + .D(\blk00000003/sig00000651 ), + .R(sclr), + .Q(\blk00000003/sig000006fd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000355 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005f0 ), + .R(sclr), + .Q(\blk00000003/sig000006cc ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000354 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ef ), + .R(sclr), + .Q(\blk00000003/sig000006cb ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000353 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ee ), + .R(sclr), + .Q(\blk00000003/sig000006ca ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000352 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ed ), + .R(sclr), + .Q(\blk00000003/sig000006c9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000351 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ec ), + .R(sclr), + .Q(\blk00000003/sig000006c8 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000350 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005eb ), + .R(sclr), + .Q(\blk00000003/sig000006c7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034f ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005ea ), + .R(sclr), + .Q(\blk00000003/sig000006c6 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034e ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e9 ), + .R(sclr), + .Q(\blk00000003/sig000006c5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034d ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e8 ), + .R(sclr), + .Q(\blk00000003/sig000006c4 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034c ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e7 ), + .R(sclr), + .Q(\blk00000003/sig000006c3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034b ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e6 ), + .R(sclr), + .Q(\blk00000003/sig000006c2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000034a ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e5 ), + .R(sclr), + .Q(\blk00000003/sig000006c1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000349 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e4 ), + .R(sclr), + .Q(\blk00000003/sig000006c0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000348 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e3 ), + .R(sclr), + .Q(\blk00000003/sig000006bf ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000347 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e2 ), + .R(sclr), + .Q(\blk00000003/sig000006be ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000346 ( + .C(clk), + .CE(\blk00000003/sig00000743 ), + .D(\blk00000003/sig000005e1 ), + .R(sclr), + .Q(\blk00000003/sig000006bd ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038e ), + .R(sclr), + .Q(\blk00000003/sig00000685 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000038d ), + .R(sclr), + .Q(\blk00000003/sig00000683 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000390 ), + .R(sclr), + .Q(\blk00000003/sig00000681 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000394 ), + .R(sclr), + .Q(\blk00000003/sig0000067f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000039a ), + .R(sclr), + .Q(\blk00000003/sig0000067d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000012a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068c ), + .R(sclr), + .Q(\blk00000003/sig0000067b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000129 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000068b ), + .R(sclr), + .Q(\blk00000003/sig00000679 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000128 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000387 ), + .R(sclr), + .Q(\blk00000003/sig00000689 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000127 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000386 ), + .R(sclr), + .Q(\blk00000003/sig00000687 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000126 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000689 ), + .R(sclr), + .Q(\blk00000003/sig0000068a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000125 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000687 ), + .R(sclr), + .Q(\blk00000003/sig00000688 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000124 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000685 ), + .R(sclr), + .Q(\blk00000003/sig00000686 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000123 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000683 ), + .R(sclr), + .Q(\blk00000003/sig00000684 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000122 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000681 ), + .R(sclr), + .Q(\blk00000003/sig00000682 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000121 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067f ), + .R(sclr), + .Q(\blk00000003/sig00000680 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000120 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067d ), + .R(sclr), + .Q(\blk00000003/sig0000067e ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000067b ), + .R(sclr), + .Q(\blk00000003/sig0000067c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000679 ), + .R(sclr), + .Q(\blk00000003/sig0000067a ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000677 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000678 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000307 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000677 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000673 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000676 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000011a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000672 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000675 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000119 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000671 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000674 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000118 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000340 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000673 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000117 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000033d ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000672 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000116 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000339 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000671 ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000115 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000115_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000115_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , +\blk00000003/sig00000654 , \blk00000003/sig00000655 , \blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , +\blk00000003/sig00000659 , \blk00000003/sig0000065a , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , +\blk00000003/sig0000065e , \blk00000003/sig0000065f , \blk00000003/sig00000660 }), + .BCOUT({\NLW_blk00000003/blk00000115_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000115_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000115_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .C({\NLW_blk00000003/blk00000115_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000115_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 , +\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 , +\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d , +\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 }), + .PCOUT({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000115_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000115_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000115_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000114 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000114_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000114_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , +\blk00000003/sig00000634 , \blk00000003/sig00000635 , \blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , +\blk00000003/sig00000639 , \blk00000003/sig0000063a , \blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , +\blk00000003/sig0000063e , \blk00000003/sig0000063f , \blk00000003/sig00000640 }), + .BCOUT({\NLW_blk00000003/blk00000114_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000114_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000114_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .C({\NLW_blk00000003/blk00000114_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000114_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000641 , \blk00000003/sig00000642 , \blk00000003/sig00000643 , +\blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 , \blk00000003/sig00000647 , \blk00000003/sig00000648 , +\blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b , \blk00000003/sig0000064c , \blk00000003/sig0000064d , +\blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 }), + .PCOUT({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000114_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000114_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000114_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000113 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000113_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000113_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 , \blk00000003/sig000005e3 , +\blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 , \blk00000003/sig000005e7 , \blk00000003/sig000005e8 , +\blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb , \blk00000003/sig000005ec , \blk00000003/sig000005ed , +\blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 }), + .BCOUT({\NLW_blk00000003/blk00000113_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000113_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000113_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 , +\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa , +\blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe , \blk00000003/sig000005ff , +\blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 , \blk00000003/sig00000604 , +\blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 , \blk00000003/sig00000609 , +\blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d , \blk00000003/sig0000060e , +\blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 , \blk00000003/sig00000613 , +\blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 , \blk00000003/sig00000618 , +\blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c , \blk00000003/sig0000061d , +\blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 }), + .C({\NLW_blk00000003/blk00000113_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000113_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000621 , \blk00000003/sig00000622 , \blk00000003/sig00000623 , +\blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 , \blk00000003/sig00000627 , \blk00000003/sig00000628 , +\blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b , \blk00000003/sig0000062c , \blk00000003/sig0000062d , +\blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 }), + .PCOUT({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000113_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000113_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000113_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000112 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000112_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000112_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 , +\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 , +\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d , +\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }), + .BCOUT({\NLW_blk00000003/blk00000112_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000112_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000112_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 , +\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa , +\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af , +\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , +\blk00000003/sig000005b5 , \blk00000003/sig000005b6 , \blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , +\blk00000003/sig000005ba , \blk00000003/sig000005bb , \blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , +\blk00000003/sig000005bf , \blk00000003/sig000005c0 , \blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , +\blk00000003/sig000005c4 , \blk00000003/sig000005c5 , \blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , +\blk00000003/sig000005c9 , \blk00000003/sig000005ca , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , +\blk00000003/sig000005ce , \blk00000003/sig000005cf , \blk00000003/sig000005d0 }), + .C({\NLW_blk00000003/blk00000112_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000112_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 , +\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 , +\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd , +\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 }), + .PCOUT({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk00000112_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000112_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000112_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000111 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000111_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000111_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , \blk00000003/sig00000581 , +\blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 , \blk00000003/sig00000585 , +\blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 }), + .BCOUT({\NLW_blk00000003/blk00000111_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000111_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000111_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .C({\NLW_blk00000003/blk00000111_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000111_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , \blk00000003/sig00000589 , +\blk00000003/sig00000589 , \blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , +\blk00000003/sig0000058e , \blk00000003/sig0000058f , \blk00000003/sig00000590 }), + .PCOUT({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000111_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000111_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000111_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000110 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000110_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000110_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , \blk00000003/sig0000055f , +\blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 , \blk00000003/sig00000562 , \blk00000003/sig00000563 , +\blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 }), + .BCOUT({\NLW_blk00000003/blk00000110_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000110_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000110_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .C({\NLW_blk00000003/blk00000110_C<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_C<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk00000110_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_P<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , \blk00000003/sig00000567 , +\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b , +\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e }), + .PCOUT({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .A({\blk00000003/sig0000056f , \blk00000003/sig00000570 , \blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , +\blk00000003/sig00000574 , \blk00000003/sig00000575 , \blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , +\blk00000003/sig00000579 , \blk00000003/sig0000057a , \blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , +\blk00000003/sig0000057e , \blk00000003/sig0000057f , \blk00000003/sig00000580 }), + .M({\NLW_blk00000003/blk00000110_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000110_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000110_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010f ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010f_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010f_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , \blk00000003/sig0000051f , +\blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 , \blk00000003/sig00000522 , \blk00000003/sig00000523 , +\blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 }), + .BCOUT({\NLW_blk00000003/blk0000010f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010f_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b , +\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e , \blk00000003/sig0000052f , \blk00000003/sig00000530 , +\blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 , \blk00000003/sig00000534 , \blk00000003/sig00000535 , +\blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 , \blk00000003/sig00000539 , \blk00000003/sig0000053a , +\blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d , \blk00000003/sig0000053e , \blk00000003/sig0000053f , +\blk00000003/sig00000540 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 , +\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 , +\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e , +\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 , +\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 }), + .C({\NLW_blk00000003/blk0000010f_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010f_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , \blk00000003/sig00000557 , +\blk00000003/sig00000557 , \blk00000003/sig00000558 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , +\blk00000003/sig0000055c , \blk00000003/sig0000055d , \blk00000003/sig0000055e }), + .PCOUT({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010f_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010f_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010f_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010e ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010e_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010e_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , \blk00000003/sig000004cd , +\blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , +\blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 }), + .BCOUT({\NLW_blk00000003/blk0000010e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010e_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , +\blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc , \blk00000003/sig000004dd , \blk00000003/sig000004de , +\blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 , \blk00000003/sig000004e2 , \blk00000003/sig000004e3 , +\blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , +\blk00000003/sig000004e9 , \blk00000003/sig000004ea , \blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , +\blk00000003/sig000004ee , \blk00000003/sig000004ef , \blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , +\blk00000003/sig000004f3 , \blk00000003/sig000004f4 , \blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , +\blk00000003/sig000004f8 , \blk00000003/sig000004f9 , \blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , +\blk00000003/sig000004fd , \blk00000003/sig000004fe , \blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , +\blk00000003/sig00000502 , \blk00000003/sig00000503 , \blk00000003/sig00000504 }), + .C({\NLW_blk00000003/blk0000010e_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010e_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , \blk00000003/sig00000505 , +\blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 , \blk00000003/sig00000509 , +\blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c }), + .PCOUT({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .A({\blk00000003/sig0000050d , \blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , +\blk00000003/sig00000512 , \blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , +\blk00000003/sig00000517 , \blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , +\blk00000003/sig0000051c , \blk00000003/sig0000051d , \blk00000003/sig0000051e }), + .M({\NLW_blk00000003/blk0000010e_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010e_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010e_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010d ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010d_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010d_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000047d , \blk00000003/sig0000047e , \blk00000003/sig0000047f , +\blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 , \blk00000003/sig00000484 , +\blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 , \blk00000003/sig00000489 , +\blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }), + .BCOUT({\NLW_blk00000003/blk0000010d_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010d_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010d_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010d_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , +\blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , +\blk00000003/sig00000495 , \blk00000003/sig00000496 , \blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , +\blk00000003/sig0000049a , \blk00000003/sig0000049b , \blk00000003/sig0000049c }), + .PCOUT({\blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 , \blk00000003/sig000004a1 , +\blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 , \blk00000003/sig000004a6 , +\blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa , \blk00000003/sig000004ab , +\blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af , \blk00000003/sig000004b0 , +\blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 , \blk00000003/sig000004b5 , +\blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 , \blk00000003/sig000004ba , +\blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , +\blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , +\blk00000003/sig000004c5 , \blk00000003/sig000004c6 , \blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , +\blk00000003/sig000004ca , \blk00000003/sig000004cb , \blk00000003/sig000004cc }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010d_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010d_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010d_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010c ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010c_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010c_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , +\blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , +\blk00000003/sig00000435 , \blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , +\blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c }), + .BCOUT({\NLW_blk00000003/blk0000010c_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010c_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010c_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010c_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , +\blk00000003/sig00000440 , \blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , +\blk00000003/sig00000445 , \blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , +\blk00000003/sig0000044a , \blk00000003/sig0000044b , \blk00000003/sig0000044c }), + .PCOUT({\blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 , \blk00000003/sig00000451 , +\blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 , \blk00000003/sig00000455 , \blk00000003/sig00000456 , +\blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 , \blk00000003/sig0000045a , \blk00000003/sig0000045b , +\blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 , +\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 , +\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a , +\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f , +\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 , +\blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 , \blk00000003/sig00000479 , +\blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010c_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010c_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010c_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010b ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010b_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010b_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , \blk00000003/sig000003ed , +\blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 , \blk00000003/sig000003f1 , +\blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 }), + .BCOUT({\NLW_blk00000003/blk0000010b_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010b_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010b_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010b_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , \blk00000003/sig000003f5 , +\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 , +\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc }), + .PCOUT({\blk00000003/sig000003fd , \blk00000003/sig000003fe , \blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , +\blk00000003/sig00000402 , \blk00000003/sig00000403 , \blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , +\blk00000003/sig00000407 , \blk00000003/sig00000408 , \blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , +\blk00000003/sig0000040c , \blk00000003/sig0000040d , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , +\blk00000003/sig00000411 , \blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , +\blk00000003/sig00000416 , \blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , +\blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , +\blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , +\blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , +\blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010b_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010b_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010b_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk0000010a ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk0000010a_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk0000010a_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , \blk00000003/sig0000039b , +\blk00000003/sig0000039b , \blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , +\blk00000003/sig000003a0 , \blk00000003/sig000003a1 , \blk00000003/sig000003a2 }), + .BCOUT({\NLW_blk00000003/blk0000010a_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000010a_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\NLW_blk00000003/blk0000010a_C<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_C<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_C<0>_UNCONNECTED }), + .P({\NLW_blk00000003/blk0000010a_P<47>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<46>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<45>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<44>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<43>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<42>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<41>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<40>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<39>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<38>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<37>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<36>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_P<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_P<0>_UNCONNECTED }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , \blk00000003/sig000003a3 , +\blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 , \blk00000003/sig000003a7 , +\blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa }), + .PCOUT({\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , +\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 , +\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 , +\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be , +\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 , +\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , +\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , +\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , +\blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , +\blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da }), + .A({\blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , +\blk00000003/sig000003e0 , \blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , +\blk00000003/sig000003e5 , \blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , +\blk00000003/sig000003ea , \blk00000003/sig000003eb , \blk00000003/sig000003ec }), + .M({\NLW_blk00000003/blk0000010a_M<35>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<34>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<33>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<32>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<31>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<30>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<29>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<28>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<27>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<26>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<25>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<24>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<23>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<22>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<21>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<20>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<19>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<18>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<17>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<16>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<15>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<14>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<13>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<12>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<11>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<10>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<9>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<8>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<7>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<6>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<5>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<4>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<3>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<2>_UNCONNECTED , \NLW_blk00000003/blk0000010a_M<1>_UNCONNECTED , +\NLW_blk00000003/blk0000010a_M<0>_UNCONNECTED }) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000109 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000039a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000108 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000398 ), + .Q(\blk00000003/sig00000399 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000107 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000397 ), + .Q(\blk00000003/sig0000038f ) + ); + XORCY \blk00000003/blk00000106 ( + .CI(\blk00000003/sig00000392 ), + .LI(\blk00000003/sig00000395 ), + .O(\blk00000003/sig00000396 ) + ); + MUXCY_D \blk00000003/blk00000105 ( + .CI(\blk00000003/sig00000392 ), + .DI(\blk00000003/sig00000394 ), + .S(\blk00000003/sig00000395 ), + .O(\NLW_blk00000003/blk00000105_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000105_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000104 ( + .CI(\blk00000003/sig0000038f ), + .LI(\blk00000003/sig00000391 ), + .O(\blk00000003/sig00000393 ) + ); + MUXCY_L \blk00000003/blk00000103 ( + .CI(\blk00000003/sig0000038f ), + .DI(\blk00000003/sig00000390 ), + .S(\blk00000003/sig00000391 ), + .LO(\blk00000003/sig00000392 ) + ); + MUXCY_L \blk00000003/blk00000102 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000038e ), + .S(\blk00000003/sig00000388 ), + .LO(\blk00000003/sig0000038a ) + ); + MUXCY_D \blk00000003/blk00000101 ( + .CI(\blk00000003/sig0000038a ), + .DI(\blk00000003/sig0000038d ), + .S(\blk00000003/sig0000038b ), + .O(\NLW_blk00000003/blk00000101_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000101_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000100 ( + .CI(\blk00000003/sig0000038a ), + .LI(\blk00000003/sig0000038b ), + .O(\blk00000003/sig0000038c ) + ); + XORCY \blk00000003/blk000000ff ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000388 ), + .O(\blk00000003/sig00000389 ) + ); + MUXCY_L \blk00000003/blk000000fe ( + .CI(\blk00000003/sig0000037f ), + .DI(\blk00000003/sig00000387 ), + .S(\blk00000003/sig00000380 ), + .LO(\blk00000003/sig00000382 ) + ); + MUXCY_D \blk00000003/blk000000fd ( + .CI(\blk00000003/sig00000382 ), + .DI(\blk00000003/sig00000386 ), + .S(\blk00000003/sig00000383 ), + .O(\NLW_blk00000003/blk000000fd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000fd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000fc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000385 ), + .O(\blk00000003/sig0000037f ) + ); + XORCY \blk00000003/blk000000fb ( + .CI(\blk00000003/sig00000382 ), + .LI(\blk00000003/sig00000383 ), + .O(\blk00000003/sig00000384 ) + ); + XORCY \blk00000003/blk000000fa ( + .CI(\blk00000003/sig0000037f ), + .LI(\blk00000003/sig00000380 ), + .O(\blk00000003/sig00000381 ) + ); + FDE \blk00000003/blk000000f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000037d ), + .Q(\blk00000003/sig0000037e ) + ); + MUXCY_L \blk00000003/blk000000f8 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000037c ), + .S(\blk00000003/sig00000376 ), + .LO(\blk00000003/sig00000378 ) + ); + MUXCY_D \blk00000003/blk000000f7 ( + .CI(\blk00000003/sig00000378 ), + .DI(\blk00000003/sig0000037b ), + .S(\blk00000003/sig00000379 ), + .O(\NLW_blk00000003/blk000000f7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000f6 ( + .CI(\blk00000003/sig00000378 ), + .LI(\blk00000003/sig00000379 ), + .O(\blk00000003/sig0000037a ) + ); + XORCY \blk00000003/blk000000f5 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000376 ), + .O(\blk00000003/sig00000377 ) + ); + MUXCY_L \blk00000003/blk000000f4 ( + .CI(\blk00000003/sig0000036f ), + .DI(\blk00000003/sig000002b8 ), + .S(\blk00000003/sig00000370 ), + .LO(\blk00000003/sig00000372 ) + ); + MUXCY_D \blk00000003/blk000000f3 ( + .CI(\blk00000003/sig00000372 ), + .DI(\blk00000003/sig000002b7 ), + .S(\blk00000003/sig00000373 ), + .O(\NLW_blk00000003/blk000000f3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000f3_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000f2 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000375 ), + .O(\blk00000003/sig0000036f ) + ); + XORCY \blk00000003/blk000000f1 ( + .CI(\blk00000003/sig00000372 ), + .LI(\blk00000003/sig00000373 ), + .O(\blk00000003/sig00000374 ) + ); + XORCY \blk00000003/blk000000f0 ( + .CI(\blk00000003/sig0000036f ), + .LI(\blk00000003/sig00000370 ), + .O(\blk00000003/sig00000371 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000036d ), + .R(sclr), + .Q(\blk00000003/sig0000036e ) + ); + MUXCY_D \blk00000003/blk000000ee ( + .CI(\blk00000003/sig0000036a ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036c ), + .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ), + .LO(\blk00000003/sig0000036d ) + ); + MUXCY_D \blk00000003/blk000000ed ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000036b ), + .O(\blk00000003/sig00000367 ), + .LO(\NLW_blk00000003/blk000000ed_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000ec ( + .CI(\blk00000003/sig00000369 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000365 ) + ); + MUXCY \blk00000003/blk000000eb ( + .CI(\blk00000003/sig00000369 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig0000036a ) + ); + MUXCY_D \blk00000003/blk000000ea ( + .CI(\blk00000003/sig00000367 ), + .DI(\blk00000003/sig00000366 ), + .S(\blk00000003/sig00000368 ), + .O(\blk00000003/sig00000369 ), + .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000365 ), + .R(sclr), + .Q(\blk00000003/sig00000366 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000356 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002f0 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000355 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ed ) + ); + MUXCY_D \blk00000003/blk000000e6 ( + .CI(\blk00000003/sig000002ed ), + .DI(\blk00000003/sig00000363 ), + .S(\blk00000003/sig00000364 ), + .O(\blk00000003/sig00000360 ), + .LO(\NLW_blk00000003/blk000000e6_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e5 ( + .CI(\blk00000003/sig00000360 ), + .DI(\blk00000003/sig00000361 ), + .S(\blk00000003/sig00000362 ), + .O(\blk00000003/sig0000035e ), + .LO(\NLW_blk00000003/blk000000e5_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e4 ( + .CI(\blk00000003/sig0000035e ), + .DI(\blk00000003/sig00000354 ), + .S(\blk00000003/sig0000035f ), + .O(\blk00000003/sig0000035b ), + .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e3 ( + .CI(\blk00000003/sig0000035b ), + .DI(\blk00000003/sig0000035c ), + .S(\blk00000003/sig0000035d ), + .O(\blk00000003/sig00000359 ), + .LO(\NLW_blk00000003/blk000000e3_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e2 ( + .CI(\blk00000003/sig00000359 ), + .DI(\blk00000003/sig00000319 ), + .S(\blk00000003/sig0000035a ), + .O(\blk00000003/sig00000357 ), + .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000e1 ( + .CI(\blk00000003/sig00000357 ), + .DI(\blk00000003/sig000002ea ), + .S(\blk00000003/sig00000358 ), + .O(\NLW_blk00000003/blk000000e1_O_UNCONNECTED ), + .LO(\blk00000003/sig00000355 ) + ); + XORCY \blk00000003/blk000000e0 ( + .CI(\blk00000003/sig00000355 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000356 ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk000000df ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000344 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000354 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000de ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000343 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000029e ) + ); + MUXCY_D \blk00000003/blk000000dd ( + .CI(\blk00000003/sig0000029e ), + .DI(\blk00000003/sig00000352 ), + .S(\blk00000003/sig00000353 ), + .O(\blk00000003/sig00000350 ), + .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000dc ( + .CI(\blk00000003/sig00000350 ), + .DI(\blk00000003/sig0000029f ), + .S(\blk00000003/sig00000351 ), + .O(\blk00000003/sig0000034e ), + .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000db ( + .CI(\blk00000003/sig0000034e ), + .DI(\blk00000003/sig0000029e ), + .S(\blk00000003/sig0000034f ), + .O(\blk00000003/sig0000034b ), + .LO(\NLW_blk00000003/blk000000db_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000da ( + .CI(\blk00000003/sig0000034b ), + .DI(\blk00000003/sig0000034c ), + .S(\blk00000003/sig0000034d ), + .O(\blk00000003/sig00000349 ), + .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d9 ( + .CI(\blk00000003/sig00000349 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig0000034a ), + .O(\blk00000003/sig00000345 ), + .LO(\NLW_blk00000003/blk000000d9_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000d8 ( + .CI(\blk00000003/sig00000347 ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig00000348 ), + .O(\NLW_blk00000003/blk000000d8_O_UNCONNECTED ), + .LO(\blk00000003/sig00000343 ) + ); + MUXCY_D \blk00000003/blk000000d7 ( + .CI(\blk00000003/sig00000345 ), + .DI(\blk00000003/sig0000030e ), + .S(\blk00000003/sig00000346 ), + .O(\blk00000003/sig00000347 ), + .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d6 ( + .CI(\blk00000003/sig00000343 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000344 ) + ); + FDE \blk00000003/blk000000d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000341 ), + .Q(\blk00000003/sig00000342 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000340 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000d3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031f ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000338 ) + ); + XORCY \blk00000003/blk000000d2 ( + .CI(\blk00000003/sig0000033b ), + .LI(\blk00000003/sig0000033e ), + .O(\blk00000003/sig0000033f ) + ); + MUXCY_D \blk00000003/blk000000d1 ( + .CI(\blk00000003/sig0000033b ), + .DI(\blk00000003/sig0000033d ), + .S(\blk00000003/sig0000033e ), + .O(\NLW_blk00000003/blk000000d1_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000d0 ( + .CI(\blk00000003/sig00000338 ), + .LI(\blk00000003/sig0000033a ), + .O(\blk00000003/sig0000033c ) + ); + MUXCY_L \blk00000003/blk000000cf ( + .CI(\blk00000003/sig00000338 ), + .DI(\blk00000003/sig00000339 ), + .S(\blk00000003/sig0000033a ), + .LO(\blk00000003/sig0000033b ) + ); + MUXCY_L \blk00000003/blk000000ce ( + .CI(\blk00000003/sig0000032f ), + .DI(\blk00000003/sig00000337 ), + .S(\blk00000003/sig00000330 ), + .LO(\blk00000003/sig00000332 ) + ); + MUXCY_D \blk00000003/blk000000cd ( + .CI(\blk00000003/sig00000332 ), + .DI(\blk00000003/sig00000336 ), + .S(\blk00000003/sig00000333 ), + .O(\NLW_blk00000003/blk000000cd_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk000000cc ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig00000335 ), + .O(\blk00000003/sig0000032f ) + ); + XORCY \blk00000003/blk000000cb ( + .CI(\blk00000003/sig00000332 ), + .LI(\blk00000003/sig00000333 ), + .O(\blk00000003/sig00000334 ) + ); + XORCY \blk00000003/blk000000ca ( + .CI(\blk00000003/sig0000032f ), + .LI(\blk00000003/sig00000330 ), + .O(\blk00000003/sig00000331 ) + ); + MUXCY_L \blk00000003/blk000000c9 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig0000032e ), + .S(\blk00000003/sig0000032c ), + .LO(\blk00000003/sig00000328 ) + ); + XORCY \blk00000003/blk000000c8 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000032c ), + .O(\blk00000003/sig0000032d ) + ); + MUXCY_D \blk00000003/blk000000c7 ( + .CI(\blk00000003/sig00000328 ), + .DI(\blk00000003/sig0000032b ), + .S(\blk00000003/sig00000329 ), + .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c6 ( + .CI(\blk00000003/sig00000328 ), + .LI(\blk00000003/sig00000329 ), + .O(\blk00000003/sig0000032a ) + ); + MUXCY_L \blk00000003/blk000000c5 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000327 ), + .S(\blk00000003/sig00000325 ), + .LO(\blk00000003/sig00000321 ) + ); + XORCY \blk00000003/blk000000c4 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig00000325 ), + .O(\blk00000003/sig00000326 ) + ); + MUXCY_D \blk00000003/blk000000c3 ( + .CI(\blk00000003/sig00000321 ), + .DI(\blk00000003/sig00000324 ), + .S(\blk00000003/sig00000322 ), + .O(\NLW_blk00000003/blk000000c3_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000c2 ( + .CI(\blk00000003/sig00000321 ), + .LI(\blk00000003/sig00000322 ), + .O(\blk00000003/sig00000323 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c1 ( + .C(clk), + .CE(ce), + .D(coef_ld), + .Q(\blk00000003/sig00000320 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000c0 ( + .C(clk), + .CE(ce), + .D(coef_we), + .Q(\blk00000003/sig0000031f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b1 ), + .Q(\blk00000003/sig00000313 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000be ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031e ), + .Q(\blk00000003/sig00000306 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031c ), + .Q(\blk00000003/sig0000031d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031b ), + .Q(\blk00000003/sig00000304 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000031a ), + .Q(\blk00000003/sig00000311 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000318 ), + .Q(\blk00000003/sig00000319 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000316 ), + .Q(\blk00000003/sig00000317 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000314 ), + .Q(\blk00000003/sig00000315 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000313 ), + .Q(\blk00000003/sig0000030f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000311 ), + .Q(\blk00000003/sig00000312 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030f ), + .Q(\blk00000003/sig00000310 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ec ), + .Q(\blk00000003/sig0000030e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000309 ), + .Q(\blk00000003/sig0000030d ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000303 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b2_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000300 ), + .R(coef_ld), + .Q(\NLW_blk00000003/blk000000b1_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002fb ), + .R(coef_ld), + .Q(\blk00000003/sig000002f9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000af ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002f6 ), + .R(coef_ld), + .Q(\blk00000003/sig000002f3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ae ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ed ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030c ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ad ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000030a ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig0000030b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ac ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000308 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000309 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000ab ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000306 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000307 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk000000aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000304 ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig00000305 ) + ); + XORCY \blk00000003/blk000000a9 ( + .CI(\blk00000003/sig00000302 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000303 ) + ); + MUXCY \blk00000003/blk000000a8 ( + .CI(\blk00000003/sig00000302 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a8_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a7 ( + .CI(\blk00000003/sig000002ff ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000301 ), + .O(\blk00000003/sig00000302 ), + .LO(\NLW_blk00000003/blk000000a7_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a6 ( + .CI(\blk00000003/sig000002fe ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000300 ) + ); + MUXCY \blk00000003/blk000000a5 ( + .CI(\blk00000003/sig000002fe ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002ff ) + ); + MUXCY_D \blk00000003/blk000000a4 ( + .CI(coef_we), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fd ), + .O(\blk00000003/sig000002fe ), + .LO(\NLW_blk00000003/blk000000a4_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a3 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002fc ), + .O(\blk00000003/sig000002f8 ), + .LO(\NLW_blk00000003/blk000000a3_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk000000a2 ( + .CI(\blk00000003/sig000002fa ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002fb ) + ); + MUXCY \blk00000003/blk000000a1 ( + .CI(\blk00000003/sig000002fa ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk000000a1_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk000000a0 ( + .CI(\blk00000003/sig000002f8 ), + .DI(\blk00000003/sig000002f9 ), + .S(coef_we), + .O(\blk00000003/sig000002fa ), + .LO(\NLW_blk00000003/blk000000a0_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009f ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000002f7 ), + .O(\blk00000003/sig000002f2 ), + .LO(\NLW_blk00000003/blk0000009f_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009e ( + .CI(\blk00000003/sig000002f5 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig000002f6 ) + ); + MUXCY \blk00000003/blk0000009d ( + .CI(\blk00000003/sig000002f5 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk0000009d_O_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000009c ( + .CI(\blk00000003/sig000002f2 ), + .DI(\blk00000003/sig000002f3 ), + .S(\blk00000003/sig000002f4 ), + .O(\blk00000003/sig000002f5 ), + .LO(\NLW_blk00000003/blk0000009c_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000009b ( + .CI(\blk00000003/sig000002eb ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig000002e9 ) + ); + MUXCY_D \blk00000003/blk0000009a ( + .CI(\blk00000003/sig000002ef ), + .DI(\blk00000003/sig000002f0 ), + .S(\blk00000003/sig000002f1 ), + .O(\NLW_blk00000003/blk0000009a_O_UNCONNECTED ), + .LO(\blk00000003/sig000002eb ) + ); + MUXCY_D \blk00000003/blk00000099 ( + .CI(\blk00000003/sig000002ec ), + .DI(\blk00000003/sig000002ed ), + .S(\blk00000003/sig000002ee ), + .O(\blk00000003/sig000002ef ), + .LO(\NLW_blk00000003/blk00000099_LO_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000098 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002eb ), + .R(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ec ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk00000097 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002e9 ), + .S(\blk00000003/sig00000049 ), + .Q(\blk00000003/sig000002ea ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000033 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b6 ), + .R(sclr), + .Q(\blk00000003/sig000002b5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000032 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b5 ), + .R(sclr), + .Q(\blk00000003/sig000002b2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000031 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b4 ), + .R(\blk00000003/sig000002af ), + .Q(data_valid) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000030 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b2 ), + .R(sclr), + .Q(\blk00000003/sig000002b3 ) + ); + FDRE \blk00000003/blk0000002f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002b0 ), + .R(sclr), + .Q(\blk00000003/sig000002b1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002e ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ae ), + .R(\blk00000003/sig000002af ), + .Q(rdy) + ); + FDSE \blk00000003/blk0000002d ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002ac ), + .S(sclr), + .Q(\blk00000003/sig000002ad ) + ); + FDRE \blk00000003/blk0000002c ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002aa ), + .R(sclr), + .Q(\blk00000003/sig000002ab ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000002b ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029c ), + .S(sclr), + .Q(NlwRenamedSig_OI_rfd) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000002a ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029a ), + .R(sclr), + .Q(\blk00000003/sig000002a9 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000029 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a8 ), + .R(sclr), + .Q(\blk00000003/sig00000296 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000028 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000293 ), + .R(sclr), + .Q(\blk00000003/sig000002a7 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000027 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a6 ), + .R(sclr), + .Q(\blk00000003/sig00000294 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000026 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a4 ), + .R(sclr), + .Q(\blk00000003/sig000002a5 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000025 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a2 ), + .R(sclr), + .Q(\blk00000003/sig000002a3 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000024 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000024_Q_UNCONNECTED ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000023 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig000002a0 ), + .R(sclr), + .Q(\blk00000003/sig000002a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000022 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000029e ), + .Q(\blk00000003/sig0000029f ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000021 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig0000016e ), + .R(sclr), + .Q(\blk00000003/sig0000016b ) + ); + FDRE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000020 ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000168 ), + .R(sclr), + .Q(\NLW_blk00000003/blk00000020_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \blk00000003/blk0000001f ( + .C(clk), + .CE(ce), + .D(\blk00000003/sig00000169 ), + .S(sclr), + .Q(\blk00000003/sig0000029d ) + ); + MUXCY \blk00000003/blk0000001e ( + .CI(\blk00000003/sig00000299 ), + .DI(\blk00000003/sig000000ac ), + .S(\blk00000003/sig0000029b ), + .O(\blk00000003/sig0000029c ) + ); + XORCY \blk00000003/blk0000001d ( + .CI(\blk00000003/sig00000298 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000029a ) + ); + MUXCY \blk00000003/blk0000001c ( + .CI(\blk00000003/sig00000298 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000299 ) + ); + MUXCY_D \blk00000003/blk0000001b ( + .CI(\blk00000003/sig00000296 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000297 ), + .O(\blk00000003/sig00000298 ), + .LO(\NLW_blk00000003/blk0000001b_LO_UNCONNECTED ) + ); + MUXCY_D \blk00000003/blk0000001a ( + .CI(\blk00000003/sig00000294 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig00000295 ), + .O(\blk00000003/sig00000292 ), + .LO(\NLW_blk00000003/blk0000001a_LO_UNCONNECTED ) + ); + MUXCY \blk00000003/blk00000019 ( + .CI(\blk00000003/sig00000292 ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\NLW_blk00000003/blk00000019_O_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000018 ( + .CI(\blk00000003/sig00000292 ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig00000293 ) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000017 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000017_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000017_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000242 , \blk00000003/sig00000243 , \blk00000003/sig00000244 , +\blk00000003/sig00000245 , \blk00000003/sig00000246 , \blk00000003/sig00000247 , \blk00000003/sig00000248 , \blk00000003/sig00000249 , +\blk00000003/sig0000024a , \blk00000003/sig0000024b , \blk00000003/sig0000024c , \blk00000003/sig0000024d , \blk00000003/sig0000024e , +\blk00000003/sig0000024f , \blk00000003/sig00000250 , \blk00000003/sig00000251 }), + .BCOUT({\NLW_blk00000003/blk00000017_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000252 , \blk00000003/sig00000253 , \blk00000003/sig00000254 , \blk00000003/sig00000255 , \blk00000003/sig00000256 , +\blk00000003/sig00000257 , \blk00000003/sig00000258 , \blk00000003/sig00000259 , \blk00000003/sig0000025a , \blk00000003/sig0000025b , +\blk00000003/sig0000025c , \blk00000003/sig0000025d , \blk00000003/sig0000025e , \blk00000003/sig0000025f , \blk00000003/sig00000260 , +\blk00000003/sig00000261 , \blk00000003/sig00000262 , \blk00000003/sig00000263 , \blk00000003/sig00000264 , \blk00000003/sig00000265 , +\blk00000003/sig00000266 , \blk00000003/sig00000267 , \blk00000003/sig00000268 , \blk00000003/sig00000269 , \blk00000003/sig0000026a , +\blk00000003/sig0000026b , \blk00000003/sig0000026c , \blk00000003/sig0000026d , \blk00000003/sig0000026e , \blk00000003/sig0000026f , +\blk00000003/sig00000270 , \blk00000003/sig00000271 , \blk00000003/sig00000272 , \blk00000003/sig00000273 , \blk00000003/sig00000274 , +\blk00000003/sig00000275 , \blk00000003/sig00000276 , \blk00000003/sig00000277 , \blk00000003/sig00000278 , \blk00000003/sig00000279 , +\blk00000003/sig0000027a , \blk00000003/sig0000027b , \blk00000003/sig0000027c , \blk00000003/sig0000027d , \blk00000003/sig0000027e , +\blk00000003/sig0000027f , \blk00000003/sig00000280 , \blk00000003/sig00000281 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000017_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000017_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_P<40>_UNCONNECTED , +\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 , +\blk00000003/sig00000158 , \blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , +\blk00000003/sig00000107 , \blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , +\blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , +\blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000282 , +\blk00000003/sig00000283 , \blk00000003/sig00000284 , \blk00000003/sig00000285 , \blk00000003/sig00000286 , \blk00000003/sig00000287 , +\blk00000003/sig00000288 , \blk00000003/sig00000289 , \blk00000003/sig0000028a , \blk00000003/sig0000028b , \blk00000003/sig0000028c , +\blk00000003/sig0000028d , \blk00000003/sig0000028e , \blk00000003/sig0000028f , \blk00000003/sig00000290 , \blk00000003/sig00000291 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000017_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000017_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000017_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000017_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000017_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000017_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000016 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000016_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000016_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , \blk00000003/sig0000020a , +\blk00000003/sig0000020a , \blk00000003/sig0000020b , \blk00000003/sig0000020c , \blk00000003/sig0000020d , \blk00000003/sig0000020e , +\blk00000003/sig0000020f , \blk00000003/sig00000210 , \blk00000003/sig00000211 }), + .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000212 , \blk00000003/sig00000213 , \blk00000003/sig00000214 , \blk00000003/sig00000215 , \blk00000003/sig00000216 , +\blk00000003/sig00000217 , \blk00000003/sig00000218 , \blk00000003/sig00000219 , \blk00000003/sig0000021a , \blk00000003/sig0000021b , +\blk00000003/sig0000021c , \blk00000003/sig0000021d , \blk00000003/sig0000021e , \blk00000003/sig0000021f , \blk00000003/sig00000220 , +\blk00000003/sig00000221 , \blk00000003/sig00000222 , \blk00000003/sig00000223 , \blk00000003/sig00000224 , \blk00000003/sig00000225 , +\blk00000003/sig00000226 , \blk00000003/sig00000227 , \blk00000003/sig00000228 , \blk00000003/sig00000229 , \blk00000003/sig0000022a , +\blk00000003/sig0000022b , \blk00000003/sig0000022c , \blk00000003/sig0000022d , \blk00000003/sig0000022e , \blk00000003/sig0000022f , +\blk00000003/sig00000230 , \blk00000003/sig00000231 , \blk00000003/sig00000232 , \blk00000003/sig00000233 , \blk00000003/sig00000234 , +\blk00000003/sig00000235 , \blk00000003/sig00000236 , \blk00000003/sig00000237 , \blk00000003/sig00000238 , \blk00000003/sig00000239 , +\blk00000003/sig0000023a , \blk00000003/sig0000023b , \blk00000003/sig0000023c , \blk00000003/sig0000023d , \blk00000003/sig0000023e , +\blk00000003/sig0000023f , \blk00000003/sig00000240 , \blk00000003/sig00000241 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_P<31>_UNCONNECTED , +\blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , +\blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , +\blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , +\blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , +\blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , +\blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , +\blk00000003/sig00000133 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000016_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000016_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000016_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000015 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000015_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000015_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , +\blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , +\blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 , \blk00000003/sig000001c5 , \blk00000003/sig000001c6 , +\blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }), + .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig000001ca , \blk00000003/sig000001cb , \blk00000003/sig000001cc , \blk00000003/sig000001cd , \blk00000003/sig000001ce , +\blk00000003/sig000001cf , \blk00000003/sig000001d0 , \blk00000003/sig000001d1 , \blk00000003/sig000001d2 , \blk00000003/sig000001d3 , +\blk00000003/sig000001d4 , \blk00000003/sig000001d5 , \blk00000003/sig000001d6 , \blk00000003/sig000001d7 , \blk00000003/sig000001d8 , +\blk00000003/sig000001d9 , \blk00000003/sig000001da , \blk00000003/sig000001db , \blk00000003/sig000001dc , \blk00000003/sig000001dd , +\blk00000003/sig000001de , \blk00000003/sig000001df , \blk00000003/sig000001e0 , \blk00000003/sig000001e1 , \blk00000003/sig000001e2 , +\blk00000003/sig000001e3 , \blk00000003/sig000001e4 , \blk00000003/sig000001e5 , \blk00000003/sig000001e6 , \blk00000003/sig000001e7 , +\blk00000003/sig000001e8 , \blk00000003/sig000001e9 , \blk00000003/sig000001ea , \blk00000003/sig000001eb , \blk00000003/sig000001ec , +\blk00000003/sig000001ed , \blk00000003/sig000001ee , \blk00000003/sig000001ef , \blk00000003/sig000001f0 , \blk00000003/sig000001f1 , +\blk00000003/sig000001f2 , \blk00000003/sig000001f3 , \blk00000003/sig000001f4 , \blk00000003/sig000001f5 , \blk00000003/sig000001f6 , +\blk00000003/sig000001f7 , \blk00000003/sig000001f8 , \blk00000003/sig000001f9 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000015_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_P<40>_UNCONNECTED , +\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , +\blk00000003/sig00000102 , \blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , +\blk00000003/sig000000b1 , \blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , +\blk00000003/sig000000b6 , \blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , +\blk00000003/sig000000bb , \blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be , \blk00000003/sig000001fa , +\blk00000003/sig000001fb , \blk00000003/sig000001fc , \blk00000003/sig000001fd , \blk00000003/sig000001fe , \blk00000003/sig000001ff , +\blk00000003/sig00000200 , \blk00000003/sig00000201 , \blk00000003/sig00000202 , \blk00000003/sig00000203 , \blk00000003/sig00000204 , +\blk00000003/sig00000205 , \blk00000003/sig00000206 , \blk00000003/sig00000207 , \blk00000003/sig00000208 , \blk00000003/sig00000209 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000015_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000015_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000015_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 1 ), + .A1REG ( 1 ), + .B0REG ( 1 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 1 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000014 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000014_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000014_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , \blk00000003/sig00000170 , +\blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , +\blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 }), + .BCOUT({\NLW_blk00000003/blk00000014_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b , \blk00000003/sig0000017c , +\blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , +\blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , +\blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , +\blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , +\blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , +\blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , +\blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , +\blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , +\blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 }), + .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .P({\NLW_blk00000003/blk00000014_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_P<31>_UNCONNECTED , +\blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , \blk00000003/sig000000c2 , \blk00000003/sig000000c3 , +\blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 , \blk00000003/sig000000c8 , +\blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , +\blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , +\blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , +\blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , +\blk00000003/sig000000dd }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig0000015d , \blk00000003/sig0000015f , \blk00000003/sig00000161 }), + .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .PCOUT({\NLW_blk00000003/blk00000014_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000014_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000014_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , +\blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , +\blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , +\blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 }), + .M({\NLW_blk00000003/blk00000014_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000014_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000014_M<0>_UNCONNECTED }) + ); + MUXCY_D \blk00000003/blk00000013 ( + .CI(\blk00000003/sig000000ac ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig0000016f ), + .O(\blk00000003/sig0000016a ), + .LO(\NLW_blk00000003/blk00000013_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk00000012 ( + .CI(\blk00000003/sig0000016d ), + .LI(\blk00000003/sig00000049 ), + .O(\blk00000003/sig0000016e ) + ); + MUXCY \blk00000003/blk00000011 ( + .CI(\blk00000003/sig0000016d ), + .DI(\blk00000003/sig00000049 ), + .S(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000162 ) + ); + MUXCY_D \blk00000003/blk00000010 ( + .CI(\blk00000003/sig0000016a ), + .DI(\blk00000003/sig0000016b ), + .S(\blk00000003/sig0000016c ), + .O(\blk00000003/sig0000016d ), + .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED ) + ); + XORCY \blk00000003/blk0000000f ( + .CI(\blk00000003/sig00000168 ), + .LI(\blk00000003/sig000000ac ), + .O(\blk00000003/sig00000169 ) + ); + MUXCY_D \blk00000003/blk0000000e ( + .CI(\blk00000003/sig00000165 ), + .DI(\blk00000003/sig00000166 ), + .S(\blk00000003/sig00000167 ), + .O(\NLW_blk00000003/blk0000000e_O_UNCONNECTED ), + .LO(\blk00000003/sig00000168 ) + ); + MUXCY_D \blk00000003/blk0000000d ( + .CI(\blk00000003/sig00000162 ), + .DI(\blk00000003/sig00000163 ), + .S(\blk00000003/sig00000164 ), + .O(\blk00000003/sig00000165 ), + .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000c ( + .C(clk), + .D(\blk00000003/sig00000160 ), + .Q(\blk00000003/sig00000161 ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000b ( + .C(clk), + .D(\blk00000003/sig0000015e ), + .Q(\blk00000003/sig0000015f ) + ); + FD #( + .INIT ( 1'b0 )) + \blk00000003/blk0000000a ( + .C(clk), + .D(\blk00000003/sig0000015c ), + .Q(\blk00000003/sig0000015d ) + ); + XORCY \blk00000003/blk00000009 ( + .CI(\blk00000003/sig00000049 ), + .LI(\blk00000003/sig0000015a ), + .O(\blk00000003/sig0000015b ) + ); + MUXCY_D \blk00000003/blk00000008 ( + .CI(\blk00000003/sig00000049 ), + .DI(\blk00000003/sig00000159 ), + .S(\blk00000003/sig0000015a ), + .O(\NLW_blk00000003/blk00000008_O_UNCONNECTED ), + .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED ) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000007 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000007_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000007_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig00000103 , \blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , +\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , +\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , +\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 }), + .BCOUT({\NLW_blk00000003/blk00000007_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , +\blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , +\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , +\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , +\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , +\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , +\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , +\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }), + .P({\NLW_blk00000003/blk00000007_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_P<31>_UNCONNECTED , +\blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 , \blk00000003/sig00000138 , +\blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , +\blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , +\blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , +\blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , +\blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , +\blk00000003/sig00000152 }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 }), + .PCOUT({\NLW_blk00000003/blk00000007_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000007_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000007_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , +\blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , +\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 }), + .M({\NLW_blk00000003/blk00000007_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000007_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000007_M<0>_UNCONNECTED }) + ); + DSP48A1 #( + .A0REG ( 0 ), + .A1REG ( 1 ), + .B0REG ( 0 ), + .B1REG ( 1 ), + .CARRYINREG ( 0 ), + .CARRYINSEL ( "OPMODE5" ), + .CARRYOUTREG ( 0 ), + .CREG ( 1 ), + .DREG ( 1 ), + .MREG ( 1 ), + .OPMODEREG ( 0 ), + .PREG ( 1 ), + .RSTTYPE ( "SYNC" )) + \blk00000003/blk00000006 ( + .CECARRYIN(ce), + .RSTC(\blk00000003/sig00000049 ), + .RSTCARRYIN(\blk00000003/sig00000049 ), + .CED(ce), + .RSTD(\blk00000003/sig00000049 ), + .CEOPMODE(ce), + .CEC(ce), + .CARRYOUTF(\NLW_blk00000003/blk00000006_CARRYOUTF_UNCONNECTED ), + .RSTOPMODE(\blk00000003/sig00000049 ), + .RSTM(\blk00000003/sig00000049 ), + .CLK(clk), + .RSTB(\blk00000003/sig00000049 ), + .CEM(ce), + .CEB(ce), + .CARRYIN(\blk00000003/sig00000049 ), + .CEP(ce), + .CEA(ce), + .CARRYOUT(\NLW_blk00000003/blk00000006_CARRYOUT_UNCONNECTED ), + .RSTA(\blk00000003/sig00000049 ), + .RSTP(\blk00000003/sig00000049 ), + .B({\blk00000003/sig000000ad , \blk00000003/sig000000ae , \blk00000003/sig000000af , \blk00000003/sig000000b0 , \blk00000003/sig000000b1 , +\blk00000003/sig000000b2 , \blk00000003/sig000000b3 , \blk00000003/sig000000b4 , \blk00000003/sig000000b5 , \blk00000003/sig000000b6 , +\blk00000003/sig000000b7 , \blk00000003/sig000000b8 , \blk00000003/sig000000b9 , \blk00000003/sig000000ba , \blk00000003/sig000000bb , +\blk00000003/sig000000bc , \blk00000003/sig000000bd , \blk00000003/sig000000be }), + .BCOUT({\NLW_blk00000003/blk00000006_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_BCOUT<0>_UNCONNECTED }), + .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , +\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }), + .C({\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , +\blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000bf , \blk00000003/sig000000c0 , \blk00000003/sig000000c1 , +\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , +\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , +\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , +\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , +\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , +\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd }), + .P({\NLW_blk00000003/blk00000006_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<43>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<37>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_P<31>_UNCONNECTED , +\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , +\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , +\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , +\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 , +\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , +\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , +\blk00000003/sig000000fc }), + .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , +\blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig000000ac }), + .D({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd }), + .PCOUT({\NLW_blk00000003/blk00000006_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<46>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<44>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<42>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<40>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<38>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<36>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<32>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<30>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<26>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<24>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<20>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<18>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<14>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<12>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<8>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<6>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<2>_UNCONNECTED , +\NLW_blk00000003/blk00000006_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000006_PCOUT<0>_UNCONNECTED }), + .A({\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , +\blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , +\blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 }), + .M({\NLW_blk00000003/blk00000006_M<35>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<34>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<33>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<32>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<31>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<30>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<29>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<28>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<27>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<26>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<25>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<24>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<23>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<22>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<21>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<20>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<19>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<18>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<17>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<16>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<15>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<14>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<13>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<12>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<11>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<10>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<9>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<8>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<7>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<6>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<5>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<4>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<3>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<2>_UNCONNECTED , \NLW_blk00000003/blk00000006_M<1>_UNCONNECTED , +\NLW_blk00000003/blk00000006_M<0>_UNCONNECTED }) + ); + VCC \blk00000003/blk00000005 ( + .P(\blk00000003/sig000000ac ) + ); + GND \blk00000003/blk00000004 ( + .G(\blk00000003/sig00000049 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000034/blk00000096 ( + .I0(nd), + .I1(ce), + .O(\blk00000003/blk00000034/sig000009d5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000095 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000095_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000094 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000094_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000093 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000093_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000092 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000092_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000091 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000091_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000090 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000090_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009d1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ce ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ca ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000008a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000008a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009cb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000089 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000089_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000088 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000088_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000087 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000087_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000086 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000086_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000085 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000085_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000084 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000084_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000083 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000083_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000082 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000082_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000081 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000081_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009c2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000080 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000080_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009be ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bd ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_2_2[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bf ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[22]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bb ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[21]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ba ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[23]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009bc ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000007a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[19]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000007a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000079 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[18]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000079_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b7 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000078 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[20]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000078_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000077 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[16]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000077_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000076 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[15]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000076_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b4 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000075 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[17]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000075_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000074 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[13]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000074_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000073 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[12]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000073_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b1 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000072 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[14]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000072_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b3 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000071 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[10]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000071_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009af ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000070 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[9]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000070_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ae ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006f ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[11]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009b0 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006e ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[7]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ac ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006d ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[6]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ab ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006c ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[8]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009ad ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006b ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[4]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a9 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk0000006a ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[3]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk0000006a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a8 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000069 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[5]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000069_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009aa ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000068 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[1]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000068_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a6 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000067 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[0]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000067_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a5 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000034/blk00000066 ( + .A0(\blk00000003/sig000002b8 ), + .A1(\blk00000003/sig000002b7 ), + .A2(\blk00000003/blk00000034/sig000009a4 ), + .A3(\blk00000003/blk00000034/sig000009a4 ), + .D(din_1_1[2]), + .DPRA0(\blk00000003/sig000002ad ), + .DPRA1(\blk00000003/sig000002ab ), + .DPRA2(\blk00000003/blk00000034/sig000009a4 ), + .DPRA3(\blk00000003/blk00000034/sig000009a4 ), + .WCLK(clk), + .WE(\blk00000003/blk00000034/sig000009d5 ), + .SPO(\NLW_blk00000003/blk00000034/blk00000066_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000034/sig000009a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000065 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d4 ), + .Q(\blk00000003/sig000002b9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000064 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d3 ), + .Q(\blk00000003/sig000002ba ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000063 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d2 ), + .Q(\blk00000003/sig000002bb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000062 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d1 ), + .Q(\blk00000003/sig000002bc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000061 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009d0 ), + .Q(\blk00000003/sig000002bd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000060 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cf ), + .Q(\blk00000003/sig000002be ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ce ), + .Q(\blk00000003/sig000002bf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cd ), + .Q(\blk00000003/sig000002c0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cc ), + .Q(\blk00000003/sig000002c1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009cb ), + .Q(\blk00000003/sig000002c2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ca ), + .Q(\blk00000003/sig000002c3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000005a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c9 ), + .Q(\blk00000003/sig000002c4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000059 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c8 ), + .Q(\blk00000003/sig000002c5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000058 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c7 ), + .Q(\blk00000003/sig000002c6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000057 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c6 ), + .Q(\blk00000003/sig000002c7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000056 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c5 ), + .Q(\blk00000003/sig000002c8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000055 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c4 ), + .Q(\blk00000003/sig000002c9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000054 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c3 ), + .Q(\blk00000003/sig000002ca ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000053 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c2 ), + .Q(\blk00000003/sig000002cb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000052 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c1 ), + .Q(\blk00000003/sig000002cc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000051 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009c0 ), + .Q(\blk00000003/sig000002cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000050 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bf ), + .Q(\blk00000003/sig000002ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009be ), + .Q(\blk00000003/sig000002cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bd ), + .Q(\blk00000003/sig000002d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bc ), + .Q(\blk00000003/sig000002d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009bb ), + .Q(\blk00000003/sig000002d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ba ), + .Q(\blk00000003/sig000002d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000004a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b9 ), + .Q(\blk00000003/sig000002d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000049 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b8 ), + .Q(\blk00000003/sig000002d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000048 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b7 ), + .Q(\blk00000003/sig000002d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000047 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b6 ), + .Q(\blk00000003/sig000002d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000046 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b5 ), + .Q(\blk00000003/sig000002d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000045 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b4 ), + .Q(\blk00000003/sig000002d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000044 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b3 ), + .Q(\blk00000003/sig000002da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000043 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b2 ), + .Q(\blk00000003/sig000002db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000042 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b1 ), + .Q(\blk00000003/sig000002dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000041 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009b0 ), + .Q(\blk00000003/sig000002dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000040 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009af ), + .Q(\blk00000003/sig000002de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ae ), + .Q(\blk00000003/sig000002df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ad ), + .Q(\blk00000003/sig000002e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ac ), + .Q(\blk00000003/sig000002e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009ab ), + .Q(\blk00000003/sig000002e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009aa ), + .Q(\blk00000003/sig000002e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk0000003a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a9 ), + .Q(\blk00000003/sig000002e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000039 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a8 ), + .Q(\blk00000003/sig000002e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000038 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a7 ), + .Q(\blk00000003/sig000002e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000037 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a6 ), + .Q(\blk00000003/sig000002e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000034/blk00000036 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000034/sig000009a5 ), + .Q(\blk00000003/sig000002e8 ) + ); + GND \blk00000003/blk00000034/blk00000035 ( + .G(\blk00000003/blk00000034/sig000009a4 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000130/blk00000152 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000130/sig00000a0c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000151 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000641 ), + .Q(\blk00000003/blk00000130/sig00000a0b ), + .Q15(\NLW_blk00000003/blk00000130/blk00000151_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000150 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000642 ), + .Q(\blk00000003/blk00000130/sig00000a0a ), + .Q15(\NLW_blk00000003/blk00000130/blk00000150_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000643 ), + .Q(\blk00000003/blk00000130/sig00000a09 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000644 ), + .Q(\blk00000003/blk00000130/sig00000a08 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000645 ), + .Q(\blk00000003/blk00000130/sig00000a07 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000646 ), + .Q(\blk00000003/blk00000130/sig00000a06 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000647 ), + .Q(\blk00000003/blk00000130/sig00000a05 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk0000014a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000648 ), + .Q(\blk00000003/blk00000130/sig00000a04 ), + .Q15(\NLW_blk00000003/blk00000130/blk0000014a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000149 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000649 ), + .Q(\blk00000003/blk00000130/sig00000a03 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000149_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000148 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064a ), + .Q(\blk00000003/blk00000130/sig00000a02 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000148_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000147 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064b ), + .Q(\blk00000003/blk00000130/sig00000a01 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000147_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000146 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064c ), + .Q(\blk00000003/blk00000130/sig00000a00 ), + .Q15(\NLW_blk00000003/blk00000130/blk00000146_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000145 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064d ), + .Q(\blk00000003/blk00000130/sig000009ff ), + .Q15(\NLW_blk00000003/blk00000130/blk00000145_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000144 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064e ), + .Q(\blk00000003/blk00000130/sig000009fe ), + .Q15(\NLW_blk00000003/blk00000130/blk00000144_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000143 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig0000064f ), + .Q(\blk00000003/blk00000130/sig000009fd ), + .Q15(\NLW_blk00000003/blk00000130/blk00000143_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000130/blk00000142 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000130/sig000009fb ), + .A3(\blk00000003/blk00000130/sig000009fb ), + .CE(\blk00000003/blk00000130/sig00000a0c ), + .CLK(clk), + .D(\blk00000003/sig00000650 ), + .Q(\blk00000003/blk00000130/sig000009fc ), + .Q15(\NLW_blk00000003/blk00000130/blk00000142_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000141 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0b ), + .Q(\blk00000003/sig000005d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000140 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a0a ), + .Q(\blk00000003/sig000005d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a09 ), + .Q(\blk00000003/sig000005d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a08 ), + .Q(\blk00000003/sig000005d4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a07 ), + .Q(\blk00000003/sig000005d5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a06 ), + .Q(\blk00000003/sig000005d6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a05 ), + .Q(\blk00000003/sig000005d7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk0000013a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a04 ), + .Q(\blk00000003/sig000005d8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000139 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a03 ), + .Q(\blk00000003/sig000005d9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000138 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a02 ), + .Q(\blk00000003/sig000005da ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000137 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a01 ), + .Q(\blk00000003/sig000005db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000136 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig00000a00 ), + .Q(\blk00000003/sig000005dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000135 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009ff ), + .Q(\blk00000003/sig000005dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000134 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fe ), + .Q(\blk00000003/sig000005de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000133 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fd ), + .Q(\blk00000003/sig000005df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000130/blk00000132 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000130/sig000009fc ), + .Q(\blk00000003/sig000005e0 ) + ); + GND \blk00000003/blk00000130/blk00000131 ( + .G(\blk00000003/blk00000130/sig000009fb ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000153/blk00000175 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000153/sig00000a43 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000174 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068d ), + .Q(\blk00000003/blk00000153/sig00000a42 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000173 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068e ), + .Q(\blk00000003/blk00000153/sig00000a41 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000172 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000068f ), + .Q(\blk00000003/blk00000153/sig00000a40 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000171 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000690 ), + .Q(\blk00000003/blk00000153/sig00000a3f ), + .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000170 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000691 ), + .Q(\blk00000003/blk00000153/sig00000a3e ), + .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016f ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000692 ), + .Q(\blk00000003/blk00000153/sig00000a3d ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016e ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000693 ), + .Q(\blk00000003/blk00000153/sig00000a3c ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016d ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000694 ), + .Q(\blk00000003/blk00000153/sig00000a3b ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016c ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000695 ), + .Q(\blk00000003/blk00000153/sig00000a3a ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016b ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000696 ), + .Q(\blk00000003/blk00000153/sig00000a39 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk0000016a ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000697 ), + .Q(\blk00000003/blk00000153/sig00000a38 ), + .Q15(\NLW_blk00000003/blk00000153/blk0000016a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000169 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000698 ), + .Q(\blk00000003/blk00000153/sig00000a37 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000169_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000168 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig00000699 ), + .Q(\blk00000003/blk00000153/sig00000a36 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000168_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000167 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069a ), + .Q(\blk00000003/blk00000153/sig00000a35 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000167_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000166 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069b ), + .Q(\blk00000003/blk00000153/sig00000a34 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000166_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000153/blk00000165 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000153/sig00000a32 ), + .A3(\blk00000003/blk00000153/sig00000a32 ), + .CE(\blk00000003/blk00000153/sig00000a43 ), + .CLK(clk), + .D(\blk00000003/sig0000069c ), + .Q(\blk00000003/blk00000153/sig00000a33 ), + .Q15(\NLW_blk00000003/blk00000153/blk00000165_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000164 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a42 ), + .Q(\blk00000003/sig00000591 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000163 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a41 ), + .Q(\blk00000003/sig00000592 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000162 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a40 ), + .Q(\blk00000003/sig00000593 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000161 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3f ), + .Q(\blk00000003/sig00000594 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000160 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3e ), + .Q(\blk00000003/sig00000595 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3d ), + .Q(\blk00000003/sig00000596 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3c ), + .Q(\blk00000003/sig00000597 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3b ), + .Q(\blk00000003/sig00000598 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a3a ), + .Q(\blk00000003/sig00000599 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a39 ), + .Q(\blk00000003/sig0000059a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk0000015a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a38 ), + .Q(\blk00000003/sig0000059b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000159 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a37 ), + .Q(\blk00000003/sig0000059c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000158 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a36 ), + .Q(\blk00000003/sig0000059d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000157 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a35 ), + .Q(\blk00000003/sig0000059e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000156 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a34 ), + .Q(\blk00000003/sig0000059f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000153/blk00000155 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000153/sig00000a33 ), + .Q(\blk00000003/sig000005a0 ) + ); + GND \blk00000003/blk00000153/blk00000154 ( + .G(\blk00000003/blk00000153/sig00000a32 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000176/blk00000198 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk00000176/sig00000a7a ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000197 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000661 ), + .Q(\blk00000003/blk00000176/sig00000a79 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000197_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000196 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000662 ), + .Q(\blk00000003/blk00000176/sig00000a78 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000196_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000195 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000663 ), + .Q(\blk00000003/blk00000176/sig00000a77 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000195_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000194 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000664 ), + .Q(\blk00000003/blk00000176/sig00000a76 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000194_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000193 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000665 ), + .Q(\blk00000003/blk00000176/sig00000a75 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000193_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000192 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000666 ), + .Q(\blk00000003/blk00000176/sig00000a74 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000192_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000191 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000667 ), + .Q(\blk00000003/blk00000176/sig00000a73 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000191_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000190 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000668 ), + .Q(\blk00000003/blk00000176/sig00000a72 ), + .Q15(\NLW_blk00000003/blk00000176/blk00000190_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018f ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000669 ), + .Q(\blk00000003/blk00000176/sig00000a71 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018e ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066a ), + .Q(\blk00000003/blk00000176/sig00000a70 ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018d ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066b ), + .Q(\blk00000003/blk00000176/sig00000a6f ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018c ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066c ), + .Q(\blk00000003/blk00000176/sig00000a6e ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018b ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066d ), + .Q(\blk00000003/blk00000176/sig00000a6d ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk0000018a ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066e ), + .Q(\blk00000003/blk00000176/sig00000a6c ), + .Q15(\NLW_blk00000003/blk00000176/blk0000018a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000189 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig0000066f ), + .Q(\blk00000003/blk00000176/sig00000a6b ), + .Q15(\NLW_blk00000003/blk00000176/blk00000189_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000176/blk00000188 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk00000176/sig00000a69 ), + .A3(\blk00000003/blk00000176/sig00000a69 ), + .CE(\blk00000003/blk00000176/sig00000a7a ), + .CLK(clk), + .D(\blk00000003/sig00000670 ), + .Q(\blk00000003/blk00000176/sig00000a6a ), + .Q15(\NLW_blk00000003/blk00000176/blk00000188_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000187 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a79 ), + .Q(\blk00000003/sig00000621 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000186 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a78 ), + .Q(\blk00000003/sig00000622 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000185 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a77 ), + .Q(\blk00000003/sig00000623 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000184 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a76 ), + .Q(\blk00000003/sig00000624 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000183 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a75 ), + .Q(\blk00000003/sig00000625 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000182 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a74 ), + .Q(\blk00000003/sig00000626 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000181 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a73 ), + .Q(\blk00000003/sig00000627 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000180 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a72 ), + .Q(\blk00000003/sig00000628 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a71 ), + .Q(\blk00000003/sig00000629 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a70 ), + .Q(\blk00000003/sig0000062a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6f ), + .Q(\blk00000003/sig0000062b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6e ), + .Q(\blk00000003/sig0000062c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6d ), + .Q(\blk00000003/sig0000062d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk0000017a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6c ), + .Q(\blk00000003/sig0000062e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000179 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6b ), + .Q(\blk00000003/sig0000062f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000176/blk00000178 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000176/sig00000a6a ), + .Q(\blk00000003/sig00000630 ) + ); + GND \blk00000003/blk00000176/blk00000177 ( + .G(\blk00000003/blk00000176/sig00000a69 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000199/blk000001bb ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk00000199/sig00000ab1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ba ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069d ), + .Q(\blk00000003/blk00000199/sig00000ab0 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b9 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069e ), + .Q(\blk00000003/blk00000199/sig00000aaf ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b8 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig0000069f ), + .Q(\blk00000003/blk00000199/sig00000aae ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a0 ), + .Q(\blk00000003/blk00000199/sig00000aad ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a1 ), + .Q(\blk00000003/blk00000199/sig00000aac ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a2 ), + .Q(\blk00000003/blk00000199/sig00000aab ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a3 ), + .Q(\blk00000003/blk00000199/sig00000aaa ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a4 ), + .Q(\blk00000003/blk00000199/sig00000aa9 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a5 ), + .Q(\blk00000003/blk00000199/sig00000aa8 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a6 ), + .Q(\blk00000003/blk00000199/sig00000aa7 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001b0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a7 ), + .Q(\blk00000003/blk00000199/sig00000aa6 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001b0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001af ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a8 ), + .Q(\blk00000003/blk00000199/sig00000aa5 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ae ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006a9 ), + .Q(\blk00000003/blk00000199/sig00000aa4 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ad ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006aa ), + .Q(\blk00000003/blk00000199/sig00000aa3 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ac ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ab ), + .Q(\blk00000003/blk00000199/sig00000aa2 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000199/blk000001ab ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk00000199/sig00000aa0 ), + .A3(\blk00000003/blk00000199/sig00000aa0 ), + .CE(\blk00000003/blk00000199/sig00000ab1 ), + .CLK(clk), + .D(\blk00000003/sig000006ac ), + .Q(\blk00000003/blk00000199/sig00000aa1 ), + .Q15(\NLW_blk00000003/blk00000199/blk000001ab_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001aa ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000ab0 ), + .Q(\blk00000003/sig000005e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaf ), + .Q(\blk00000003/sig000005e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aae ), + .Q(\blk00000003/sig000005e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aad ), + .Q(\blk00000003/sig000005e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aac ), + .Q(\blk00000003/sig000005e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aab ), + .Q(\blk00000003/sig000005e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aaa ), + .Q(\blk00000003/sig000005e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa9 ), + .Q(\blk00000003/sig000005e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa8 ), + .Q(\blk00000003/sig000005e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa7 ), + .Q(\blk00000003/sig000005ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk000001a0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa6 ), + .Q(\blk00000003/sig000005eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa5 ), + .Q(\blk00000003/sig000005ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa4 ), + .Q(\blk00000003/sig000005ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa3 ), + .Q(\blk00000003/sig000005ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa2 ), + .Q(\blk00000003/sig000005ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000199/blk0000019b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000199/sig00000aa1 ), + .Q(\blk00000003/sig000005f0 ) + ); + GND \blk00000003/blk00000199/blk0000019a ( + .G(\blk00000003/blk00000199/sig00000aa0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001bc/blk000001de ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000001bc/sig00000ae8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dd ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043d ), + .Q(\blk00000003/blk000001bc/sig00000ae7 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001dc ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043e ), + .Q(\blk00000003/blk000001bc/sig00000ae6 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001dc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001db ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000043f ), + .Q(\blk00000003/blk000001bc/sig00000ae5 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001db_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001da ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000440 ), + .Q(\blk00000003/blk000001bc/sig00000ae4 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001da_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d9 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000441 ), + .Q(\blk00000003/blk000001bc/sig00000ae3 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d8 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000442 ), + .Q(\blk00000003/blk000001bc/sig00000ae2 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d7 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000443 ), + .Q(\blk00000003/blk000001bc/sig00000ae1 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d6 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000444 ), + .Q(\blk00000003/blk000001bc/sig00000ae0 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d5 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000445 ), + .Q(\blk00000003/blk000001bc/sig00000adf ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d4 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000446 ), + .Q(\blk00000003/blk000001bc/sig00000ade ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d3 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000447 ), + .Q(\blk00000003/blk000001bc/sig00000add ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d2 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000448 ), + .Q(\blk00000003/blk000001bc/sig00000adc ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d1 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig00000449 ), + .Q(\blk00000003/blk000001bc/sig00000adb ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001d0 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044a ), + .Q(\blk00000003/blk000001bc/sig00000ada ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001cf ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044b ), + .Q(\blk00000003/blk000001bc/sig00000ad9 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001bc/blk000001ce ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000001bc/sig00000ad7 ), + .A3(\blk00000003/blk000001bc/sig00000ad7 ), + .CE(\blk00000003/blk000001bc/sig00000ae8 ), + .CLK(clk), + .D(\blk00000003/sig0000044c ), + .Q(\blk00000003/blk000001bc/sig00000ad8 ), + .Q15(\NLW_blk00000003/blk000001bc/blk000001ce_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae7 ), + .Q(\blk00000003/sig00000641 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae6 ), + .Q(\blk00000003/sig00000642 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001cb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae5 ), + .Q(\blk00000003/sig00000643 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001ca ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae4 ), + .Q(\blk00000003/sig00000644 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae3 ), + .Q(\blk00000003/sig00000645 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae2 ), + .Q(\blk00000003/sig00000646 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae1 ), + .Q(\blk00000003/sig00000647 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ae0 ), + .Q(\blk00000003/sig00000648 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adf ), + .Q(\blk00000003/sig00000649 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ade ), + .Q(\blk00000003/sig0000064a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000add ), + .Q(\blk00000003/sig0000064b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adc ), + .Q(\blk00000003/sig0000064c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000adb ), + .Q(\blk00000003/sig0000064d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ada ), + .Q(\blk00000003/sig0000064e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad9 ), + .Q(\blk00000003/sig0000064f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001bc/blk000001be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001bc/sig00000ad8 ), + .Q(\blk00000003/sig00000650 ) + ); + GND \blk00000003/blk000001bc/blk000001bd ( + .G(\blk00000003/blk000001bc/sig00000ad7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000001df/blk00000201 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk000001df/sig00000b1f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk00000200 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ad ), + .Q(\blk00000003/blk000001df/sig00000b1e ), + .Q15(\NLW_blk00000003/blk000001df/blk00000200_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001ff ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ae ), + .Q(\blk00000003/blk000001df/sig00000b1d ), + .Q15(\NLW_blk00000003/blk000001df/blk000001ff_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fe ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006af ), + .Q(\blk00000003/blk000001df/sig00000b1c ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fe_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fd ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b0 ), + .Q(\blk00000003/blk000001df/sig00000b1b ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fc ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b1 ), + .Q(\blk00000003/blk000001df/sig00000b1a ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fb ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b2 ), + .Q(\blk00000003/blk000001df/sig00000b19 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001fa ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b3 ), + .Q(\blk00000003/blk000001df/sig00000b18 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001fa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f9 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b4 ), + .Q(\blk00000003/blk000001df/sig00000b17 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f8 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b5 ), + .Q(\blk00000003/blk000001df/sig00000b16 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f7 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b6 ), + .Q(\blk00000003/blk000001df/sig00000b15 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f6 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b7 ), + .Q(\blk00000003/blk000001df/sig00000b14 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f5 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b8 ), + .Q(\blk00000003/blk000001df/sig00000b13 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f4 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006b9 ), + .Q(\blk00000003/blk000001df/sig00000b12 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f3 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006ba ), + .Q(\blk00000003/blk000001df/sig00000b11 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f2 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bb ), + .Q(\blk00000003/blk000001df/sig00000b10 ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000001df/blk000001f1 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk000001df/sig00000b0e ), + .A3(\blk00000003/blk000001df/sig00000b0e ), + .CE(\blk00000003/blk000001df/sig00000b1f ), + .CLK(clk), + .D(\blk00000003/sig000006bc ), + .Q(\blk00000003/blk000001df/sig00000b0f ), + .Q15(\NLW_blk00000003/blk000001df/blk000001f1_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1e ), + .Q(\blk00000003/sig00000631 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1d ), + .Q(\blk00000003/sig00000632 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1c ), + .Q(\blk00000003/sig00000633 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1b ), + .Q(\blk00000003/sig00000634 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b1a ), + .Q(\blk00000003/sig00000635 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b19 ), + .Q(\blk00000003/sig00000636 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b18 ), + .Q(\blk00000003/sig00000637 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b17 ), + .Q(\blk00000003/sig00000638 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b16 ), + .Q(\blk00000003/sig00000639 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b15 ), + .Q(\blk00000003/sig0000063a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b14 ), + .Q(\blk00000003/sig0000063b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b13 ), + .Q(\blk00000003/sig0000063c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b12 ), + .Q(\blk00000003/sig0000063d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b11 ), + .Q(\blk00000003/sig0000063e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b10 ), + .Q(\blk00000003/sig0000063f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000001df/blk000001e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000001df/sig00000b0f ), + .Q(\blk00000003/sig00000640 ) + ); + GND \blk00000003/blk000001df/blk000001e0 ( + .G(\blk00000003/blk000001df/sig00000b0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000202/blk00000224 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk00000202/sig00000b56 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000223 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048d ), + .Q(\blk00000003/blk00000202/sig00000b55 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000223_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000222 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048e ), + .Q(\blk00000003/blk00000202/sig00000b54 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000222_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000221 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000048f ), + .Q(\blk00000003/blk00000202/sig00000b53 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000221_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000220 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000490 ), + .Q(\blk00000003/blk00000202/sig00000b52 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000220_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000491 ), + .Q(\blk00000003/blk00000202/sig00000b51 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000492 ), + .Q(\blk00000003/blk00000202/sig00000b50 ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000493 ), + .Q(\blk00000003/blk00000202/sig00000b4f ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000494 ), + .Q(\blk00000003/blk00000202/sig00000b4e ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000495 ), + .Q(\blk00000003/blk00000202/sig00000b4d ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk0000021a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000496 ), + .Q(\blk00000003/blk00000202/sig00000b4c ), + .Q15(\NLW_blk00000003/blk00000202/blk0000021a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000219 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000497 ), + .Q(\blk00000003/blk00000202/sig00000b4b ), + .Q15(\NLW_blk00000003/blk00000202/blk00000219_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000218 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000498 ), + .Q(\blk00000003/blk00000202/sig00000b4a ), + .Q15(\NLW_blk00000003/blk00000202/blk00000218_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000217 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig00000499 ), + .Q(\blk00000003/blk00000202/sig00000b49 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000217_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000216 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049a ), + .Q(\blk00000003/blk00000202/sig00000b48 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000216_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000215 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049b ), + .Q(\blk00000003/blk00000202/sig00000b47 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000215_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000202/blk00000214 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk00000202/sig00000b45 ), + .A3(\blk00000003/blk00000202/sig00000b45 ), + .CE(\blk00000003/blk00000202/sig00000b56 ), + .CLK(clk), + .D(\blk00000003/sig0000049c ), + .Q(\blk00000003/blk00000202/sig00000b46 ), + .Q15(\NLW_blk00000003/blk00000202/blk00000214_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000213 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b55 ), + .Q(\blk00000003/sig00000661 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000212 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b54 ), + .Q(\blk00000003/sig00000662 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000211 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b53 ), + .Q(\blk00000003/sig00000663 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000210 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b52 ), + .Q(\blk00000003/sig00000664 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b51 ), + .Q(\blk00000003/sig00000665 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b50 ), + .Q(\blk00000003/sig00000666 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4f ), + .Q(\blk00000003/sig00000667 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4e ), + .Q(\blk00000003/sig00000668 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4d ), + .Q(\blk00000003/sig00000669 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk0000020a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4c ), + .Q(\blk00000003/sig0000066a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000209 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4b ), + .Q(\blk00000003/sig0000066b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000208 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b4a ), + .Q(\blk00000003/sig0000066c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000207 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b49 ), + .Q(\blk00000003/sig0000066d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000206 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b48 ), + .Q(\blk00000003/sig0000066e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000205 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b47 ), + .Q(\blk00000003/sig0000066f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000202/blk00000204 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000202/sig00000b46 ), + .Q(\blk00000003/sig00000670 ) + ); + GND \blk00000003/blk00000202/blk00000203 ( + .G(\blk00000003/blk00000202/sig00000b45 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000225/blk00000247 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000225/sig00000b8d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000246 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bd ), + .Q(\blk00000003/blk00000225/sig00000b8c ), + .Q15(\NLW_blk00000003/blk00000225/blk00000246_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000245 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006be ), + .Q(\blk00000003/blk00000225/sig00000b8b ), + .Q15(\NLW_blk00000003/blk00000225/blk00000245_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000244 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006bf ), + .Q(\blk00000003/blk00000225/sig00000b8a ), + .Q15(\NLW_blk00000003/blk00000225/blk00000244_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000243 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c0 ), + .Q(\blk00000003/blk00000225/sig00000b89 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000243_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000242 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c1 ), + .Q(\blk00000003/blk00000225/sig00000b88 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000242_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000241 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c2 ), + .Q(\blk00000003/blk00000225/sig00000b87 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000241_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000240 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c3 ), + .Q(\blk00000003/blk00000225/sig00000b86 ), + .Q15(\NLW_blk00000003/blk00000225/blk00000240_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c4 ), + .Q(\blk00000003/blk00000225/sig00000b85 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c5 ), + .Q(\blk00000003/blk00000225/sig00000b84 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c6 ), + .Q(\blk00000003/blk00000225/sig00000b83 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c7 ), + .Q(\blk00000003/blk00000225/sig00000b82 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c8 ), + .Q(\blk00000003/blk00000225/sig00000b81 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk0000023a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006c9 ), + .Q(\blk00000003/blk00000225/sig00000b80 ), + .Q15(\NLW_blk00000003/blk00000225/blk0000023a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000239 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006ca ), + .Q(\blk00000003/blk00000225/sig00000b7f ), + .Q15(\NLW_blk00000003/blk00000225/blk00000239_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000238 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cb ), + .Q(\blk00000003/blk00000225/sig00000b7e ), + .Q15(\NLW_blk00000003/blk00000225/blk00000238_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000225/blk00000237 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000225/sig00000b7c ), + .A3(\blk00000003/blk00000225/sig00000b7c ), + .CE(\blk00000003/blk00000225/sig00000b8d ), + .CLK(clk), + .D(\blk00000003/sig000006cc ), + .Q(\blk00000003/blk00000225/sig00000b7d ), + .Q15(\NLW_blk00000003/blk00000225/blk00000237_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000236 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8c ), + .Q(\blk00000003/sig00000651 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000235 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8b ), + .Q(\blk00000003/sig00000652 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000234 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b8a ), + .Q(\blk00000003/sig00000653 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000233 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b89 ), + .Q(\blk00000003/sig00000654 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000232 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b88 ), + .Q(\blk00000003/sig00000655 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000231 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b87 ), + .Q(\blk00000003/sig00000656 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000230 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b86 ), + .Q(\blk00000003/sig00000657 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b85 ), + .Q(\blk00000003/sig00000658 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b84 ), + .Q(\blk00000003/sig00000659 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b83 ), + .Q(\blk00000003/sig0000065a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b82 ), + .Q(\blk00000003/sig0000065b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b81 ), + .Q(\blk00000003/sig0000065c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk0000022a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b80 ), + .Q(\blk00000003/sig0000065d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000229 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7f ), + .Q(\blk00000003/sig0000065e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000228 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7e ), + .Q(\blk00000003/sig0000065f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000225/blk00000227 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000225/sig00000b7d ), + .Q(\blk00000003/sig00000660 ) + ); + GND \blk00000003/blk00000225/blk00000226 ( + .G(\blk00000003/blk00000225/sig00000b7c ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000248/blk0000026a ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000248/sig00000bc4 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000269 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cd ), + .Q(\blk00000003/blk00000248/sig00000bc3 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000269_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000268 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006ce ), + .Q(\blk00000003/blk00000248/sig00000bc2 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000268_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000267 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006cf ), + .Q(\blk00000003/blk00000248/sig00000bc1 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000267_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000266 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d0 ), + .Q(\blk00000003/blk00000248/sig00000bc0 ), + .Q15(\NLW_blk00000003/blk00000248/blk00000266_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000265 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d1 ), + .Q(\blk00000003/blk00000248/sig00000bbf ), + .Q15(\NLW_blk00000003/blk00000248/blk00000265_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000264 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d2 ), + .Q(\blk00000003/blk00000248/sig00000bbe ), + .Q15(\NLW_blk00000003/blk00000248/blk00000264_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000263 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d3 ), + .Q(\blk00000003/blk00000248/sig00000bbd ), + .Q15(\NLW_blk00000003/blk00000248/blk00000263_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000262 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d4 ), + .Q(\blk00000003/blk00000248/sig00000bbc ), + .Q15(\NLW_blk00000003/blk00000248/blk00000262_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000261 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d5 ), + .Q(\blk00000003/blk00000248/sig00000bbb ), + .Q15(\NLW_blk00000003/blk00000248/blk00000261_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk00000260 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d6 ), + .Q(\blk00000003/blk00000248/sig00000bba ), + .Q15(\NLW_blk00000003/blk00000248/blk00000260_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d7 ), + .Q(\blk00000003/blk00000248/sig00000bb9 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025e ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d8 ), + .Q(\blk00000003/blk00000248/sig00000bb8 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025d ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006d9 ), + .Q(\blk00000003/blk00000248/sig00000bb7 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006da ), + .Q(\blk00000003/blk00000248/sig00000bb6 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006db ), + .Q(\blk00000003/blk00000248/sig00000bb5 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000248/blk0000025a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000248/sig00000bb3 ), + .A3(\blk00000003/blk00000248/sig00000bb3 ), + .CE(\blk00000003/blk00000248/sig00000bc4 ), + .CLK(clk), + .D(\blk00000003/sig000006dc ), + .Q(\blk00000003/blk00000248/sig00000bb4 ), + .Q15(\NLW_blk00000003/blk00000248/blk0000025a_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000259 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc3 ), + .Q(\blk00000003/sig0000043d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000258 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc2 ), + .Q(\blk00000003/sig0000043e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000257 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc1 ), + .Q(\blk00000003/sig0000043f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000256 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bc0 ), + .Q(\blk00000003/sig00000440 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000255 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbf ), + .Q(\blk00000003/sig00000441 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000254 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbe ), + .Q(\blk00000003/sig00000442 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000253 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbd ), + .Q(\blk00000003/sig00000443 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000252 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbc ), + .Q(\blk00000003/sig00000444 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000251 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bbb ), + .Q(\blk00000003/sig00000445 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk00000250 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bba ), + .Q(\blk00000003/sig00000446 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb9 ), + .Q(\blk00000003/sig00000447 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb8 ), + .Q(\blk00000003/sig00000448 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb7 ), + .Q(\blk00000003/sig00000449 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb6 ), + .Q(\blk00000003/sig0000044a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb5 ), + .Q(\blk00000003/sig0000044b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000248/blk0000024a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000248/sig00000bb4 ), + .Q(\blk00000003/sig0000044c ) + ); + GND \blk00000003/blk00000248/blk00000249 ( + .G(\blk00000003/blk00000248/sig00000bb3 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000026b/blk0000028d ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000026b/sig00000bfb ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006dd ), + .Q(\blk00000003/blk0000026b/sig00000bfa ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006de ), + .Q(\blk00000003/blk0000026b/sig00000bf9 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000028a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006df ), + .Q(\blk00000003/blk0000026b/sig00000bf8 ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000028a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000289 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e0 ), + .Q(\blk00000003/blk0000026b/sig00000bf7 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000289_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000288 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e1 ), + .Q(\blk00000003/blk0000026b/sig00000bf6 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000288_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000287 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e2 ), + .Q(\blk00000003/blk0000026b/sig00000bf5 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000287_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000286 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e3 ), + .Q(\blk00000003/blk0000026b/sig00000bf4 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000286_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000285 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e4 ), + .Q(\blk00000003/blk0000026b/sig00000bf3 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000285_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000284 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e5 ), + .Q(\blk00000003/blk0000026b/sig00000bf2 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000284_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000283 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e6 ), + .Q(\blk00000003/blk0000026b/sig00000bf1 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000283_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000282 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e7 ), + .Q(\blk00000003/blk0000026b/sig00000bf0 ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000282_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000281 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e8 ), + .Q(\blk00000003/blk0000026b/sig00000bef ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000281_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk00000280 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006e9 ), + .Q(\blk00000003/blk0000026b/sig00000bee ), + .Q15(\NLW_blk00000003/blk0000026b/blk00000280_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ea ), + .Q(\blk00000003/blk0000026b/sig00000bed ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006eb ), + .Q(\blk00000003/blk0000026b/sig00000bec ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000026b/blk0000027d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000026b/sig00000bea ), + .A3(\blk00000003/blk0000026b/sig00000bea ), + .CE(\blk00000003/blk0000026b/sig00000bfb ), + .CLK(clk), + .D(\blk00000003/sig000006ec ), + .Q(\blk00000003/blk0000026b/sig00000beb ), + .Q15(\NLW_blk00000003/blk0000026b/blk0000027d_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bfa ), + .Q(\blk00000003/sig0000042d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf9 ), + .Q(\blk00000003/sig0000042e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000027a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf8 ), + .Q(\blk00000003/sig0000042f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000279 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf7 ), + .Q(\blk00000003/sig00000430 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000278 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf6 ), + .Q(\blk00000003/sig00000431 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000277 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf5 ), + .Q(\blk00000003/sig00000432 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000276 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf4 ), + .Q(\blk00000003/sig00000433 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000275 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf3 ), + .Q(\blk00000003/sig00000434 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000274 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf2 ), + .Q(\blk00000003/sig00000435 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000273 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf1 ), + .Q(\blk00000003/sig00000436 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000272 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bf0 ), + .Q(\blk00000003/sig00000437 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000271 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bef ), + .Q(\blk00000003/sig00000438 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk00000270 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bee ), + .Q(\blk00000003/sig00000439 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bed ), + .Q(\blk00000003/sig0000043a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000bec ), + .Q(\blk00000003/sig0000043b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000026b/blk0000026d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000026b/sig00000beb ), + .Q(\blk00000003/sig0000043c ) + ); + GND \blk00000003/blk0000026b/blk0000026c ( + .G(\blk00000003/blk0000026b/sig00000bea ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000028e/blk000002b0 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000028e/sig00000c32 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002af ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ed ), + .Q(\blk00000003/blk0000028e/sig00000c31 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002af_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ae ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ee ), + .Q(\blk00000003/blk0000028e/sig00000c30 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ae_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ad ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006ef ), + .Q(\blk00000003/blk0000028e/sig00000c2f ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ad_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ac ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f0 ), + .Q(\blk00000003/blk0000028e/sig00000c2e ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ac_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002ab ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f1 ), + .Q(\blk00000003/blk0000028e/sig00000c2d ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002ab_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002aa ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f2 ), + .Q(\blk00000003/blk0000028e/sig00000c2c ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002aa_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a9 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f3 ), + .Q(\blk00000003/blk0000028e/sig00000c2b ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a8 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f4 ), + .Q(\blk00000003/blk0000028e/sig00000c2a ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a7 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f5 ), + .Q(\blk00000003/blk0000028e/sig00000c29 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a6 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f6 ), + .Q(\blk00000003/blk0000028e/sig00000c28 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a5 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f7 ), + .Q(\blk00000003/blk0000028e/sig00000c27 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a4 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f8 ), + .Q(\blk00000003/blk0000028e/sig00000c26 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a3 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006f9 ), + .Q(\blk00000003/blk0000028e/sig00000c25 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a2 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fa ), + .Q(\blk00000003/blk0000028e/sig00000c24 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a1 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fb ), + .Q(\blk00000003/blk0000028e/sig00000c23 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000028e/blk000002a0 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000028e/sig00000c21 ), + .A3(\blk00000003/blk0000028e/sig00000c21 ), + .CE(\blk00000003/blk0000028e/sig00000c32 ), + .CLK(clk), + .D(\blk00000003/sig000006fc ), + .Q(\blk00000003/blk0000028e/sig00000c22 ), + .Q15(\NLW_blk00000003/blk0000028e/blk000002a0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c31 ), + .Q(\blk00000003/sig0000048d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c30 ), + .Q(\blk00000003/sig0000048e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2f ), + .Q(\blk00000003/sig0000048f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2e ), + .Q(\blk00000003/sig00000490 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2d ), + .Q(\blk00000003/sig00000491 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk0000029a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2c ), + .Q(\blk00000003/sig00000492 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000299 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2b ), + .Q(\blk00000003/sig00000493 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000298 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c2a ), + .Q(\blk00000003/sig00000494 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000297 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c29 ), + .Q(\blk00000003/sig00000495 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000296 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c28 ), + .Q(\blk00000003/sig00000496 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000295 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c27 ), + .Q(\blk00000003/sig00000497 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000294 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c26 ), + .Q(\blk00000003/sig00000498 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000293 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c25 ), + .Q(\blk00000003/sig00000499 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000292 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c24 ), + .Q(\blk00000003/sig0000049a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000291 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c23 ), + .Q(\blk00000003/sig0000049b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000028e/blk00000290 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000028e/sig00000c22 ), + .Q(\blk00000003/sig0000049c ) + ); + GND \blk00000003/blk0000028e/blk0000028f ( + .G(\blk00000003/blk0000028e/sig00000c21 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002b1/blk000002d3 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk000002b1/sig00000c69 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d2 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fd ), + .Q(\blk00000003/blk000002b1/sig00000c68 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d1 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006fe ), + .Q(\blk00000003/blk000002b1/sig00000c67 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002d0 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig000006ff ), + .Q(\blk00000003/blk000002b1/sig00000c66 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cf ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000700 ), + .Q(\blk00000003/blk000002b1/sig00000c65 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ce ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000701 ), + .Q(\blk00000003/blk000002b1/sig00000c64 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cd ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000702 ), + .Q(\blk00000003/blk000002b1/sig00000c63 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cc ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000703 ), + .Q(\blk00000003/blk000002b1/sig00000c62 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002cb ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000704 ), + .Q(\blk00000003/blk000002b1/sig00000c61 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002ca ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000705 ), + .Q(\blk00000003/blk000002b1/sig00000c60 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002ca_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c9 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000706 ), + .Q(\blk00000003/blk000002b1/sig00000c5f ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c8 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000707 ), + .Q(\blk00000003/blk000002b1/sig00000c5e ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c7 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000708 ), + .Q(\blk00000003/blk000002b1/sig00000c5d ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c6 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig00000709 ), + .Q(\blk00000003/blk000002b1/sig00000c5c ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c5 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070a ), + .Q(\blk00000003/blk000002b1/sig00000c5b ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c4 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070b ), + .Q(\blk00000003/blk000002b1/sig00000c5a ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002b1/blk000002c3 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk000002b1/sig00000c58 ), + .A3(\blk00000003/blk000002b1/sig00000c58 ), + .CE(\blk00000003/blk000002b1/sig00000c69 ), + .CLK(clk), + .D(\blk00000003/sig0000070c ), + .Q(\blk00000003/blk000002b1/sig00000c59 ), + .Q15(\NLW_blk00000003/blk000002b1/blk000002c3_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c68 ), + .Q(\blk00000003/sig0000047d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c67 ), + .Q(\blk00000003/sig0000047e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002c0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c66 ), + .Q(\blk00000003/sig0000047f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bf ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c65 ), + .Q(\blk00000003/sig00000480 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002be ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c64 ), + .Q(\blk00000003/sig00000481 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c63 ), + .Q(\blk00000003/sig00000482 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c62 ), + .Q(\blk00000003/sig00000483 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002bb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c61 ), + .Q(\blk00000003/sig00000484 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002ba ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c60 ), + .Q(\blk00000003/sig00000485 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5f ), + .Q(\blk00000003/sig00000486 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5e ), + .Q(\blk00000003/sig00000487 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5d ), + .Q(\blk00000003/sig00000488 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5c ), + .Q(\blk00000003/sig00000489 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5b ), + .Q(\blk00000003/sig0000048a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c5a ), + .Q(\blk00000003/sig0000048b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002b1/blk000002b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002b1/sig00000c59 ), + .Q(\blk00000003/sig0000048c ) + ); + GND \blk00000003/blk000002b1/blk000002b2 ( + .G(\blk00000003/blk000002b1/sig00000c58 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000002d4/blk0000030c ( + .I0(\blk00000003/sig00000307 ), + .I1(ce), + .O(\blk00000003/blk000002d4/sig00000cce ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030b ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cbb ), + .DPO(\blk00000003/blk000002d4/sig00000ccd ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk0000030a ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cba ), + .DPO(\blk00000003/blk000002d4/sig00000ccc ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000309 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb9 ), + .DPO(\blk00000003/blk000002d4/sig00000ccb ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000308 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb8 ), + .DPO(\blk00000003/blk000002d4/sig00000cca ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000307 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb7 ), + .DPO(\blk00000003/blk000002d4/sig00000cc9 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000306 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb6 ), + .DPO(\blk00000003/blk000002d4/sig00000cc8 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000305 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb4 ), + .DPO(\blk00000003/blk000002d4/sig00000cc6 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk000002d4/blk00000304 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb3 ), + .DPO(\blk00000003/blk000002d4/sig00000cc5 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk000002d4/blk00000303 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb5 ), + .DPO(\blk00000003/blk000002d4/sig00000cc7 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk000002d4/blk00000302 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb2 ), + .DPO(\blk00000003/blk000002d4/sig00000cc4 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk000002d4/blk00000301 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb1 ), + .DPO(\blk00000003/blk000002d4/sig00000cc3 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk000002d4/blk00000300 ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cb0 ), + .DPO(\blk00000003/blk000002d4/sig00000cc2 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000002d4/blk000002ff ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caf ), + .DPO(\blk00000003/blk000002d4/sig00000cc1 ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fe ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cae ), + .DPO(\blk00000003/blk000002d4/sig00000cc0 ) + ); + RAM16X1D #( + .INIT ( 16'h0004 )) + \blk00000003/blk000002d4/blk000002fd ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cad ), + .DPO(\blk00000003/blk000002d4/sig00000cbf ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk000002d4/blk000002fc ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cab ), + .DPO(\blk00000003/blk000002d4/sig00000cbd ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fb ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000caa ), + .DPO(\blk00000003/blk000002d4/sig00000cbc ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk000002d4/blk000002fa ( + .A0(\blk00000003/sig00000339 ), + .A1(\blk00000003/sig0000033d ), + .A2(\blk00000003/sig00000340 ), + .A3(\blk00000003/blk000002d4/sig00000ca9 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000390 ), + .DPRA1(\blk00000003/sig00000394 ), + .DPRA2(\blk00000003/sig0000039a ), + .DPRA3(\blk00000003/blk000002d4/sig00000ca9 ), + .WCLK(clk), + .WE(\blk00000003/blk000002d4/sig00000cce ), + .SPO(\blk00000003/blk000002d4/sig00000cac ), + .DPO(\blk00000003/blk000002d4/sig00000cbe ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccd ), + .Q(\blk00000003/sig000003db ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccc ), + .Q(\blk00000003/sig000003dc ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000ccb ), + .Q(\blk00000003/sig000003dd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cca ), + .Q(\blk00000003/sig000003de ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc9 ), + .Q(\blk00000003/sig000003df ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc8 ), + .Q(\blk00000003/sig000003e0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc7 ), + .Q(\blk00000003/sig000003e1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc6 ), + .Q(\blk00000003/sig000003e2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc5 ), + .Q(\blk00000003/sig000003e3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc4 ), + .Q(\blk00000003/sig000003e4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc3 ), + .Q(\blk00000003/sig000003e5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc2 ), + .Q(\blk00000003/sig000003e6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc1 ), + .Q(\blk00000003/sig000003e7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cc0 ), + .Q(\blk00000003/sig000003e8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbf ), + .Q(\blk00000003/sig000003e9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbe ), + .Q(\blk00000003/sig000003ea ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbd ), + .Q(\blk00000003/sig000003eb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbc ), + .Q(\blk00000003/sig000003ec ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cbb ), + .Q(\blk00000003/sig0000071f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cba ), + .Q(\blk00000003/sig00000720 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb9 ), + .Q(\blk00000003/sig00000721 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb8 ), + .Q(\blk00000003/sig00000722 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb7 ), + .Q(\blk00000003/sig00000723 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb6 ), + .Q(\blk00000003/sig00000724 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb5 ), + .Q(\blk00000003/sig00000725 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002e0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb4 ), + .Q(\blk00000003/sig00000726 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002df ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb3 ), + .Q(\blk00000003/sig00000727 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002de ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb2 ), + .Q(\blk00000003/sig00000728 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb1 ), + .Q(\blk00000003/sig00000729 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cb0 ), + .Q(\blk00000003/sig0000072a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caf ), + .Q(\blk00000003/sig0000072b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cae ), + .Q(\blk00000003/sig0000072c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cad ), + .Q(\blk00000003/sig0000072d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cac ), + .Q(\blk00000003/sig0000072e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000cab ), + .Q(\blk00000003/sig0000072f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000002d4/blk000002d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000002d4/sig00000caa ), + .Q(\blk00000003/sig00000730 ) + ); + GND \blk00000003/blk000002d4/blk000002d5 ( + .G(\blk00000003/blk000002d4/sig00000ca9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000030d/blk00000345 ( + .I0(\blk00000003/sig00000677 ), + .I1(ce), + .O(\blk00000003/blk0000030d/sig00000d33 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000344 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000071f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d20 ), + .DPO(\blk00000003/blk0000030d/sig00000d32 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000343 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000720 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1f ), + .DPO(\blk00000003/blk0000030d/sig00000d31 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000342 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000721 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1e ), + .DPO(\blk00000003/blk0000030d/sig00000d30 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000341 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000722 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1d ), + .DPO(\blk00000003/blk0000030d/sig00000d2f ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk0000030d/blk00000340 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000723 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1c ), + .DPO(\blk00000003/blk0000030d/sig00000d2e ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk0000030d/blk0000033f ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000724 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1b ), + .DPO(\blk00000003/blk0000030d/sig00000d2d ) + ); + RAM16X1D #( + .INIT ( 16'h0008 )) + \blk00000003/blk0000030d/blk0000033e ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000726 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d19 ), + .DPO(\blk00000003/blk0000030d/sig00000d2b ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk0000030d/blk0000033d ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000727 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d18 ), + .DPO(\blk00000003/blk0000030d/sig00000d2a ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk0000033c ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000725 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d1a ), + .DPO(\blk00000003/blk0000030d/sig00000d2c ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk0000033b ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000728 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d17 ), + .DPO(\blk00000003/blk0000030d/sig00000d29 ) + ); + RAM16X1D #( + .INIT ( 16'h0006 )) + \blk00000003/blk0000030d/blk0000033a ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000729 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d16 ), + .DPO(\blk00000003/blk0000030d/sig00000d28 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk0000030d/blk00000339 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072a ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d15 ), + .DPO(\blk00000003/blk0000030d/sig00000d27 ) + ); + RAM16X1D #( + .INIT ( 16'h000A )) + \blk00000003/blk0000030d/blk00000338 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072b ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d14 ), + .DPO(\blk00000003/blk0000030d/sig00000d26 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000337 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072c ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d13 ), + .DPO(\blk00000003/blk0000030d/sig00000d25 ) + ); + RAM16X1D #( + .INIT ( 16'h0003 )) + \blk00000003/blk0000030d/blk00000336 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072d ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d12 ), + .DPO(\blk00000003/blk0000030d/sig00000d24 ) + ); + RAM16X1D #( + .INIT ( 16'h000B )) + \blk00000003/blk0000030d/blk00000335 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072f ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d10 ), + .DPO(\blk00000003/blk0000030d/sig00000d22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk0000030d/blk00000334 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig00000730 ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d0f ), + .DPO(\blk00000003/blk0000030d/sig00000d21 ) + ); + RAM16X1D #( + .INIT ( 16'h000F )) + \blk00000003/blk0000030d/blk00000333 ( + .A0(\blk00000003/sig00000671 ), + .A1(\blk00000003/sig00000672 ), + .A2(\blk00000003/sig00000673 ), + .A3(\blk00000003/blk0000030d/sig00000d0e ), + .D(\blk00000003/sig0000072e ), + .DPRA0(\blk00000003/sig00000681 ), + .DPRA1(\blk00000003/sig0000067f ), + .DPRA2(\blk00000003/sig0000067d ), + .DPRA3(\blk00000003/blk0000030d/sig00000d0e ), + .WCLK(clk), + .WE(\blk00000003/blk0000030d/sig00000d33 ), + .SPO(\blk00000003/blk0000030d/sig00000d11 ), + .DPO(\blk00000003/blk0000030d/sig00000d23 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000332 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d32 ), + .Q(\blk00000003/sig0000056f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000331 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d31 ), + .Q(\blk00000003/sig00000570 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000330 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d30 ), + .Q(\blk00000003/sig00000571 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2f ), + .Q(\blk00000003/sig00000572 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2e ), + .Q(\blk00000003/sig00000573 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2d ), + .Q(\blk00000003/sig00000574 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2c ), + .Q(\blk00000003/sig00000575 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2b ), + .Q(\blk00000003/sig00000576 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000032a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d2a ), + .Q(\blk00000003/sig00000577 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000329 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d29 ), + .Q(\blk00000003/sig00000578 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000328 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d28 ), + .Q(\blk00000003/sig00000579 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000327 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d27 ), + .Q(\blk00000003/sig0000057a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000326 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d26 ), + .Q(\blk00000003/sig0000057b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000325 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d25 ), + .Q(\blk00000003/sig0000057c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000324 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d24 ), + .Q(\blk00000003/sig0000057d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000323 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d23 ), + .Q(\blk00000003/sig0000057e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000322 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d22 ), + .Q(\blk00000003/sig0000057f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000321 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d21 ), + .Q(\blk00000003/sig00000580 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000320 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d20 ), + .Q(\blk00000003/sig00000731 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1f ), + .Q(\blk00000003/sig00000732 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1e ), + .Q(\blk00000003/sig00000733 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1d ), + .Q(\blk00000003/sig00000734 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1c ), + .Q(\blk00000003/sig00000735 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1b ), + .Q(\blk00000003/sig00000736 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000031a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d1a ), + .Q(\blk00000003/sig00000737 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000319 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d19 ), + .Q(\blk00000003/sig00000738 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000318 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d18 ), + .Q(\blk00000003/sig00000739 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000317 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d17 ), + .Q(\blk00000003/sig0000073a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000316 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d16 ), + .Q(\blk00000003/sig0000073b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000315 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d15 ), + .Q(\blk00000003/sig0000073c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000314 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d14 ), + .Q(\blk00000003/sig0000073d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000313 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d13 ), + .Q(\blk00000003/sig0000073e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000312 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d12 ), + .Q(\blk00000003/sig0000073f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000311 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d11 ), + .Q(\blk00000003/sig00000740 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk00000310 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d10 ), + .Q(\blk00000003/sig00000741 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000030d/blk0000030f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000030d/sig00000d0f ), + .Q(\blk00000003/sig00000742 ) + ); + GND \blk00000003/blk0000030d/blk0000030e ( + .G(\blk00000003/blk0000030d/sig00000d0e ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000386/blk000003ac ( + .I0(\blk00000003/sig00000678 ), + .I1(ce), + .O(\blk00000003/blk00000386/sig00000d74 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003ab ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000731 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003ab_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d73 ) + ); + RAM16X1D #( + .INIT ( 16'h000D )) + \blk00000003/blk00000386/blk000003aa ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000732 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003aa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d72 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk000003a9 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000733 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d71 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a8 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000734 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d70 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a7 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000735 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6f ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a6 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000736 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6e ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk000003a5 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000738 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6c ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a4 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000739 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a4_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6b ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk000003a3 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000737 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a3_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6d ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk000003a2 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073a ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a2_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d6a ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk000003a1 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073b ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a1_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d69 ) + ); + RAM16X1D #( + .INIT ( 16'h000C )) + \blk00000003/blk00000386/blk000003a0 ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073c ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk000003a0_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d68 ) + ); + RAM16X1D #( + .INIT ( 16'h0002 )) + \blk00000003/blk00000386/blk0000039f ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073d ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039f_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d67 ) + ); + RAM16X1D #( + .INIT ( 16'h0005 )) + \blk00000003/blk00000386/blk0000039e ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073e ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039e_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d66 ) + ); + RAM16X1D #( + .INIT ( 16'h0007 )) + \blk00000003/blk00000386/blk0000039d ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig0000073f ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039d_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d65 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000386/blk0000039c ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000741 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039c_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d63 ) + ); + RAM16X1D #( + .INIT ( 16'h0009 )) + \blk00000003/blk00000386/blk0000039b ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000742 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039b_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d62 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk00000386/blk0000039a ( + .A0(\blk00000003/sig00000674 ), + .A1(\blk00000003/sig00000675 ), + .A2(\blk00000003/sig00000676 ), + .A3(\blk00000003/blk00000386/sig00000d61 ), + .D(\blk00000003/sig00000740 ), + .DPRA0(\blk00000003/sig00000682 ), + .DPRA1(\blk00000003/sig00000680 ), + .DPRA2(\blk00000003/sig0000067e ), + .DPRA3(\blk00000003/blk00000386/sig00000d61 ), + .WCLK(clk), + .WE(\blk00000003/blk00000386/sig00000d74 ), + .SPO(\NLW_blk00000003/blk00000386/blk0000039a_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk00000386/sig00000d64 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000399 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d73 ), + .Q(\blk00000003/sig0000050d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000398 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d72 ), + .Q(\blk00000003/sig0000050e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000397 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d71 ), + .Q(\blk00000003/sig0000050f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000396 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d70 ), + .Q(\blk00000003/sig00000510 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000395 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6f ), + .Q(\blk00000003/sig00000511 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000394 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6e ), + .Q(\blk00000003/sig00000512 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000393 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6d ), + .Q(\blk00000003/sig00000513 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000392 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6c ), + .Q(\blk00000003/sig00000514 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000391 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6b ), + .Q(\blk00000003/sig00000515 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000390 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d6a ), + .Q(\blk00000003/sig00000516 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d69 ), + .Q(\blk00000003/sig00000517 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d68 ), + .Q(\blk00000003/sig00000518 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d67 ), + .Q(\blk00000003/sig00000519 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d66 ), + .Q(\blk00000003/sig0000051a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d65 ), + .Q(\blk00000003/sig0000051b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk0000038a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d64 ), + .Q(\blk00000003/sig0000051c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000389 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d63 ), + .Q(\blk00000003/sig0000051d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000386/blk00000388 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000386/sig00000d62 ), + .Q(\blk00000003/sig0000051e ) + ); + GND \blk00000003/blk00000386/blk00000387 ( + .G(\blk00000003/blk00000386/sig00000d61 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003ad/blk000003bf ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003ad/sig00000d93 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003be ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000567 ), + .Q(\blk00000003/blk000003ad/sig00000d92 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003be_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000568 ), + .Q(\blk00000003/blk000003ad/sig00000d91 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bc ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig00000569 ), + .Q(\blk00000003/blk000003ad/sig00000d90 ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003bb ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056a ), + .Q(\blk00000003/blk000003ad/sig00000d8f ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003bb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003ba ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056b ), + .Q(\blk00000003/blk000003ad/sig00000d8e ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003ba_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b9 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056c ), + .Q(\blk00000003/blk000003ad/sig00000d8d ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b9_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b8 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056d ), + .Q(\blk00000003/blk000003ad/sig00000d8c ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b8_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003ad/blk000003b7 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003ad/sig00000d8a ), + .A3(\blk00000003/blk000003ad/sig00000d8a ), + .CE(\blk00000003/blk000003ad/sig00000d93 ), + .CLK(clk), + .D(\blk00000003/sig0000056e ), + .Q(\blk00000003/blk000003ad/sig00000d8b ), + .Q15(\NLW_blk00000003/blk000003ad/blk000003b7_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d92 ), + .Q(\blk00000003/sig00000505 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d91 ), + .Q(\blk00000003/sig00000506 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d90 ), + .Q(\blk00000003/sig00000507 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8f ), + .Q(\blk00000003/sig00000508 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8e ), + .Q(\blk00000003/sig00000509 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8d ), + .Q(\blk00000003/sig0000050a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003b0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8c ), + .Q(\blk00000003/sig0000050b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003ad/blk000003af ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003ad/sig00000d8b ), + .Q(\blk00000003/sig0000050c ) + ); + GND \blk00000003/blk000003ad/blk000003ae ( + .G(\blk00000003/blk000003ad/sig00000d8a ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003c0/blk000003d2 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003c0/sig00000db2 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000745 ), + .Q(\blk00000003/blk000003c0/sig00000db1 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003d0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000746 ), + .Q(\blk00000003/blk000003c0/sig00000db0 ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003d0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cf ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000747 ), + .Q(\blk00000003/blk000003c0/sig00000daf ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cf_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ce ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000748 ), + .Q(\blk00000003/blk000003c0/sig00000dae ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ce_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cd ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig00000749 ), + .Q(\blk00000003/blk000003c0/sig00000dad ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cd_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cc ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074a ), + .Q(\blk00000003/blk000003c0/sig00000dac ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cc_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003cb ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074b ), + .Q(\blk00000003/blk000003c0/sig00000dab ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003cb_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003c0/blk000003ca ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003c0/sig00000da9 ), + .A3(\blk00000003/blk000003c0/sig00000da9 ), + .CE(\blk00000003/blk000003c0/sig00000db2 ), + .CLK(clk), + .D(\blk00000003/sig0000074c ), + .Q(\blk00000003/blk000003c0/sig00000daa ), + .Q15(\NLW_blk00000003/blk000003c0/blk000003ca_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db1 ), + .Q(\blk00000003/sig000004cd ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000db0 ), + .Q(\blk00000003/sig000004ce ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daf ), + .Q(\blk00000003/sig000004cf ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dae ), + .Q(\blk00000003/sig000004d0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dad ), + .Q(\blk00000003/sig000004d1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dac ), + .Q(\blk00000003/sig000004d2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000dab ), + .Q(\blk00000003/sig000004d3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003c0/blk000003c2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003c0/sig00000daa ), + .Q(\blk00000003/sig000004d4 ) + ); + GND \blk00000003/blk000003c0/blk000003c1 ( + .G(\blk00000003/blk000003c0/sig00000da9 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003d3/blk000003e5 ( + .I0(\blk00000003/sig0000067c ), + .I1(ce), + .O(\blk00000003/blk000003d3/sig00000dd1 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e4 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000589 ), + .Q(\blk00000003/blk000003d3/sig00000dd0 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e3 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058a ), + .Q(\blk00000003/blk000003d3/sig00000dcf ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e2 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058b ), + .Q(\blk00000003/blk000003d3/sig00000dce ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e1 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058c ), + .Q(\blk00000003/blk000003d3/sig00000dcd ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003e0 ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058d ), + .Q(\blk00000003/blk000003d3/sig00000dcc ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003e0_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003df ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058e ), + .Q(\blk00000003/blk000003d3/sig00000dcb ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003df_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003de ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig0000058f ), + .Q(\blk00000003/blk000003d3/sig00000dca ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003de_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003d3/blk000003dd ( + .A0(\blk00000003/sig0000068a ), + .A1(\blk00000003/sig00000688 ), + .A2(\blk00000003/blk000003d3/sig00000dc8 ), + .A3(\blk00000003/blk000003d3/sig00000dc8 ), + .CE(\blk00000003/blk000003d3/sig00000dd1 ), + .CLK(clk), + .D(\blk00000003/sig00000590 ), + .Q(\blk00000003/blk000003d3/sig00000dc9 ), + .Q15(\NLW_blk00000003/blk000003d3/blk000003dd_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003dc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dd0 ), + .Q(\blk00000003/sig00000557 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003db ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcf ), + .Q(\blk00000003/sig00000558 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003da ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dce ), + .Q(\blk00000003/sig00000559 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcd ), + .Q(\blk00000003/sig0000055a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcc ), + .Q(\blk00000003/sig0000055b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dcb ), + .Q(\blk00000003/sig0000055c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dca ), + .Q(\blk00000003/sig0000055d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003d3/blk000003d5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003d3/sig00000dc9 ), + .Q(\blk00000003/sig0000055e ) + ); + GND \blk00000003/blk000003d3/blk000003d4 ( + .G(\blk00000003/blk000003d3/sig00000dc8 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003e6/blk000003f8 ( + .I0(\blk00000003/sig0000067a ), + .I1(ce), + .O(\blk00000003/blk000003e6/sig00000df0 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f7 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074d ), + .Q(\blk00000003/blk000003e6/sig00000def ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f7_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f6 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074e ), + .Q(\blk00000003/blk000003e6/sig00000dee ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f6_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f5 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig0000074f ), + .Q(\blk00000003/blk000003e6/sig00000ded ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f5_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f4 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000750 ), + .Q(\blk00000003/blk000003e6/sig00000dec ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f4_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f3 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000751 ), + .Q(\blk00000003/blk000003e6/sig00000deb ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f3_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f2 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000752 ), + .Q(\blk00000003/blk000003e6/sig00000dea ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f2_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f1 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000753 ), + .Q(\blk00000003/blk000003e6/sig00000de9 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f1_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003e6/blk000003f0 ( + .A0(\blk00000003/sig00000686 ), + .A1(\blk00000003/sig00000684 ), + .A2(\blk00000003/blk000003e6/sig00000de7 ), + .A3(\blk00000003/blk000003e6/sig00000de7 ), + .CE(\blk00000003/blk000003e6/sig00000df0 ), + .CLK(clk), + .D(\blk00000003/sig00000754 ), + .Q(\blk00000003/blk000003e6/sig00000de8 ), + .Q15(\NLW_blk00000003/blk000003e6/blk000003f0_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000def ), + .Q(\blk00000003/sig0000051f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dee ), + .Q(\blk00000003/sig00000520 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000ded ), + .Q(\blk00000003/sig00000521 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dec ), + .Q(\blk00000003/sig00000522 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000deb ), + .Q(\blk00000003/sig00000523 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000dea ), + .Q(\blk00000003/sig00000524 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de9 ), + .Q(\blk00000003/sig00000525 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003e6/blk000003e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003e6/sig00000de8 ), + .Q(\blk00000003/sig00000526 ) + ); + GND \blk00000003/blk000003e6/blk000003e7 ( + .G(\blk00000003/blk000003e6/sig00000de7 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000003f9/blk0000040b ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk000003f9/sig00000e0f ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk0000040a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a3 ), + .Q(\blk00000003/blk000003f9/sig00000e0e ), + .Q15(\NLW_blk00000003/blk000003f9/blk0000040a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000409 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a4 ), + .Q(\blk00000003/blk000003f9/sig00000e0d ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000409_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000408 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a5 ), + .Q(\blk00000003/blk000003f9/sig00000e0c ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000408_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000407 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a6 ), + .Q(\blk00000003/blk000003f9/sig00000e0b ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000407_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000406 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a7 ), + .Q(\blk00000003/blk000003f9/sig00000e0a ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000406_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000405 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a8 ), + .Q(\blk00000003/blk000003f9/sig00000e09 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000405_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000404 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003a9 ), + .Q(\blk00000003/blk000003f9/sig00000e08 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000404_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk000003f9/blk00000403 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk000003f9/sig00000e06 ), + .A3(\blk00000003/blk000003f9/sig00000e06 ), + .CE(\blk00000003/blk000003f9/sig00000e0f ), + .CLK(clk), + .D(\blk00000003/sig000003aa ), + .Q(\blk00000003/blk000003f9/sig00000e07 ), + .Q15(\NLW_blk00000003/blk000003f9/blk00000403_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000402 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0e ), + .Q(\blk00000003/sig00000567 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000401 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0d ), + .Q(\blk00000003/sig00000568 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk00000400 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0c ), + .Q(\blk00000003/sig00000569 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003ff ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0b ), + .Q(\blk00000003/sig0000056a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fe ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e0a ), + .Q(\blk00000003/sig0000056b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fd ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e09 ), + .Q(\blk00000003/sig0000056c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fc ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e08 ), + .Q(\blk00000003/sig0000056d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000003f9/blk000003fb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000003f9/sig00000e07 ), + .Q(\blk00000003/sig0000056e ) + ); + GND \blk00000003/blk000003f9/blk000003fa ( + .G(\blk00000003/blk000003f9/sig00000e06 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000040c/blk0000041e ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk0000040c/sig00000e2e ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000755 ), + .Q(\blk00000003/blk0000040c/sig00000e2d ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000756 ), + .Q(\blk00000003/blk0000040c/sig00000e2c ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041b ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000757 ), + .Q(\blk00000003/blk0000040c/sig00000e2b ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk0000041a ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000758 ), + .Q(\blk00000003/blk0000040c/sig00000e2a ), + .Q15(\NLW_blk00000003/blk0000040c/blk0000041a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000419 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig00000759 ), + .Q(\blk00000003/blk0000040c/sig00000e29 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000419_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000418 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075a ), + .Q(\blk00000003/blk0000040c/sig00000e28 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000418_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000417 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075b ), + .Q(\blk00000003/blk0000040c/sig00000e27 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000417_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000040c/blk00000416 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk0000040c/sig00000e25 ), + .A3(\blk00000003/blk0000040c/sig00000e25 ), + .CE(\blk00000003/blk0000040c/sig00000e2e ), + .CLK(clk), + .D(\blk00000003/sig0000075c ), + .Q(\blk00000003/blk0000040c/sig00000e26 ), + .Q15(\NLW_blk00000003/blk0000040c/blk00000416_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000415 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2d ), + .Q(\blk00000003/sig0000055f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000414 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2c ), + .Q(\blk00000003/sig00000560 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000413 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2b ), + .Q(\blk00000003/sig00000561 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000412 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e2a ), + .Q(\blk00000003/sig00000562 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000411 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e29 ), + .Q(\blk00000003/sig00000563 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk00000410 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e28 ), + .Q(\blk00000003/sig00000564 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e27 ), + .Q(\blk00000003/sig00000565 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000040c/blk0000040e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000040c/sig00000e26 ), + .Q(\blk00000003/sig00000566 ) + ); + GND \blk00000003/blk0000040c/blk0000040d ( + .G(\blk00000003/blk0000040c/sig00000e25 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000041f/blk00000431 ( + .I0(\blk00000003/sig0000067b ), + .I1(ce), + .O(\blk00000003/blk0000041f/sig00000e4d ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000430 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f5 ), + .Q(\blk00000003/blk0000041f/sig00000e4c ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000430_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042f ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f6 ), + .Q(\blk00000003/blk0000041f/sig00000e4b ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042e ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f7 ), + .Q(\blk00000003/blk0000041f/sig00000e4a ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042d ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f8 ), + .Q(\blk00000003/blk0000041f/sig00000e49 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042c ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003f9 ), + .Q(\blk00000003/blk0000041f/sig00000e48 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042b ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fa ), + .Q(\blk00000003/blk0000041f/sig00000e47 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk0000042a ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fb ), + .Q(\blk00000003/blk0000041f/sig00000e46 ), + .Q15(\NLW_blk00000003/blk0000041f/blk0000042a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000041f/blk00000429 ( + .A0(\blk00000003/sig00000689 ), + .A1(\blk00000003/sig00000687 ), + .A2(\blk00000003/blk0000041f/sig00000e44 ), + .A3(\blk00000003/blk0000041f/sig00000e44 ), + .CE(\blk00000003/blk0000041f/sig00000e4d ), + .CLK(clk), + .D(\blk00000003/sig000003fc ), + .Q(\blk00000003/blk0000041f/sig00000e45 ), + .Q15(\NLW_blk00000003/blk0000041f/blk00000429_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000428 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4c ), + .Q(\blk00000003/sig00000589 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000427 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4b ), + .Q(\blk00000003/sig0000058a ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000426 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e4a ), + .Q(\blk00000003/sig0000058b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000425 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e49 ), + .Q(\blk00000003/sig0000058c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000424 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e48 ), + .Q(\blk00000003/sig0000058d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000423 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e47 ), + .Q(\blk00000003/sig0000058e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000422 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e46 ), + .Q(\blk00000003/sig0000058f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000041f/blk00000421 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000041f/sig00000e45 ), + .Q(\blk00000003/sig00000590 ) + ); + GND \blk00000003/blk0000041f/blk00000420 ( + .G(\blk00000003/blk0000041f/sig00000e44 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000432/blk00000444 ( + .I0(\blk00000003/sig00000679 ), + .I1(ce), + .O(\blk00000003/blk00000432/sig00000e6c ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000443 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075d ), + .Q(\blk00000003/blk00000432/sig00000e6b ), + .Q15(\NLW_blk00000003/blk00000432/blk00000443_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000442 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075e ), + .Q(\blk00000003/blk00000432/sig00000e6a ), + .Q15(\NLW_blk00000003/blk00000432/blk00000442_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000441 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig0000075f ), + .Q(\blk00000003/blk00000432/sig00000e69 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000441_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk00000440 ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000760 ), + .Q(\blk00000003/blk00000432/sig00000e68 ), + .Q15(\NLW_blk00000003/blk00000432/blk00000440_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043f ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000761 ), + .Q(\blk00000003/blk00000432/sig00000e67 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043e ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000762 ), + .Q(\blk00000003/blk00000432/sig00000e66 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043d ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000763 ), + .Q(\blk00000003/blk00000432/sig00000e65 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000432/blk0000043c ( + .A0(\blk00000003/sig00000685 ), + .A1(\blk00000003/sig00000683 ), + .A2(\blk00000003/blk00000432/sig00000e63 ), + .A3(\blk00000003/blk00000432/sig00000e63 ), + .CE(\blk00000003/blk00000432/sig00000e6c ), + .CLK(clk), + .D(\blk00000003/sig00000764 ), + .Q(\blk00000003/blk00000432/sig00000e64 ), + .Q15(\NLW_blk00000003/blk00000432/blk0000043c_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6b ), + .Q(\blk00000003/sig00000581 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk0000043a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e6a ), + .Q(\blk00000003/sig00000582 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000439 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e69 ), + .Q(\blk00000003/sig00000583 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000438 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e68 ), + .Q(\blk00000003/sig00000584 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000437 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e67 ), + .Q(\blk00000003/sig00000585 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000436 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e66 ), + .Q(\blk00000003/sig00000586 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000435 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e65 ), + .Q(\blk00000003/sig00000587 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000432/blk00000434 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000432/sig00000e64 ), + .Q(\blk00000003/sig00000588 ) + ); + GND \blk00000003/blk00000432/blk00000433 ( + .G(\blk00000003/blk00000432/sig00000e63 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000445/blk00000457 ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk00000445/sig00000e8b ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000456 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000765 ), + .Q(\blk00000003/blk00000445/sig00000e8a ), + .Q15(\NLW_blk00000003/blk00000445/blk00000456_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000455 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000766 ), + .Q(\blk00000003/blk00000445/sig00000e89 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000455_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000454 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000767 ), + .Q(\blk00000003/blk00000445/sig00000e88 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000454_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000453 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000768 ), + .Q(\blk00000003/blk00000445/sig00000e87 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000453_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000452 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig00000769 ), + .Q(\blk00000003/blk00000445/sig00000e86 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000452_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000451 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076a ), + .Q(\blk00000003/blk00000445/sig00000e85 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000451_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk00000450 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076b ), + .Q(\blk00000003/blk00000445/sig00000e84 ), + .Q15(\NLW_blk00000003/blk00000445/blk00000450_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000445/blk0000044f ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk00000445/sig00000e82 ), + .A3(\blk00000003/blk00000445/sig00000e82 ), + .CE(\blk00000003/blk00000445/sig00000e8b ), + .CLK(clk), + .D(\blk00000003/sig0000076c ), + .Q(\blk00000003/blk00000445/sig00000e83 ), + .Q15(\NLW_blk00000003/blk00000445/blk0000044f_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e8a ), + .Q(\blk00000003/sig000003a3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e89 ), + .Q(\blk00000003/sig000003a4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e88 ), + .Q(\blk00000003/sig000003a5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e87 ), + .Q(\blk00000003/sig000003a6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk0000044a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e86 ), + .Q(\blk00000003/sig000003a7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000449 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e85 ), + .Q(\blk00000003/sig000003a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000448 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e84 ), + .Q(\blk00000003/sig000003a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000445/blk00000447 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000445/sig00000e83 ), + .Q(\blk00000003/sig000003aa ) + ); + GND \blk00000003/blk00000445/blk00000446 ( + .G(\blk00000003/blk00000445/sig00000e82 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk00000458/blk0000046a ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk00000458/sig00000eaa ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000469 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076d ), + .Q(\blk00000003/blk00000458/sig00000ea9 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000469_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000468 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076e ), + .Q(\blk00000003/blk00000458/sig00000ea8 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000468_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000467 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig0000076f ), + .Q(\blk00000003/blk00000458/sig00000ea7 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000467_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000466 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000770 ), + .Q(\blk00000003/blk00000458/sig00000ea6 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000466_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000465 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000771 ), + .Q(\blk00000003/blk00000458/sig00000ea5 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000465_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000464 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000772 ), + .Q(\blk00000003/blk00000458/sig00000ea4 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000464_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000463 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000773 ), + .Q(\blk00000003/blk00000458/sig00000ea3 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000463_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk00000458/blk00000462 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk00000458/sig00000ea1 ), + .A3(\blk00000003/blk00000458/sig00000ea1 ), + .CE(\blk00000003/blk00000458/sig00000eaa ), + .CLK(clk), + .D(\blk00000003/sig00000774 ), + .Q(\blk00000003/blk00000458/sig00000ea2 ), + .Q15(\NLW_blk00000003/blk00000458/blk00000462_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000461 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea9 ), + .Q(\blk00000003/sig0000039b ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk00000460 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea8 ), + .Q(\blk00000003/sig0000039c ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea7 ), + .Q(\blk00000003/sig0000039d ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea6 ), + .Q(\blk00000003/sig0000039e ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea5 ), + .Q(\blk00000003/sig0000039f ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045c ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea4 ), + .Q(\blk00000003/sig000003a0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045b ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea3 ), + .Q(\blk00000003/sig000003a1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk00000458/blk0000045a ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk00000458/sig00000ea2 ), + .Q(\blk00000003/sig000003a2 ) + ); + GND \blk00000003/blk00000458/blk00000459 ( + .G(\blk00000003/blk00000458/sig00000ea1 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000046b/blk0000047d ( + .I0(\blk00000003/sig0000068c ), + .I1(ce), + .O(\blk00000003/blk0000046b/sig00000ec9 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047c ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000775 ), + .Q(\blk00000003/blk0000046b/sig00000ec8 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047b ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000776 ), + .Q(\blk00000003/blk0000046b/sig00000ec7 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk0000047a ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000777 ), + .Q(\blk00000003/blk0000046b/sig00000ec6 ), + .Q15(\NLW_blk00000003/blk0000046b/blk0000047a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000479 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000778 ), + .Q(\blk00000003/blk0000046b/sig00000ec5 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000479_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000478 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig00000779 ), + .Q(\blk00000003/blk0000046b/sig00000ec4 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000478_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000477 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077a ), + .Q(\blk00000003/blk0000046b/sig00000ec3 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000477_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000476 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077b ), + .Q(\blk00000003/blk0000046b/sig00000ec2 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000476_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000046b/blk00000475 ( + .A0(\blk00000003/sig00000387 ), + .A1(\blk00000003/sig00000386 ), + .A2(\blk00000003/blk0000046b/sig00000ec0 ), + .A3(\blk00000003/blk0000046b/sig00000ec0 ), + .CE(\blk00000003/blk0000046b/sig00000ec9 ), + .CLK(clk), + .D(\blk00000003/sig0000077c ), + .Q(\blk00000003/blk0000046b/sig00000ec1 ), + .Q15(\NLW_blk00000003/blk0000046b/blk00000475_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000474 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec8 ), + .Q(\blk00000003/sig000003f5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000473 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec7 ), + .Q(\blk00000003/sig000003f6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000472 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec6 ), + .Q(\blk00000003/sig000003f7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000471 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec5 ), + .Q(\blk00000003/sig000003f8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk00000470 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec4 ), + .Q(\blk00000003/sig000003f9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046f ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec3 ), + .Q(\blk00000003/sig000003fa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046e ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec2 ), + .Q(\blk00000003/sig000003fb ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000046b/blk0000046d ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000046b/sig00000ec1 ), + .Q(\blk00000003/sig000003fc ) + ); + GND \blk00000003/blk0000046b/blk0000046c ( + .G(\blk00000003/blk0000046b/sig00000ec0 ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk0000047e/blk00000490 ( + .I0(\blk00000003/sig0000068b ), + .I1(ce), + .O(\blk00000003/blk0000047e/sig00000ee8 ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048f ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077d ), + .Q(\blk00000003/blk0000047e/sig00000ee7 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048f_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048e ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077e ), + .Q(\blk00000003/blk0000047e/sig00000ee6 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048e_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048d ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig0000077f ), + .Q(\blk00000003/blk0000047e/sig00000ee5 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048d_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048c ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000780 ), + .Q(\blk00000003/blk0000047e/sig00000ee4 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048c_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048b ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000781 ), + .Q(\blk00000003/blk0000047e/sig00000ee3 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048b_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk0000048a ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000782 ), + .Q(\blk00000003/blk0000047e/sig00000ee2 ), + .Q15(\NLW_blk00000003/blk0000047e/blk0000048a_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000489 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000783 ), + .Q(\blk00000003/blk0000047e/sig00000ee1 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000489_Q15_UNCONNECTED ) + ); + SRLC16E #( + .INIT ( 16'h0000 )) + \blk00000003/blk0000047e/blk00000488 ( + .A0(\blk00000003/sig0000038e ), + .A1(\blk00000003/sig0000038d ), + .A2(\blk00000003/blk0000047e/sig00000edf ), + .A3(\blk00000003/blk0000047e/sig00000edf ), + .CE(\blk00000003/blk0000047e/sig00000ee8 ), + .CLK(clk), + .D(\blk00000003/sig00000784 ), + .Q(\blk00000003/blk0000047e/sig00000ee0 ), + .Q15(\NLW_blk00000003/blk0000047e/blk00000488_Q15_UNCONNECTED ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000487 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee7 ), + .Q(\blk00000003/sig000003ed ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000486 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee6 ), + .Q(\blk00000003/sig000003ee ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000485 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee5 ), + .Q(\blk00000003/sig000003ef ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000484 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee4 ), + .Q(\blk00000003/sig000003f0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000483 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee3 ), + .Q(\blk00000003/sig000003f1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000482 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee2 ), + .Q(\blk00000003/sig000003f2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000481 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee1 ), + .Q(\blk00000003/sig000003f3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk0000047e/blk00000480 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk0000047e/sig00000ee0 ), + .Q(\blk00000003/sig000003f4 ) + ); + GND \blk00000003/blk0000047e/blk0000047f ( + .G(\blk00000003/blk0000047e/sig00000edf ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \blk00000003/blk000004e1/blk00000507 ( + .I0(\blk00000003/sig00000305 ), + .I1(ce), + .O(\blk00000003/blk000004e1/sig00000f25 ) + ); + RAM16X1D #( + .INIT ( 16'h0000 )) + \blk00000003/blk000004e1/blk00000506 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000506_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f24 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000505 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000505_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f23 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000504 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000070f ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000504_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f22 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000503 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000710 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000503_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f21 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000502 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000711 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000502_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f20 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000501 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000712 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000501_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1f ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk00000500 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000714 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk00000500_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1d ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004ff ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000715 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004ff_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1c ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fe ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000713 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fe_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1e ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fd ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000716 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fd_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1b ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fc ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000717 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fc_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f1a ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fb ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000718 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fb_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f19 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004fa ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig00000719 ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004fa_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f18 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f9 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071a ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f9_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f17 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f8 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071b ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f8_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f16 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f7 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071d ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f7_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f14 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f6 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071e ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f6_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f13 ) + ); + RAM16X1D #( + .INIT ( 16'h0001 )) + \blk00000003/blk000004e1/blk000004f5 ( + .A0(\blk00000003/sig0000030c ), + .A1(\blk00000003/blk000004e1/sig00000f12 ), + .A2(\blk00000003/blk000004e1/sig00000f12 ), + .A3(\blk00000003/blk000004e1/sig00000f12 ), + .D(\blk00000003/sig0000071c ), + .DPRA0(\blk00000003/sig00000786 ), + .DPRA1(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA2(\blk00000003/blk000004e1/sig00000f12 ), + .DPRA3(\blk00000003/blk000004e1/sig00000f12 ), + .WCLK(clk), + .WE(\blk00000003/blk000004e1/sig00000f25 ), + .SPO(\NLW_blk00000003/blk000004e1/blk000004f5_SPO_UNCONNECTED ), + .DPO(\blk00000003/blk000004e1/sig00000f15 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f24 ), + .Q(\blk00000003/sig000001a8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f23 ), + .Q(\blk00000003/sig000001a9 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f2 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f22 ), + .Q(\blk00000003/sig000001aa ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f1 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f21 ), + .Q(\blk00000003/sig000001ab ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004f0 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f20 ), + .Q(\blk00000003/sig000001ac ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ef ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1f ), + .Q(\blk00000003/sig000001ad ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ee ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1e ), + .Q(\blk00000003/sig000001ae ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ed ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1d ), + .Q(\blk00000003/sig000001af ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ec ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1c ), + .Q(\blk00000003/sig000001b0 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004eb ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1b ), + .Q(\blk00000003/sig000001b1 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004ea ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f1a ), + .Q(\blk00000003/sig000001b2 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e9 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f19 ), + .Q(\blk00000003/sig000001b3 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e8 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f18 ), + .Q(\blk00000003/sig000001b4 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e7 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f17 ), + .Q(\blk00000003/sig000001b5 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e6 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f16 ), + .Q(\blk00000003/sig000001b6 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e5 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f15 ), + .Q(\blk00000003/sig000001b7 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e4 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f14 ), + .Q(\blk00000003/sig000001b8 ) + ); + FDE #( + .INIT ( 1'b0 )) + \blk00000003/blk000004e1/blk000004e3 ( + .C(clk), + .CE(ce), + .D(\blk00000003/blk000004e1/sig00000f13 ), + .Q(\blk00000003/sig000001b9 ) + ); + GND \blk00000003/blk000004e1/blk000004e2 ( + .G(\blk00000003/blk000004e1/sig00000f12 ) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo new file mode 100644 index 000000000..ce561daa2 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.veo @@ -0,0 +1,79 @@ +/******************************************************************************* +* (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. * +* * +* This file contains confidential and proprietary information * +* of Xilinx, Inc. and is protected under U.S. and * +* international copyright and other intellectual property * +* laws. * +* * +* DISCLAIMER * +* This disclaimer is not a license and does not grant any * +* rights to the materials distributed herewith. Except as * +* otherwise provided in a valid license issued to you by * +* Xilinx, and to the maximum extent permitted by applicable * +* law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * +* WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * +* AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * +* BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * +* INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * +* (2) Xilinx shall not be liable (whether in contract or tort, * +* including negligence, or under any other theory of * +* liability) for any loss or damage of any kind or nature * +* related to, arising under or in connection with these * +* materials, including for any direct, or any indirect, * +* special, incidental, or consequential loss or damage * +* (including loss of data, profits, goodwill, or any type of * +* loss or damage suffered as a result of any action brought * +* by a third party) even if such damage or loss was * +* reasonably foreseeable or Xilinx had been advised of the * +* possibility of the same. * +* * +* CRITICAL APPLICATIONS * +* Xilinx products are not designed or intended to be fail- * +* safe, or for use in any application requiring fail-safe * +* performance, such as life-support or safety devices or * +* systems, Class III medical devices, nuclear facilities, * +* applications related to the deployment of airbags, or any * +* other applications that could lead to death, personal * +* injury, or severe property or environmental damage * +* (individually and collectively, "Critical * +* Applications"). Customer assumes the sole risk and * +* liability of any use of Xilinx products in Critical * +* Applications, subject only to applicable laws and * +* regulations governing limitations on product liability. * +* * +* THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * +* PART OF THIS FILE AT ALL TIMES. * +*******************************************************************************/ + +// Generated from component ID: xilinx.com:ip:fir_compiler:5.0 + + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +hbdec2 YourInstanceName ( + .sclr(sclr), // input sclr + .clk(clk), // input clk + .ce(ce), // input ce + .nd(nd), // input nd + .coef_ld(coef_ld), // input coef_ld + .coef_we(coef_we), // input coef_we + .coef_din(coef_din), // input [17 : 0] coef_din + .rfd(rfd), // output rfd + .rdy(rdy), // output rdy + .data_valid(data_valid), // output data_valid + .din_1(din_1), // input [23 : 0] din_1 + .din_2(din_2), // input [23 : 0] din_2 + .dout_1(dout_1), // output [46 : 0] dout_1 + .dout_2(dout_2)); // output [46 : 0] dout_2 + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file hbdec2.v when simulating +// the core, hbdec2. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco new file mode 100644 index 000000000..52eba9c26 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2.xco @@ -0,0 +1,102 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Tue Jun 9 23:48:14 2015 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:fir_compiler:5.0 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx75 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -3 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT FIR_Compiler family Xilinx,_Inc. 5.0 +# END Select +# BEGIN Parameters +CSET allow_rounding_approximation=false +CSET bestprecision=false +CSET chan_in_adv=0 +CSET clock_frequency=64 +CSET coefficient_buffer_type=Automatic +CSET coefficient_file=./hb47.coe +CSET coefficient_fractional_bits=0 +CSET coefficient_reload=true +CSET coefficient_sets=1 +CSET coefficient_sign=Signed +CSET coefficient_structure=Half_Band +CSET coefficient_width=18 +CSET coefficientsource=COE_File +CSET coefficientvector=6,0,-4,-3,5,6,-6,-13,7,44,64,44,7,-13,-6,6,5,-3,-4,0,6 +CSET columnconfig=4 +CSET component_name=hbdec2 +CSET data_buffer_type=Automatic +CSET data_fractional_bits=0 +CSET data_sign=Signed +CSET data_width=24 +CSET decimation_rate=2 +CSET displayreloadorder=false +CSET filter_architecture=Systolic_Multiply_Accumulate +CSET filter_selection=1 +CSET filter_type=Decimation +CSET gui_behaviour=Coregen +CSET hardwareoversamplingrate=1 +CSET has_ce=true +CSET has_data_valid=true +CSET has_nd=true +CSET has_sclr=true +CSET input_buffer_type=Automatic +CSET inter_column_pipe_length=4 +CSET interpolation_rate=1 +CSET multi_column_support=Disabled +CSET number_channels=1 +CSET number_paths=2 +CSET optimization_goal=Area +CSET output_buffer_type=Automatic +CSET output_rounding_mode=Full_Precision +CSET output_width=47 +CSET passband_max=0.5 +CSET passband_min=0.0 +CSET preference_for_other_storage=Automatic +CSET quantization=Integer_Coefficients +CSET rate_change_type=Integer +CSET ratespecification=Frequency_Specification +CSET registered_output=true +CSET sample_frequency=32 +CSET sampleperiod=1 +CSET sclr_deterministic=true +CSET stopband_max=1.0 +CSET stopband_min=0.5 +CSET usechan_in_adv=false +CSET zero_pack_factor=1 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2013-10-13T18:46:09Z +# END Extra information +GENERATE +# CRC: 7b386b7e diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif new file mode 100644 index 000000000..079623297 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_0.mif @@ -0,0 +1,9 @@ +111111111111000010 +000000000011000010 +111111111001001000 +000000001101010111 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif new file mode 100644 index 000000000..d4a0b357e --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_1.mif @@ -0,0 +1,9 @@ +111111101000011111 +000000100110101110 +111111000011000100 +000001011101100110 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif new file mode 100644 index 000000000..6d9d6044f --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto0_2.mif @@ -0,0 +1,9 @@ +111101110000011101 +000011100100101000 +111001100001011000 +010100010001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif new file mode 100644 index 000000000..ca072e0fc --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2COEFF_auto_HALFBAND_CENTRE0.mif @@ -0,0 +1,3 @@ +011111111111111111 +000000000000000000 +000000000000000000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt new file mode 100644 index 000000000..d0a6a5443 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_flist.txt @@ -0,0 +1,19 @@ +# Output products list for +hbdec2.asy +hbdec2.gise +hbdec2.mif +hbdec2.ngc +hbdec2.v +hbdec2.veo +hbdec2.xco +hbdec2.xise +hbdec2COEFF_auto0_0.mif +hbdec2COEFF_auto0_1.mif +hbdec2COEFF_auto0_2.mif +hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +hbdec2_flist.txt +hbdec2_readme.txt +hbdec2_reload_addrfilt_decode_rom.mif +hbdec2_reload_order.txt +hbdec2_xmdf.tcl +hbdec2filt_decode_rom.mif diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt new file mode 100644 index 000000000..0b9324f9b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_readme.txt @@ -0,0 +1,73 @@ +The following files were generated for 'hbdec2' in directory +/home/mwest/git/fpgadev/usrp3/top/b205/coregen_dsp/ + +Opens the IP Customization GUI: + Allows the user to customize or recustomize the IP instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +ISE file generator: + Add description here... + + * hbdec2_flist.txt + +Model Parameter Resolution: + Resolves generated model parameter values on the component instance. + + * hbdec2.mif + * hbdec2_reload_order.txt + +XCO file generator: + Generate an XCO file for compatibility with legacy flows. + + * hbdec2.xco + +Creates an implementation netlist: + Creates an implementation netlist for the IP. + + * hbdec2.ngc + * hbdec2.v + * hbdec2.veo + * hbdec2COEFF_auto0_0.mif + * hbdec2COEFF_auto0_1.mif + * hbdec2COEFF_auto0_2.mif + * hbdec2COEFF_auto_HALFBAND_CENTRE0.mif + * hbdec2_reload_addrfilt_decode_rom.mif + * hbdec2filt_decode_rom.mif + +Creates an HDL instantiation template: + Creates an HDL instantiation template for the IP. + + * hbdec2.veo + +IP Symbol Generator: + Generate an IP symbol based on the current project options'. + + * hbdec2.asy + +Generate ISE metadata: + Create a metadata file for use when including this core in ISE designs + + * hbdec2_xmdf.tcl + +Generate ISE subproject: + Create an ISE subproject for use when including this core in ISE designs + + * hbdec2.gise + * hbdec2.xise + +Deliver Readme: + Readme file for the IP. + + * hbdec2_readme.txt + +Generate FLIST file: + Text file listing all of the output files produced when a customized core was + generated in the CORE Generator. + + * hbdec2_flist.txt + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_addrfilt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt new file mode 100644 index 000000000..071b84e5b --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_reload_order.txt @@ -0,0 +1,25 @@ +Reload index 0 = Index 16 +Reload index 1 = Index 18 +Reload index 2 = Index 20 +Reload index 3 = Index 22 +Reload index 4 = Index 8 +Reload index 5 = Index 10 +Reload index 6 = Index 12 +Reload index 7 = Index 14 +Reload index 8 = Index 0 +Reload index 9 = Index 2 +Reload index 10 = Index 4 +Reload index 11 = Index 6 +Reload index 12 = Index 23 +Reload index 13 = Index 0 +Reload index 14 = Index 0 +Reload index 15 = Index 0 +Reload index 16 = Index 0 +Reload index 17 = Index 0 +Reload index 18 = Index 0 +Reload index 19 = Index 0 +Reload index 20 = Index 0 +Reload index 21 = Index 0 +Reload index 22 = Index 0 +Reload index 23 = Index 0 + diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl new file mode 100644 index 000000000..efebbe070 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2_xmdf.tcl @@ -0,0 +1,99 @@ +# The package naming convention is _xmdf +package provide hbdec2_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hbdec2_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hbdec2_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hbdec2 +} +# ::hbdec2_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hbdec2_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_1.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto0_2.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2COEFF_auto_HALFBAND_CENTRE0.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_addrfilt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_reload_order.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type text +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hbdec2filt_decode_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hbdec2 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif new file mode 100644 index 000000000..92e61d005 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/coregen_dsp/hbdec2filt_decode_rom.mif @@ -0,0 +1,17 @@ +000 +100 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 +000 diff --git a/fpga/usrp3/top/b2xxmini/timing.ucf b/fpga/usrp3/top/b2xxmini/timing.ucf new file mode 100644 index 000000000..b10e37a14 --- /dev/null +++ b/fpga/usrp3/top/b2xxmini/timing.ucf @@ -0,0 +1,38 @@ +# 40 MHz main tcxo clock +NET "CLK_40MHz_FPGA*" TNM_NET = "CLK_40MHz_FPGA"; +TIMESPEC "TS_CLK_40MHz_FPGA" = PERIOD "CLK_40MHz_FPGA" 25000 ps HIGH 50 %; + +# 100 MHz GPIF clock +NET "FX3_PCLK" TNM_NET = "FX3_PCLK"; +TIMESPEC "TS_FX3_PCLK" = PERIOD "FX3_PCLK" 10000 ps HIGH 50 %; + +# data clock from catalina, sample rate dependent +# this clock equals sample rate in CMOS DDR 1R1T mode +# this clock is double the sample rate in CMOS DDR 2R2T mode +# Max clock rate is 61.44 MHz +NET "CAT_DCLK_P" TNM_NET = "CAT_DCLK_P"; +TIMESPEC "TS_CAT_DCLK_P" = PERIOD "CAT_DCLK_P" 16276 ps HIGH 50 %; + +#always use IOB for GPIF pins for awesome timing +INST "FX3_DQ*" IOB = TRUE; +INST "FX3_CTL*" IOB = TRUE; + +#low speed misc output group +INST "cFE_SEL_*" TNM = radio_misc_out; # Radio Clk domain +INST "cLED_*" TNM = radio_misc_out; # Radio Clk domain +INST "cTXDRV_PWEN*" TNM = radio_misc_out; # Radio Clk domain +INST "CAT_EN" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "CAT_SPI_EN" TNM = ls_misc_out; # Bus clk domain +INST "CAT_SPI_CLK" TNM = ls_misc_out; # Bus clk domain +INST "CAT_SPI_DO" TNM = ls_misc_out; # Bus clk domain (I) +INST "CAT_SPI_DI" TNM = ls_misc_out; # Bus clk domain + combinatorial +INST "CLK_40M_DAC_nSYNC" TNM = ls_misc_out; # Bus clk domain +INST "CLK_40M_DAC_SCLK" TNM = ls_misc_out; # Bus clk domain +INST "CLK_40M_DAC_DIN" TNM = ls_misc_out; # Bus clk domain + +#constrain the misc IOs to the clocks +NET "bus_clk" TNM_NET = "bus_clk"; +TIMESPEC "TS_bus_clk" = PERIOD "bus_clk" 10 ns HIGH 50 %; +TIMEGRP "ls_misc_out" OFFSET = OUT 15 ns AFTER "bus_clk" RISING; +TIMEGRP "radio_misc_out" OFFSET = OUT 15 ns AFTER "radio_clk" RISING; + -- cgit v1.2.3


+CHANGE LOG for LogiCORE FIFO Generator V9.3 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP FIFO Generator v9.3 Rev 1
+solution. For the latest core updates, see the product page at:
+ 
+   www.xilinx.com/products/ipcenter/FIFO_Generator.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+    The following device families are supported by the core for this release.
+    
+    
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+  
+  
+  2.2 Vivado 
+  
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+  
+  3.2 Vivado
+  
+    - 2012.4 software support
+    - IP level constraint for Built-in FIFO reset synchronizer
+
+................................................................................
+
+
+4. RESOLVED ISSUES 
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS 
+
+
+  5.1 ISE 
+  
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+  
+    1. Importing an XCO file alters the XCO configurations
+  
+       Description: In the FIFO Generator GUI, after importing an XCO file (Independent clock, distributed memory configuration)
+       into a Virtex-4 CORE Generator project, if the FIFO type is changed to "Independent Clocks, Built-in FIFO" in page 1, 
+       page 2 does not correctly offer the Read Clock Frequency and Write Clock Frequency options as it should.
+    
+       CR 467240
+       AR 31379
+  
+    2. Status flags after the first write to Common Clock Built-in FIFO not guaranteed
+  
+       Description: When using Common Clock Built-in FIFO configuration with asynchronous reset for Virtex-6 FPGA,
+       correct behavior of the FIFO status flags cannot be guaranteed after the first write.
+    
+       Workaround: To work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.
+       For more information and additional workaround see Answer Record 41099.
+  
+  5.2 Vivado 
+
+    The following are known issues for v9.3 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of FIFO Generator from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes User Guide
+located at 
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf 
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.  
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  9.3 Rev 1    ISE 14.4 and Vivado 2012.4 support; IP level constraint for Built-in FIFO reset synchronizer
+10/16/2012  Xilinx, Inc.  9.3          ISE 14.3 and Vivado 2012.3 support; Clock Enable support for AXI4 Stream FIFO
+07/25/2012  Xilinx, Inc.  9.2          ISE 14.2 and Vivado 2012.2 support; Accurate data count support for AXI4 Stream Packet FIFO
+04/24/2012  Xilinx, Inc.  9.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+                                       AXI FIFO data width support up to 4096; Programmable Full/Empty as sideband signals for AXI FIFO
+01/18/2012  Xilinx, Inc.  8.4          ISE 13.4 support and Packet FIFO feature addition; Artix-7 Lower Power and Automotive Artix-7 device support
+10/19/2011  Xilinx, Inc.  8.3          ISE 13.3 support and QVirtex-6L device support
+06/22/2011  Xilinx, Inc.  8.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  8.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; Wiring Logic and Register Slice Support
+10/29/2010  Xilinx, Inc.  7.3          ISE 13.0.2 support
+09/21/2010  Xilinx, Inc.  7.2          ISE 12.3 support; AXI4 Support
+07/30/2010  Xilinx, Inc.  7.1          ISE 13.0.1 support
+06/18/2010  Xilinx, Inc.  6.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  6.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  5.3 rev 1    ISE 11.4 support; Spartan-6 Low Power and Automotive Spartan-6 Device support
+09/16/2009  Xilinx, Inc.  5.3          Update to add 11.3; Virtex-6 Low Power and Virtex-6 HXT Device support
+06/24/2009  Xilinx, Inc.  5.2          Update to add 11.2 and Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  5.1          Update to add 11.1 and Virtex-6 and Spartan-6 device support
+09/19/2008  Xilinx, Inc.  4.4          Update to add 10.1 SP3 and Virtex-5 TXT device support and miscellaneous bug fixes
+03/24/2008  Xilinx, Inc.  4.3          Update to add 10.1 support and miscellaneous bug fixes
+10/03/2007  Xilinx, Inc.  4.2          Support for FWFT for Block RAM and Distributed RAM Common Clock FIFOs
+08/08/2007  Xilinx, Inc.  4.1          Update to add 9.2i support; Revised to v4.1; ECC support for block RAM FIFO
+04/02/2007  Xilinx, Inc.  3.3          Update to add 9.1i support; Revised to v3.3; Spartan-3A and Spartan-3A DSP support; ECC support
+09/21/2006  Xilinx, Inc.  3.2          Revised to v3.2; Spartan-3 and Virtex-4 automotive device support
+07/13/2006  Xilinx, Inc.  3.1          Update to add 8.2i support; Revised to v3.1; Virtex-5 support
+01/11/2006  Xilinx, Inc.  2.3          Update to add 8.1i support; Revised to v2.3
+08/31/2005  Xilinx, Inc.  2.2          Update to add 7.1i SP4 support; Revised to v2.2
+04/28/2005  Xilinx, Inc.  2.1          Update to add 7.1i SP1 support; Revised to v2.1
+11/04/2004  Xilinx, Inc.  2.0          Update to add 6.3i support; Revised to v2.0
+05/21/2004  Xilinx, Inc.  1.1          Revised to v1.1; Virtex-4 support
+04/23/2004  Xilinx, Inc.  1.0          Update to add 6.2i support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+