summaryrefslogtreecommitdiffstats
path: root/inband_lib/register_io.v
diff options
context:
space:
mode:
authoreb <eb@221aa14e-8319-0410-a670-987f0aec2ac5>2007-09-13 23:21:41 +0000
committereb <eb@221aa14e-8319-0410-a670-987f0aec2ac5>2007-09-13 23:21:41 +0000
commitc459c56ac7993b28e87e94bae21d152b4ff7799b (patch)
treedb8107c2809cee88164a0a140068e29b029098c1 /inband_lib/register_io.v
parent3872941ae16eab596f48429057b3490b256bce6d (diff)
downloaduhd-c459c56ac7993b28e87e94bae21d152b4ff7799b.tar.gz
uhd-c459c56ac7993b28e87e94bae21d152b4ff7799b.tar.bz2
uhd-c459c56ac7993b28e87e94bae21d152b4ff7799b.zip
Merged r6329:6428 of features/inband-usb + distcheck fixes into trunk.
git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@6429 221aa14e-8319-0410-a670-987f0aec2ac5
Diffstat (limited to 'inband_lib/register_io.v')
-rwxr-xr-xinband_lib/register_io.v4
1 files changed, 3 insertions, 1 deletions
diff --git a/inband_lib/register_io.v b/inband_lib/register_io.v
index 63a26549c..b116b3ace 100755
--- a/inband_lib/register_io.v
+++ b/inband_lib/register_io.v
@@ -2,12 +2,14 @@ module register_io
(input clk, input reset, input wire [1:0] enable, input wire [6:0] addr,
input wire [31:0] datain, output reg [31:0] dataout, output wire [15:0] debugbus,
input wire [31:0] rssi_0, input wire [31:0] rssi_1,
- input wire [31:0] rssi_2, input wire [31:0] rssi_3, output wire [31:0] threshhold);
+ input wire [31:0] rssi_2, input wire [31:0] rssi_3,
+ output wire [31:0] threshhold, output wire [31:0] rssi_wait);
reg strobe;
wire [31:0] out[7:0];
assign debugbus = {clk, enable, addr[2:0], datain[4:0], dataout[4:0]};
assign threshhold = out[1];
+ assign rssi_wait = out[2];
always @(*)
if (reset | ~enable[1])