summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJosh Blum <josh@joshknows.com>2012-05-10 20:44:46 -0700
committerJosh Blum <josh@joshknows.com>2012-05-10 20:44:46 -0700
commit0dafd2d7d240ac4397b80198ec455ca4cfcc9425 (patch)
treecfc5823b9e9b5e4c3ba23b728f1a0dab21801e2d
parenta6845bd0dd8cf34f0e62d7484267e7c581815af0 (diff)
parentdb1d63ecaa76f4975b79499119419b5baff10a99 (diff)
downloaduhd-0dafd2d7d240ac4397b80198ec455ca4cfcc9425.tar.gz
uhd-0dafd2d7d240ac4397b80198ec455ca4cfcc9425.tar.bz2
uhd-0dafd2d7d240ac4397b80198ec455ca4cfcc9425.zip
Merge branch 'fpga_maint' into maint
-rw-r--r--fpga/usrp2/gpmc/cross_clock_reader.v14
-rw-r--r--fpga/usrp2/top/E1x0/u1e_core.v2
2 files changed, 9 insertions, 7 deletions
diff --git a/fpga/usrp2/gpmc/cross_clock_reader.v b/fpga/usrp2/gpmc/cross_clock_reader.v
index a30e0385f..a8366badc 100644
--- a/fpga/usrp2/gpmc/cross_clock_reader.v
+++ b/fpga/usrp2/gpmc/cross_clock_reader.v
@@ -1,5 +1,5 @@
//
-// Copyright 2011 Ettus Research LLC
+// Copyright 2011-2012 Ettus Research LLC
//
// This program is free software: you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
@@ -26,17 +26,19 @@ module cross_clock_reader
output reg [WIDTH-1:0] out
);
- reg [WIDTH-1:0] shadow;
+ reg [WIDTH-1:0] shadow0, shadow1;
always @(posedge clk) begin
if (rst) begin
out <= DEFAULT;
- shadow <= DEFAULT;
+ shadow0 <= DEFAULT;
+ shadow1 <= DEFAULT;
end
- else if (shadow == in) begin
- out <= shadow;
+ else if (shadow0 == shadow1) begin
+ out <= shadow1;
end
- shadow <= in;
+ shadow0 <= in;
+ shadow1 <= shadow0;
end
endmodule //cross_clock_reader
diff --git a/fpga/usrp2/top/E1x0/u1e_core.v b/fpga/usrp2/top/E1x0/u1e_core.v
index a98e1de34..e3d1656a6 100644
--- a/fpga/usrp2/top/E1x0/u1e_core.v
+++ b/fpga/usrp2/top/E1x0/u1e_core.v
@@ -454,7 +454,7 @@ module u1e_core
// Readback mux 32 -- Slave #7
//compatibility number -> increment when the fpga has been sufficiently altered
- localparam compat_num = {16'd9, 16'd1}; //major, minor
+ localparam compat_num = {16'd9, 16'd2}; //major, minor
wire [31:0] reg_test32;